CN108780749B - 等离子体蚀刻方法 - Google Patents

等离子体蚀刻方法 Download PDF

Info

Publication number
CN108780749B
CN108780749B CN201780015415.8A CN201780015415A CN108780749B CN 108780749 B CN108780749 B CN 108780749B CN 201780015415 A CN201780015415 A CN 201780015415A CN 108780749 B CN108780749 B CN 108780749B
Authority
CN
China
Prior art keywords
gas
plasma etching
etching
hydrofluoroether
film
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
CN201780015415.8A
Other languages
English (en)
Other versions
CN108780749A (zh
Inventor
松浦豪
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Zeon Corp
Original Assignee
Zeon Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Zeon Corp filed Critical Zeon Corp
Publication of CN108780749A publication Critical patent/CN108780749A/zh
Application granted granted Critical
Publication of CN108780749B publication Critical patent/CN108780749B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C09DYES; PAINTS; POLISHES; NATURAL RESINS; ADHESIVES; COMPOSITIONS NOT OTHERWISE PROVIDED FOR; APPLICATIONS OF MATERIALS NOT OTHERWISE PROVIDED FOR
    • C09KMATERIALS FOR MISCELLANEOUS APPLICATIONS, NOT PROVIDED FOR ELSEWHERE
    • C09K13/00Etching, surface-brightening or pickling compositions
    • C09K13/04Etching, surface-brightening or pickling compositions containing an inorganic acid
    • C09K13/08Etching, surface-brightening or pickling compositions containing an inorganic acid containing a fluorine compound
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • H01L21/0214Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC the material being a silicon oxynitride, e.g. SiON or SiON:H
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28158Making the insulator
    • H01L21/28238Making the insulator with sacrificial oxide
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32133Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only
    • H01L21/32135Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only
    • H01L21/32136Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only using plasmas
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • HELECTRICITY
    • H05ELECTRIC TECHNIQUES NOT OTHERWISE PROVIDED FOR
    • H05HPLASMA TECHNIQUE; PRODUCTION OF ACCELERATED ELECTRICALLY-CHARGED PARTICLES OR OF NEUTRONS; PRODUCTION OR ACCELERATION OF NEUTRAL MOLECULAR OR ATOMIC BEAMS
    • H05H1/00Generating plasma; Handling plasma
    • H05H1/24Generating plasma
    • H05H1/46Generating plasma using applied electromagnetic fields, e.g. high frequency or microwave energy
    • CCHEMISTRY; METALLURGY
    • C09DYES; PAINTS; POLISHES; NATURAL RESINS; ADHESIVES; COMPOSITIONS NOT OTHERWISE PROVIDED FOR; APPLICATIONS OF MATERIALS NOT OTHERWISE PROVIDED FOR
    • C09KMATERIALS FOR MISCELLANEOUS APPLICATIONS, NOT PROVIDED FOR ELSEWHERE
    • C09K13/00Etching, surface-brightening or pickling compositions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/334Etching
    • H01J2237/3343Problems associated with etching
    • H01J2237/3347Problems associated with etching bottom of holes or trenches

Abstract

本发明的等离子体蚀刻方法是使用至少一种氟碳气体与由化学式(I)表示的至少一种氢氟醚气体的混合气体来作为处理气体的等离子体蚀刻方法。
Figure DDA0001789741990000011

Description

等离子体蚀刻方法
技术领域
本发明涉及等离子体蚀刻方法,尤其涉及选择性地对氧化硅膜进行等离子体蚀刻的方法。
背景技术
在半导体设备的制造中,当对形成于被处理体上的薄膜进行微加工时,有时使用处理气体进行等离子体蚀刻。该薄膜可以是例如氮化硅膜、氧化硅膜等的硅化合物膜、能够由无定形碳或光致抗蚀剂组合物等形成的以碳为主成分的有机膜。尤其是在将氧化硅膜作为蚀刻加工对象的情况下,相对于在相同的被处理体上形成的非加工对象的氮化硅膜、有机膜等薄膜,需要选择性地对加工对象的氧化硅膜进行蚀刻。即,需要提高蚀刻时的选择性。
因此,在现有技术中,为了充分地提高蚀刻时的选择性,对加工对象充分选择且有效地进行蚀刻,提出有各种等离子体蚀刻用的处理气体(例如,参照专利文献1)。在专利文献1中记载有将C4F6、C4F8、C5F8这样的氟碳以及CH3F、C5HF7这样的氢氟烃作为处理气体而用于氧化硅膜的蚀刻的等离子体蚀刻方法。
而且,近年来对半导体设备的轻型化、小型化以及高密度化的要求不断提高。伴随于此,在具有硅化合物膜、有机膜的被处理体上通过蚀刻形成如自对准接触孔那样的微小结构时,不仅是提高蚀刻时的选择性而且提高通过蚀刻得到的加工形状的精度也变得重要。在此,在等离子体蚀刻中,通常在非加工对象上形成保护膜而防止非加工对象被蚀刻,但是尤其是在自对准接触孔的加工中难以在由氮化硅膜构成的间隔件的肩部上形成保护膜。因此,如果对与构成间隔件的氮化硅膜邻接的作为加工对象的氧化硅膜进行蚀刻,则构成间隔件的氮化硅膜的肩部容易被蚀刻。其结果是存在在完成蚀刻工序的阶段间隔件的肩部变薄或者已经消失的情况(例如,参照专利文献2和专利文献3)。以下,在本说明书中,也将由非加工对象形成的间隔件等结构的肩部消失的情况称为“肩部塌陷”。再者,一般地,间隔件被用于将栅极材料、与能够向接触孔内嵌入金属布线材料而形成的金属布线进行绝缘,但如果该间隔件变薄或消失,则在栅极材料与金属布线之间发生短路,丧失作为半导体设备的功能。因而,在半导体设备的制造中肩部塌陷成为很大问题。
在先技术文献
专利文献
专利文献1:日本专利第5440170号公报;
专利文献2:日本特开平9-219394号公报;
专利文献3:日本特愿平10-329780号公报。
发明内容
发明要解决的问题
然而,在如专利文献1所公开的为了提高蚀刻时的选择性而使用了由现有技术中被用作处理气体的氟碳气体、氢氟烃气体构成的混合气体的等离子体蚀刻方法中,关于在对氧化硅膜进行等离子体蚀刻时抑制由非加工对象形成的结构的肩部塌陷的产生,存在改善的余地。
因此,本发明的目的在于提供一种在对氧化硅膜进行等离子体蚀刻时能够充分地抑制肩部塌陷的产生的等离子体蚀刻方法。
用于解决问题的方案
本发明人以解决上述问题为目的而进行了深入研究。并且,本发明人发现了以下情况从而完成了本发明:通过混合使用氟碳气体和满足某分子结构上的条件的氢氟醚气体来作为等离子体蚀刻用的处理气体,从而能够充分地抑制由非加工对象构成的结构的肩部塌陷。
即,本发明的目的在于有利于解决上述问题,本发明的等离子体蚀刻方法是具有非加工对象和作为加工对象的氧化硅膜的被处理体的等离子体蚀刻方法,其特征在于,使用至少一种氟碳气体与由化学式(I)表示的至少一种氢氟醚气体的混合气体来作为处理气体,
[化学式1]
Figure BDA0001789741940000031
在式中,R1表示氢原子、氟原子、或由CxF2x+1表示的氟代烷基,R2表示氢原子、氟原子、或由CyF2y+1表示的氟代烷基,R3表示氢原子、氟原子、或由CzF2z+1表示的氟代烷基。在此,x~z分别为0以上且3以下的整数,满足1≤x+y+z≤3。此外,R1~R3可以相同,也可以各不相同。
如果使用氟碳气体和由上述化学式(I)表示的氢氟醚气体的混合气体,对氧化硅膜进行等离子体蚀刻,则能够充分地抑制由非加工对象构成的肩部的肩部塌陷。
在此,在本发明的等离子体蚀刻方法中,优选所述处理气体中的所述氢氟醚气体的混合比例是相对于所述氟碳气体100体积份为1体积份以上且100体积份以下。其原因是,能够使蚀刻时的选择性提高,并且进一步抑制肩部塌陷。
此外,在本发明的等离子体蚀刻方法中,优选所述氟碳气体是由组成式C2F6、C3F6、C3F8、C4F6、C4F8或C5F8表示的化合物的气体。其原因是能够使蚀刻时的选择性提高。
此外,在本发明的等离子体蚀刻方法中,优选所述氢氟醚气体是由组成式C4H3F7O表示的化合物的气体。其原因是,如果氢氟醚气体是由组成式C4H3F7O表示的化合物的气体,则能够更充分地抑制肩部塌陷,并且能够使蚀刻时的选择性提高。
发明效果
根据本发明,能够提供一种在对氧化硅膜进行等离子体蚀刻时能够充分地抑制肩部塌陷的产生的等离子体蚀刻方法。
附图说明
图1是在实施例和比较例中使用的具有被图案化的氮化硅膜和氧化硅膜的被处理体的概略性剖视图。
图2是表示利用按照本发明的实施例1的等离子体蚀刻方法对图1所示的层叠体进行了蚀刻后的状态的概略性剖视图。
具体实施方式
以下,详细地说明本发明的实施方式。本发明的等离子体蚀刻方法能够在半导体设备的制造工艺中形成SAC(Self Aligned Contact:自对准接触孔)时使用。本发明的等离子体蚀刻方法是使用至少一种的氟碳气体与具有特定结构的至少一种氢氟醚气体的混合气体作为处理气体,对具有非加工对象和作为加工对象的氧化硅膜的被处理体进行等离子体蚀刻的方法。被处理体只要能够采用等离子体蚀刻,就不特别限定,可以是所有的对象物。被处理体可包含例如玻璃基板、硅单晶晶片以及镓砷基板。并且,例如被处理体是在硅单晶晶片上可具有根据需要形成的氮化硅膜、氧化硅膜、和/或有机膜而构成的。
再者,在本说明书中,“氮化硅膜”是指由Si3N4(SiN)、SiCN、SiBCN等含有氮原子的硅化合物形成的膜。而且,在本说明书中,“氧化硅膜”是指由SiO2、SiOC、SiOCH等含有氧原子的硅化合物形成的膜。进而,在本说明书中,“有机膜”是指以碳为主成分的膜。“以碳为主成分”是指形成膜的材料中所含的碳的比例超过50质量%,具体而言,是指由无定形碳等碳系材料、光致抗蚀剂组合物等形成的膜(以下,也称为抗蚀剂膜)。
此外,在本发明的等离子体蚀刻方法中,“蚀刻”是指在半导体设备的制造工序等中使用的对具有加工对象和非加工对象的被处理体蚀刻出极其高集成化的微细图案的技术。此外,“等离子体蚀刻”是指,对处理气体施加高频的电场而引起辉光放电,使处理气体化学地分离为活性的离子、电子、中性种,利用这些活性种与蚀刻对象材料的化学反应以及由物理碰撞引起的反应来进行蚀刻的技术。
(处理气体)
处理气体包含至少一种氟碳气体和具有特定结构的至少一种氢氟醚气体,并可任意地包含其它的气体。
<氟碳气体>
作为氟碳气体,可举出可由组成式C2F6、C3F6、C3F8、C4F6、C4F8、以及C5F8表示的化合物的气体。在等离子体条件下,利用这些化合物生成活性种,通过所生成的活性种间的相互作用而发生各种反应。因此,只要是由这些组成式表示的化合物,则不管它们的实际结构如何,都能够获得本发明的等离子体蚀刻方法的效果。并且,这些氟碳气体可以单独使用一种,或者混合使用两种以上。在这些之中优选由组成式C4F6表示的化合物,特别优选六氟-1,3-丁二烯。这是因为由组成式C4F6表示的化合物、尤其是六氟-1,3-丁二烯针对氧化硅膜的蚀刻速度足够高,且抑制氮化硅膜的肩部塌陷的效果高。
<氢氟醚气体>
作为氢氟醚气体,使用由下述化学式(I)表示的氢氟醚气体。
[化学式2]
Figure BDA0001789741940000051
[在式中,R1表示氢原子、氟原子、或由CxF2x+1表示的氟代烷基;R2表示氢原子、氟原子、或由CyF2y+1表示的氟代烷基;R3表示氢原子、氟原子、或由CzF2z+1表示的氟代烷基。在此,x~z分别为0以上且3以下的整数,满足1≤x+y+z≤3。此外,R1~R3可以分别相同,也可以各不相同。]
作为由上述化学式(I)表示的氢氟醚气体,可举出例如CF3-O-CH2-C2F5、CF3-O-CH2-n-C3F7、CF3-O-CH2-i-C3F7、C2F5-O-CH2-CF3、C2F5-O-CH2-C2F5、n-C3F7-O-CH3、以及i-C3F7-O-CH3的气体。这些氢氟醚气体可以单独使用一种,或者混合使用2种以上。其中,优选氢氟醚气体为n-C3F7-O-CH3(1,1,2,2,3,3,3-七氟丙基甲基醚)、和/或i-C3F7-O-CH3(七氟异丙基甲基醚)的气体。这是因为这些气体的对氧化硅膜的蚀刻速度足够高,且抑制氮化硅膜的肩部塌陷的效果高。
从上述化学式(I)可以明确,构成氢氟醚气体的氢氟醚的特征是未与氧原子结合的碳没有与氟及氢这两者结合。换句话说,其特征是,在一个碳与氟及氢结合的情况下,该碳成为还与氧原子结合的结构。虽然利用具有该结构的氢氟醚的气体来实现抑制肩部塌陷效果的原因尚不明确,但推测为如下。
首先,在同一碳上存在氟和氢的情况下,生成由CαHγFβ(α、γ、β分别为正整数)的结构表示的自由基、离子。这些活性种容易与氮化硅膜进行反应而对氮化硅膜进行蚀刻。另一方面,在同一碳上除氟和氢之外还存在氧的情况下,碳成为电子极度不足的状态,氢变得容易脱离。其结果是,容易生成与氮化硅膜的反应性较低的由CαFβ(α、β分别为正整数)、CαFβO的结构表示的自由基、离子。另一方面,脱离了的氢离子、氢自由基容易与来自于处理气体所包含的至少一种氟碳气体等的氟自由基、氟离子进行反应而生成HF,从而丧失反应性,并能够排出到反应体系外。氟自由基、氟离子也由于与氮化硅膜的反应性高,通过它们丧失反应性进而排出到体系外的情况,从而能够进一步提高在将氮化硅膜作为非加工对象的情况下的加工对象的蚀刻选择比。此外,由CαFβO的结构表示的离子具有将氧原子供给至形成于被处理体的结构的底部的效果。在作为氧原子源而向处理气体中仅混合了氧气的情况下,由于处理容器内存在的含有氧元素成分是以氧自由基为主体,所以在氧自由基到达形成于被处理体的结构的底部之前,与某些对象进行反应而丧失反应性等,从而不能使氧原子到达底部,导致等离子体蚀刻工序在中途停止。根据以上情况可推测为,通过使处理气体含有具有未与氧原子结合的碳没有与氟及氢这两方结合的结构的氢氟醚的气体,能够在提高将氮化硅膜作为非加工对象的情况下的加工对象的蚀刻选择比的同时,使蚀刻工序良好地进行。
进而,从具有未与氧原子结合的碳没有与氟及氢这两方结合的结构的氢氟醚除了生成CαFβ(α、β为正整数)之外,还容易生成由CHδ(δ为正整数)的结构表示的自由基、离子。CαFβ和CHδ的自由基、离子等活性种与CαHγFβ相比,与氮化硅膜的反应性低,因此能够提高在将氮化硅膜作为非加工对象的情况下的加工对象(例如氧化硅膜)的蚀刻选择比。此外,由于在氢原子和氟原子之间可形成强的氢键,所以将CαFβ作为前驱体,在保护膜上容易吸附CHδ。其结果推测为,在作为非加工对象的氮化硅膜的侧面、肩部形成比仅由CαFβ形成的保护膜更坚固且厚的保护膜,能够抑制作为非加工对象的氮化硅膜的肩部塌陷。
再者,至此为止,对于假定非加工对象为氮化硅膜,通过使处理气体含有具有未与氧原子结合的碳没有与氟及氢这两方结合的结构的氢氟醚而产生的蚀刻选择比以及肩部塌陷抑制能力的提高进行了说明。但是,对于有机膜等的其它非加工对象,如上所述的与非加工对象的反应性高的活性种、特别是氟自由基、氟离子的反应性也高。因而,推测为使氢离子、氢自由基产生而使氟自由基、氟离子灭活的由上述化学式(I)表示的氢氟醚不仅能够保护氮化硅膜,而且能够有利地保护有机膜等其它的非加工对象。此外,在将有机膜作为非加工对象的情况下也推测为,将CαFβ作为前驱体,在保护膜上容易吸附CHδ,能够在作为非加工对象的有机膜的侧面、肩部形成坚固且厚的保护膜,能够抑制肩部塌陷。
[氟碳气体和氢氟醚气体的混合比例]
氢氟醚气体相对于氟碳气体的混合比例根据蚀刻的条件等而不同,但相对于氟碳气体100体积份,优选为1体积份以上,更优选为3体积份以上,进一步优选为5体积份以上,进一步更优选为30体积份以上,优选为100体积份以下,更优选为90体积份以下,进一步优选为80体积份以下。其原因是,能够使蚀刻时的选择性提高,并且进一步抑制肩部塌陷。
<其它气体>
也能够在处理气体中任意地混合稀有气体、氧气等其它气体。作为稀有气体,可举出选自氦、氩、氖、氪、以及氙之中的至少一种。通过混合使用稀有气体、氧气,能够实现同时得到更充分的蚀刻速度和相对于非加工对象的更高的蚀刻选择比的等离子体蚀刻。
[稀有气体的混合比例]
在处理气体中混合使用稀有气体的情况下,稀有气体的混合比例为相对于氟碳气体100体积份,通常为1体积份以上,优选为10体积份以上,更优选为20体积份以上,通常为10000体积份以下,优选为7000体积份以下,更优选为5000体积份以下。
[氧气的混合比例]
在处理气体中混合使用氧气的情况下,氧气的混合比例为相对于氟碳气体100体积份,通常为2000体积份以下,优选为1000体积份以下,更优选为500体积份以下,进一步优选为300体积份以下。
作为处理气体使用的氟碳气体、氢氟醚气体、以及能够任意地使用的稀有气体、氧气等各气体通常分别独立地填充到储气瓶等容器中运输,与干蚀刻设备(干蚀刻腔室)连接地设置。并且,通过打开储气瓶等的阀门,从而以规定比例将各气体导入到受到等离子体的作用的干蚀刻腔室内,如后述的那样,等离子体作用于各气体,能够使干蚀刻进行。
(等离子体蚀刻方法的流程)
本发明的等离子体蚀刻方法的流程如下所述。作为被处理体,使用形成有氧化硅膜以及抗蚀剂膜的带图案的被处理体、在同一基板上形成有氮化硅膜、氧化硅膜以及抗蚀剂膜的带图案的被处理体的情况等均相同。再者,“带图案”是指通过形成在被处理体上的膜而形成了某种结构的状态,例如如图1所示的被处理体相当于“带图案的被处理体”。使用实施例,对图1更详细地进行说明。
[准备工序]
首先,在具有等离子体发生装置的干蚀刻腔室(chamber)(以下也称为处理容器)内设置被处理体,将处理容器内脱气而成为真空。进而,在准备工序中,优选将被处理体的温度设为-50℃以上,更优选设为-20℃以上,进一步优选设为0℃以上,优选设为+120℃以下,更优选设为+100℃以下,进一步优选设为+80℃以下。被处理体的温度能够使用例如氦气等的冷却气体和冷却装置来进行控制。向其中导入要使用的处理气体所含有的各种气体,以使得分别变为规定的速度以及压力。处理气体的导入速度只要是与处理气体中的各种气体的混合比例成比例来确定即可。并且,在向处理容器内供给处理气体的期间,处理容器内的压力通常优选保持在1Pa以上且13Pa以下的范围。
[等离子体蚀刻工序]
接着,利用等离子体发生装置,向处理容器内的处理气体施加高频的电场而引起辉光放电,使等离子体产生。作为等离子体发生装置,并不特别限定,可列举出螺旋波方式等离子体发生装置、高频感应等离子体发生装置、平行平板型等离子体发生装置、磁控管方式等离子体发生装置、或微波方式等离子体发生装置等的通常的等离子体发生装置。在本发明中,优选使用平行平板型等离子体发生装置、高频感应方式等离子体发生装置、以及微波方式等离子体发生装置。其原因是,能够容易产生高密度区域的等离子体。
等离子体蚀刻的条件并不特别限定,只要在以往公知的蚀刻条件下进行即可。例如,在使用平行平板型等离子体发生装置的上部电极为60MHz、下部电极为2MHz、这些电极间的距离为35mm的高频型的等离子体蚀刻装置的情况下,能够在向上部电极供给的电力在100W以上且2000W以下、向下部电极供给的电力在0W以上且600W以下的范围内自由地组合。再者,等离子体蚀刻工序的时间通常从5秒到5分钟,优选为10秒到4分钟。
并且,本发明的等离子体蚀刻方法优选蚀刻速度为260nm/分以上。该蚀刻速度是近年来在氧化硅膜的蚀刻中利用最常被用作处理气体的C4F6和氧的混合气体进行蚀刻时通常所能够得到的蚀刻速度以上。
实施例
以下,通过实施例和比较例,更详细地说明本发明。但是,本发明不会受到以下的实施例任何限制,能够在不超出本发明的主旨的范围内变更使用的处理气体的种类、蚀刻条件等。在实施例和比较例中使用的被处理体以及等离子体蚀刻装置分别如下所述,在实施例和比较例中采用的等离子体蚀刻条件如下所述。进而,在实施例、比较例中,蚀刻深度、耐肩部塌陷性、以及蚀刻选择比分别如以下这样进行了测定及评价。
<被处理体>
作为被处理体,使用了图1所示的结构的硅单晶晶片的芯片。图1所示的被处理体1是在硅单晶晶片(Si)100上依次层叠第1氮化硅膜(Si3N4膜)101、氧化硅膜(SiO2膜)102、以及图案化的第2氮化硅膜(Si3N4膜)103而成的。形成被处理体1的最上层的第2氮化硅膜103形成了固定宽度的槽状的图案。并且,图2示出按照本发明的实施例1的等离子体蚀刻后的被处理体的概略剖视图。图2涉及的被处理体也与图1所示的等离子体蚀刻前的被处理体同样地具有各种膜。对于与图1同样的部分,对相同的标号附加“’”来表示。此外,在图2中,作为箭头A~C,表示各结构的尺寸。箭头A和A’分别表示等离子体蚀刻前后的第2氮化硅膜103、103’的厚度。箭头B表示等离子体蚀刻前的氧化硅膜102的厚度,箭头B’表示等离子体蚀刻后残留的氧化硅膜102’的厚度。箭头C表示第2氮化硅膜103的最表面的槽的宽度(以下,也称为图案的“入口尺寸”),箭头C’表示等离子体蚀刻后的第2氮化硅膜103’的入口尺寸。再者,在图2中,在等离子体蚀刻后的被处理体1’中,以与等离子体蚀刻前的被处理体1相比,槽的宽度从C缩短至C’的方式图示。但是,根据按照比较例的等离子体蚀刻方法等,一般槽的宽度C’比原来的槽的宽度C更宽。
<等离子体蚀刻装置>
作为等离子体蚀刻装置,使用了具有平行平板型等离子体发生装置的等离子体蚀刻装置。平行平板型等离子体发生装置具有上部电极和载置被处理基板的下部电极,上部电极的下表面与下部电极的上表面的间隔为35mm。平行平板型等离子体发生装置的上部电极的频率为60MHz,下部电极的频率为2MHz。此外,下部电极具有冷却单元,该冷却单元构成为通过使氦气接触于下部电极来对下部电极进行冷却。再者,冷却单元以氦气在处理容器内部不流出的方式构成。
<等离子体蚀刻条件>
等离子体蚀刻为,将上部电极的电力设为150W,将下部电极的电力设为500W,使腔室内部压力为2Pa且固定,对于下部电极的冷却,将冷却单元设为60℃,将氦气的压力设定为1000Pa。此外,在实施例、比较例中,等离子体蚀刻的时间全部设为60秒。因此,在实施例、比较例中得到的被处理体的蚀刻深度的数值直接对应于各等离子体蚀刻方法的每分钟的蚀刻速度。
<蚀刻深度>
在实施例、比较例中,使用市售的椭圆偏光膜厚计进行了测量。
作为加工对象的氧化硅膜的蚀刻深度通过参照图1及图2说明的等离子体蚀刻前后的氧化硅膜102、102’的厚度的差量(B-B’)来计算。此外,作为非加工对象的第2氮化硅膜的蚀刻深度同样地通过(A-A’)来计算。
<耐肩部塌陷性>
作为耐肩部塌陷性的评价指标的入口尺寸扩大量通过参照图1及图2说明的(C’-C)来计算。当然,如果C’小于C,即如果经过等离子体蚀刻,槽的宽度变窄,则槽的宽度的扩大量成为负值。并且,在C’大于C的情况下,意味着作为非加工对象的第2氮化硅膜通过等离子体蚀刻被蚀刻而产生了肩部塌陷,其值越大,则意味着肩部塌陷的程度越大。即,如果入口尺寸放大量的值小,则耐肩部塌陷性优异,相反地,如果入口尺寸放大量的值大,则意味着耐肩部塌陷性差。
再者,等离子体蚀刻后的入口尺寸C’是针对基于扫描型电子显微镜(SEM)观察而确定的被处理体的最表面而取得SEM图像,在所得到的SEM图像上测量槽的宽度而得到的。
<蚀刻选择比>
将氧化硅膜(102)的蚀刻深度(B-B’)除以氮化硅膜(103)的蚀刻深度(A-A’),将所得的值设为相对于氮化硅膜的氧化硅膜的蚀刻选择比。
将氧化硅膜(102)的蚀刻深度(B-B’)除以入口尺寸放大量(C’-C)所得到的值作为相对于入口尺寸的选择比。“相对于入口尺寸的选择比”表示在保持氮化硅膜的入口尺寸的同时对氧化硅膜进行蚀刻的程度,该值越大,表示越良好地兼顾相对于氮化硅膜的氧化硅膜的蚀刻选择性和耐肩部塌陷性。在此,在入口尺寸扩大宽度为零以下的值的情况下,相对于入口尺寸的选择比定义为无限大(∞)。
(实施例1)
<处理气体>
向等离子体蚀刻装置的处理容器内导入10sccm的作为氟碳气体的六氟-1,3-丁二烯(C4F6)、10sccm的作为其它气体的氧气、5sccm的作为氢氟醚气体的七氟异丙基甲基醚(i-C3F7-O-CH3)、200sccm的作为稀有气体的氩气。按照上述的方法对所得到的被处理体进行了各种测定,将结果示出在表1中。
(实施例2)
除了将氢氟醚气体变更为1,1,2,2,3,3,3-七氟丙基甲基醚(n-C3F7-O-CH3)以外,与实施例1同样地进行了等离子体蚀刻。按照上述的方法对所得到的被处理体进行了各种测定,将结果示出在表1中。
(实施例3)
除了将氢氟醚气体变更为2,2,2-三氟乙基二氟甲基醚(CF3-CH2-O-CHF2)以外,与实施例1同样地进行了等离子体蚀刻。按照上述的方法对所得到的被处理体进行了各种测定,将结果示出在表1中。
(比较例1)
除了不使用氢氟醚气体以外,与实施例1同样地进行了等离子体蚀刻。按照上述的方法对所得到的被处理体进行了各种测定,将结果示出在表1中。
(比较例2)
除了将氢氟醚气体变更为(1,1,2,2-四氟乙基)甲基醚(CHF2-CF2-O-CH3)以外,与实施例1同样地进行了等离子体蚀刻。按照上述的方法对所得到的被处理体进行了各种测定,将结果示出在表1中。
(比较例3)
除了将氢氟醚气体变更为六氟环氧丙烷(C3F6O)以外,与实施例1同样地进行了等离子体蚀刻。按照上述的方法对所得到的被处理体进行了各种测定,将结果示出在表1中。
(比较例4)
除了将氢氟醚气体变更为八氟四氢呋喃(C4F8O)以外,与实施例1同样地进行了等离子体蚀刻。按照上述的方法对所得到的被处理体进行了各种测定,将结果示出在表1中。
(比较例5)
除了将氢氟醚气体变更为七氟环戊烯(C5HF7)以外,与实施例1同样地进行了等离子体蚀刻。按照上述的方法对所得到的被处理体进行了各种测定,将结果示出在表1中。
[表1]
Figure BDA0001789741940000131
从表1可知以下情况。首先,如实施例1~3那样,使用至少一种氟碳气体与具有特定结构的至少一种氢氟醚气体的混合气体来作为处理气体的等离子体蚀刻方法的耐肩部塌陷性优异。此外,在实施例、比较例中使用了形成有槽状的图案的被处理体,但基于该被处理体的评价结果推测为对于如SAC那样的孔状的结构也适用。
具体而言,在实施例1和实施例2中,维持260nm以上的氧化硅膜(102)的蚀刻深度,并且相对于入口尺寸的选择比实现了无限大。即使是实施例3,也维持260nm以上的氧化硅膜(102)的蚀刻深度,并且相对于入口尺寸的选择比高至58.6。另一方面,在比较例1中,就蚀刻深度而言,与实施例1、2相同程度,但相对于入口尺寸的选择比为8.6,导致氮化硅膜的肩部被蚀刻。因此,与单独使用氟碳气体相比,混合使用氢氟醚气体能够大幅度改善相对于入口尺寸的选择比。
在比较例2中,氧化硅膜(102)的蚀刻深度、相对于入口尺寸的选择比均明显比实施例1~3差。
在比较例3、4中使用了不含氢原子的氟醚气体,但氧化硅膜(102)的蚀刻深度分别为319nm、306nm,比实施例1~3更深,虽然蚀刻速度快,但是相对于入口尺寸的选择比均明显比实施例1~3差。根据以上情况,在分子结构中不含氢的氟醚不适合作为处理气体。
在比较例5中,使用了在同一碳上不存在氢原子和氟原子的氢氟烃即C5HF7作为处理气体,但氧化硅膜(102)的蚀刻深度、相对于入口尺寸的选择比均与比较例1相比而劣化。由此可知,处理气体需要含有在分子中具有乙醚键的氢氟醚气体。
产业上的可利用性
根据本发明,通过使用氟碳气体和满足如上述那样的化学式(I)的氢氟醚气体的混合气体来作为处理气体,能够抑制氮化硅膜的肩部塌陷。
标号说明
1,1’:被处理体
100,100’:硅单晶晶片
101,101’:第1氮化硅膜
102,102’:氧化硅膜
103,103’:图案化的第2氮化硅膜
A:等离子体蚀刻前的第2氮化硅膜103的厚度
A’:等离子体蚀刻后的第2氮化硅膜103’的厚度
B:等离子体蚀刻前的氧化硅膜102的厚度
B’:等离子体蚀刻后残留的氧化硅膜102’的厚度
C:形成在第2氮化硅膜103的图案的入口尺寸
C’:等离子体蚀刻后的第2氮化硅膜103’的入口尺寸

Claims (4)

1.一种等离子体蚀刻方法,是具有非加工对象和作为加工对象的氧化硅膜的被处理体的等离子体蚀刻方法,使用至少一种氟碳气体与由化学式(I)表示的至少一种氢氟醚气体的混合气体来作为处理气体,
Figure FDA0001789741920000011
在式中,R1表示氢原子、氟原子、或由CxF2x+1表示的氟代烷基,R2表示氢原子、氟原子、或由CyF2y+1表示的氟代烷基,R3表示氢原子、氟原子、或由CzF2z+1表示的氟代烷基,在此,x~z分别为0以上且3以下的整数,满足1≤x+y+z≤3,此外,R1~R3可以相同,也可以各不相同。
2.根据权利要求1所述的等离子体蚀刻方法,其中,
所述处理气体中的所述氢氟醚气体的混合比例是相对于所述氟碳气体100体积份为1体积份以上且100体积份以下。
3.根据权利要求1或2所述的等离子体蚀刻方法,其中,
所述氟碳气体是由组成式C2F6、C3F6、C3F8、C4F6、C4F8或C5F8表示的化合物的气体。
4.根据权利要求1~3中的任一项所述的等离子体蚀刻方法,其中,
所述氢氟醚气体是由组成式C4H3F7O表示的化合物的气体。
CN201780015415.8A 2016-03-16 2017-03-08 等离子体蚀刻方法 Active CN108780749B (zh)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
JP2016052931 2016-03-16
JP2016-052931 2016-03-16
PCT/JP2017/009330 WO2017159511A1 (ja) 2016-03-16 2017-03-08 プラズマエッチング方法

Publications (2)

Publication Number Publication Date
CN108780749A CN108780749A (zh) 2018-11-09
CN108780749B true CN108780749B (zh) 2022-10-14

Family

ID=59851628

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201780015415.8A Active CN108780749B (zh) 2016-03-16 2017-03-08 等离子体蚀刻方法

Country Status (7)

Country Link
US (1) US10424489B2 (zh)
EP (1) EP3432345A4 (zh)
JP (1) JP6773110B2 (zh)
KR (1) KR102340870B1 (zh)
CN (1) CN108780749B (zh)
TW (1) TWI703631B (zh)
WO (1) WO2017159511A1 (zh)

Families Citing this family (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR102104240B1 (ko) * 2018-08-13 2020-04-24 아주대학교 산학협력단 플라즈마 식각 방법
KR102327416B1 (ko) * 2019-08-20 2021-11-16 아주대학교산학협력단 플라즈마 식각 방법
KR102389081B1 (ko) * 2020-04-06 2022-04-20 아주대학교산학협력단 PIPVE(perfluoroisopropyl vinyl ether)를 이용한 플라즈마 식각 방법
KR102441772B1 (ko) * 2020-11-13 2022-09-07 아주대학교산학협력단 플라즈마 식각 방법

Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH10140151A (ja) * 1996-11-05 1998-05-26 Agency Of Ind Science & Technol ドライエッチング用ガス
JP2002198357A (ja) * 2000-12-27 2002-07-12 Showa Denko Kk 半導体製造装置のクリーニングガス及びクリーニング方法
US6461975B1 (en) * 1999-10-26 2002-10-08 Samsung Electronics Co., Ltd. Method of etching insulating layer in semiconductor device
CN101015044A (zh) * 2004-05-31 2007-08-08 独立行政法人产业技术综合研究所 干式蚀刻气体及干式蚀刻方法
CN101983417A (zh) * 2008-03-31 2011-03-02 日本瑞翁株式会社 等离子体蚀刻方法
CN103003925A (zh) * 2010-07-12 2013-03-27 中央硝子株式会社 干蚀刻剂以及干蚀刻方法

Family Cites Families (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS5440170B2 (zh) 1973-10-22 1979-12-01
JPH09219394A (ja) 1996-02-09 1997-08-19 Sony Corp 半導体装置の製造方法
JPH10329780A (ja) 1997-05-29 1998-12-15 Sanyo Electric Co Ltd 電動車両
JP2000306884A (ja) * 1999-04-22 2000-11-02 Mitsubishi Electric Corp プラズマ処理装置およびプラズマ処理方法
US20030056388A1 (en) * 2000-07-18 2003-03-27 Hiromoto Ohno Cleaning gas for semiconductor production equipment
JPWO2005117082A1 (ja) * 2004-05-31 2008-04-03 独立行政法人産業技術総合研究所 ドライエッチングガスおよびドライエッチング方法
US8535551B2 (en) 2007-09-28 2013-09-17 Zeon Corporation Plasma etching method
US9093388B2 (en) * 2010-02-01 2015-07-28 Central Glass Company, Limited Dry etching agent and dry etching method using the same
CN109020778A (zh) * 2012-11-14 2018-12-18 大金工业株式会社 干式蚀刻气体的制造方法
JP6667215B2 (ja) * 2014-07-24 2020-03-18 キヤノン株式会社 X線遮蔽格子、構造体、トールボット干渉計、x線遮蔽格子の製造方法
TWI670768B (zh) * 2014-10-30 2019-09-01 日商日本瑞翁股份有限公司 電漿蝕刻方法

Patent Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH10140151A (ja) * 1996-11-05 1998-05-26 Agency Of Ind Science & Technol ドライエッチング用ガス
US6461975B1 (en) * 1999-10-26 2002-10-08 Samsung Electronics Co., Ltd. Method of etching insulating layer in semiconductor device
JP2002198357A (ja) * 2000-12-27 2002-07-12 Showa Denko Kk 半導体製造装置のクリーニングガス及びクリーニング方法
CN101015044A (zh) * 2004-05-31 2007-08-08 独立行政法人产业技术综合研究所 干式蚀刻气体及干式蚀刻方法
CN101983417A (zh) * 2008-03-31 2011-03-02 日本瑞翁株式会社 等离子体蚀刻方法
CN103003925A (zh) * 2010-07-12 2013-03-27 中央硝子株式会社 干蚀刻剂以及干蚀刻方法

Also Published As

Publication number Publication date
JPWO2017159511A1 (ja) 2019-01-24
CN108780749A (zh) 2018-11-09
US20190027368A1 (en) 2019-01-24
EP3432345A1 (en) 2019-01-23
KR102340870B1 (ko) 2021-12-16
JP6773110B2 (ja) 2020-10-21
EP3432345A4 (en) 2019-10-09
KR20180124030A (ko) 2018-11-20
TW201802930A (zh) 2018-01-16
TWI703631B (zh) 2020-09-01
WO2017159511A1 (ja) 2017-09-21
US10424489B2 (en) 2019-09-24

Similar Documents

Publication Publication Date Title
JP7079872B2 (ja) 半導体構造物上に窒素含有化合物を堆積させる方法
TWI756330B (zh) 用於蝕刻半導體結構之含碘化合物
US6284149B1 (en) High-density plasma etching of carbon-based low-k materials in a integrated circuit
TWI670768B (zh) 電漿蝕刻方法
CN108780749B (zh) 等离子体蚀刻方法
WO2011031858A1 (en) High aspect ratio silicon oxide etch
KR20130047663A (ko) 플라즈마 에칭 방법
WO2014104290A1 (ja) ドライエッチング方法
US5965035A (en) Self aligned contact etch using difluoromethane and trifluoromethane
TWI810396B (zh) 乾式蝕刻方法
KR20200018897A (ko) 플라즈마 식각 방법
KR20030051786A (ko) 드라이 에칭 가스 및 드라이 에칭 방법
CN109564868B (zh) 等离子体蚀刻方法
US20020155726A1 (en) Method of removing silicon nitride film
JP2017050413A (ja) プラズマエッチング方法
JP2012043869A (ja) エッチングガスおよびエッチング方法
JP6569578B2 (ja) プラズマエッチング方法
TW201735159A (zh) 電漿蝕刻方法
JP4990551B2 (ja) ドライエッチング方法
JP2018032667A (ja) プラズマエッチング方法
Mungekar et al. Feature evolution during sub 100nm gap-fill and etch

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination
GR01 Patent grant
GR01 Patent grant