KR20170076737A - 플라즈마 에칭 방법 - Google Patents

플라즈마 에칭 방법 Download PDF

Info

Publication number
KR20170076737A
KR20170076737A KR1020177013905A KR20177013905A KR20170076737A KR 20170076737 A KR20170076737 A KR 20170076737A KR 1020177013905 A KR1020177013905 A KR 1020177013905A KR 20177013905 A KR20177013905 A KR 20177013905A KR 20170076737 A KR20170076737 A KR 20170076737A
Authority
KR
South Korea
Prior art keywords
etching
silicon
film
silicon oxide
plasma
Prior art date
Application number
KR1020177013905A
Other languages
English (en)
Other versions
KR102494959B1 (ko
Inventor
고 마쯔우라
Original Assignee
니폰 제온 가부시키가이샤
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 니폰 제온 가부시키가이샤 filed Critical 니폰 제온 가부시키가이샤
Publication of KR20170076737A publication Critical patent/KR20170076737A/ko
Application granted granted Critical
Publication of KR102494959B1 publication Critical patent/KR102494959B1/ko

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/334Etching
    • HELECTRICITY
    • H05ELECTRIC TECHNIQUES NOT OTHERWISE PROVIDED FOR
    • H05HPLASMA TECHNIQUE; PRODUCTION OF ACCELERATED ELECTRICALLY-CHARGED PARTICLES OR OF NEUTRONS; PRODUCTION OR ACCELERATION OF NEUTRAL MOLECULAR OR ATOMIC BEAMS
    • H05H1/00Generating plasma; Handling plasma
    • H05H1/24Generating plasma
    • H05H1/46Generating plasma using applied electromagnetic fields, e.g. high frequency or microwave energy

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Plasma & Fusion (AREA)
  • Chemical & Material Sciences (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Inorganic Chemistry (AREA)
  • General Chemical & Material Sciences (AREA)
  • Analytical Chemistry (AREA)
  • Electromagnetism (AREA)
  • Spectroscopy & Molecular Physics (AREA)
  • Drying Of Semiconductors (AREA)

Abstract

본 발명은 플라즈마 조건하에 있어서 처리 가스를 사용하는 플라즈마 에칭 방법으로서, 식(I)로 나타내어지는 하이드로플루오로에테르에서 선택되는 적어도 1종을 처리 가스로서 사용하는 것을 특징으로 하는 플라즈마 에칭 방법이다. 식(1) 중, R은 수소 원자, 또는 CnF2n +1로 나타내어지는 플루오로알킬기를 나타낸다. m, n은 1≤m≤3, 3≤(m+n)≤4를 만족하는 정수를 나타낸다. 본 발명에 의하면, 실리콘 산화물의 에칭에 있어서, 산소나 수소를 사용하지 않아도 충분히 높은 에칭 속도를 얻으면서, 실리콘 질화물이나 실리콘, 유기 재료와의 높은 에칭 선택성을 실현할 수 있는 플라즈마 에칭 방법이 제공된다.

Description

플라즈마 에칭 방법{PLASMA ETCHING METHOD}
본 발명은 반도체 디바이스의 제조 공정에 있어서, 플라즈마 조건하에서 처리 가스를 사용하는 플라즈마 에칭 방법으로서, 실리콘 산화물과, 실리콘 질화물, 실리콘 및 유기막으로 이루어진 군에서 선택되는 적어도 일종을 동시에 에칭할 때, 실리콘 산화물을 선택적으로 플라즈마 에칭하는 방법에 관한 것이다.
반도체 디바이스의 제조에 있어서는 실리콘 화합물막〔예를 들면, SiO2막, Si3N4(SiN)막, SiC막 등〕을 소망하는 형상으로 미세 가공할 때, 처리 가스를 사용하여 플라즈마 에칭을 실시하는 공정이 있다. 플라즈마 에칭을 실시할 때는 가공 대상으로 하는 실리콘 화합물막과, 동일 기판 상에 혼재하는 가공 불요(비가공 대상)의 실리콘 화합물막이나 유기막의 에칭 선택성이 중요해진다.
종래, 이러한 가공 대상과 비가공 대상의 실리콘 화합물의 종류나 조합에 대해, 에칭 속도와 에칭 선택비의 요구를 만족하기 위해, 여러 가지 플라즈마 에칭용 처리 가스가 제안되어 있다. 예를 들면, 비특허문헌 1이나 특허문헌 1에는 실리콘 산화막의 에칭에는 C4F6, C4F8, C5F8라고 하는 플루오로카본이나 CH3F, C5HF7이라고 하는 하이드로플루오로카본을 처리 가스로서 사용하는 방법이 기재되어 있다.
상기 플루오로카본이나 하이드로플루오로카본은, 통상 플라즈마 에칭 시에 있어서는 아르곤 등의 희가스나 산소와 혼합하여 사용된다. 이것은 플루오로카본 또는 하이드로플루오로카본만을 처리 가스로서 사용하면, 기판 상에 두꺼운 플라즈마 중합막이 형성되어, 에칭이 진행되지 않기 때문이다.
여기서 희가스는 플루오로카본이나 하이드로플루오로카본을 희석하는 것을 목적으로 사용하고, 산소는 플라즈마 중합막의 전구체이고, 에칭에 기여하는 활성종이기도 한 CFx(x는 1~3의 정수)의 양을 제어하기 위해 사용된다. CFx의 양이 많으면 기판 상에서 중합막 형성이 발생하고, 적절한 양이 된 단계에서 비로소 에칭이 진행된다.
CFx의 양을 제어하기 위해서는, 통상은 혼합하는 산소의 유량으로 조정을 실시한다. 예를 들면, 산소 혼합 유량을 조금씩 증가시키면, 실리콘 산화물의 중합 속도가 서서히 저하해가고, 이윽고 중합막 형성이 우선적으로 발생했던 산소 혼합 유량 영역에서 실리콘 산화물이 에칭되는 산소의 유량 영역으로 바뀐다. 이 유량 영역에 있어서, 예를 들면 실리콘 질화물이나 실리콘, 포토레지스트 등의 유기막에 대해 실리콘 산화물의 에칭 속도가 충분히 높으면, 선택비가 좋은 실리콘 산화물의 에칭이 가능해진다.
또한, 실리콘 산화물의 에칭에 있어서, 예를 들면 실리콘에 대해 선택적인 에칭을 실현하고자 한 경우, 수소 가스를 첨가하는 방법이 알려져 있다. 수소 가스를 첨가하는 이유는, 플루오로카본 가스로부터 생성되는 불소 라디칼이 실리콘을 에칭하기 때문에, 수소 가스를 첨가하여 불소 라디칼을 HF라고 하는 형태로 포착하여, 실리콘이 에칭되는 것을 방지하기 위해서이다.
그러나, 수소 가스를 사용하는 것은 안전 대책상 용이하지 않고, 또한 수소 가스와 산소 가스를 동시에 사용하는 것은 더 용이하지 않다.
이러한 문제를 해결하는 방법으로서, 예를 들면 가스의 분자 중에 수소 원자를 포함하는 하이드로플루오로카본을 사용하는 방법을 생각할 수 있다.
그러나, 하이드로플루오로카본은 플루오로카본과 비교하여 중합하기 쉽고, 산소를 첨가함으로써 에칭을 제어하고자 하면, 충분한 에칭 속도와 높은 에칭 선택성을 동시에 만족하는 가스의 유량 조정이 매우 곤란해진다.
또한, 실리콘 산화물의 에칭에 있어서는 실리콘 질화물이나 실리콘, 유기막 등의 복수의 비가공 대상이 기판 상에 혼재하고, 어느 재료에 대해서도 높은 선택비를 유지하는 조건을 선정하면, 실리콘 산화막의 에칭 속도가 낮아져 버리므로, 에칭 공정의 생산성이 나빠지는 것도 문제가 된다.
특허문헌 1: 일본 특허 제5440170호(US2010/0264116A1) 비특허문헌 1: Nishi et al., Handbook of Semiconductor Manufacturing Technology, Second Edition(2007) p21-p43
본 발명은 상기 종래 기술을 감안하여 이루어진 것으로서, 실리콘 산화물의 에칭에 있어서, 산소나 수소를 사용하지 않아도 충분히 높은 에칭 속도를 얻으면서, 실리콘 질화물이나 실리콘, 유기 재료와의 높은 에칭 선택성을 실현할 수 있는 플라즈마 에칭 방법을 제공하는 것을 목적으로 한다.
본 발명자는 상기 과제를 해결하기 위해, 여러 가지 화합물을 에칭 가스로서 사용하여, 실리콘 산화막의 플라즈마 에칭 방법에 대해 예의 검토했다. 그 결과, 플라즈마 에칭용 처리 가스로서 어느 특정의 조건을 만족하는 하이드로플루오로에테르를 사용하면, 충분한 에칭 속도와, 실리콘 질화물, 실리콘, 유기막에서 선택되는 적어도 1종의 비가공 대상물에 대해 높은 에칭 선택비가 동시에 얻어지는 것을 알아내어, 본 발명을 완성시키기에 이르렀다.
이와 같이 하여 본 발명에 의하면, 하기 (1)~(4)의 플라즈마 에칭 방법이 제공된다.
(1) 플라즈마 조건하에 있어서 처리 가스를 사용하는 플라즈마 에칭 방법으로서, 식 (I)로 나타내어지는 하이드로플루오로에테르에서 선택되는 적어도 1종을 처리 가스로서 사용하는 것을 특징으로 하는 플라즈마 에칭 방법.
[화학식 1]
Figure pct00001
〔식 중, R은 수소 원자, 또는 CnF2n+1로 나타내어지는 플루오로알킬기를 나타낸다. m, n은 1≤m≤3, 3≤(m+n)≤4를 만족하는 정수를 나타낸다.〕
(2) 상기 하이드로플루오로에테르가 1,1,2,2,3,3,3-헵타플루오로프로필메틸에테르, 및 헵타플루오로이소프로필메틸에테르로 이루어진 군에서 선택되는 적어도 1종인, (1)에 기재된 플라즈마 에칭 방법.
(3) 상기 처리 가스로서, 상기 식(I)로 나타내어지는 하이드로플루오로에테르와 희가스를, 상기 식(I)로 나타내어지는 하이드로플루오로에테르 100 용량부에 대해, 희가스를 20~3000 용량부 함유하는 것을 사용하는, (1) 또는 (2)에 기재된 플라즈마 에칭 방법.
(4) 실리콘 산화물과, 실리콘 질화물, 실리콘 및 유기 재료로 이루어진 군에서 선택되는 적어도 일종을 동시에 에칭할 때, 실리콘 산화물을 선택적으로 에칭하는 것을 특징으로 하는, (1)~(3) 중 어느 하나에 기재된 플라즈마 에칭 방법.
본 발명의 플라즈마 에칭 방법에 의하면, 실리콘 산화물의 에칭에 있어서, 산소나 수소를 사용하지 않아도 충분히 높은 에칭 속도를 얻으면서, 실리콘 질화물이나 실리콘, 유기 재료와의 높은 에칭 선택성을 실현할 수 있다.
이하, 본 발명을 상세히 설명한다.
본 발명은 플라즈마 조건하에 있어서 처리 가스를 사용하는 플라즈마 에칭 방법으로서, 상기 식(I)로 나타내어지는 하이드로플루오로에테르에서 선택되는 적어도 1종을 처리 가스로서 사용하는 것을 특징으로 하는 플라즈마 에칭 방법이다.
본 발명에 있어서의 「플라즈마 에칭」이란, 처리 가스에 고주파의 전장을 인가하여 글로우 방전을 발생시키고, 처리 가스를 화학적으로 활성인 이온, 전자, 중성종으로 분리시켜, 이들 활성종과 에칭 대상 재료의 화학적 반응 및 물리적 충돌에 의한 반응을 이용하여 에칭을 실시하는 것을 말한다.
본 발명은 처리 가스로서 하기 식(I)로 나타내어지는 하이드로플루오로에테르에서 선택되는 적어도 1종(이하, 「하이드로플루오로에테르(I)」라고 하는 경우가 있다.)을 사용한다.
[화학식 2]
Figure pct00002
〔식 중, R은 수소 원자, 또는 CnF2n +1로 나타내어지는 플루오로알킬기를 나타낸다. m, n은 1≤m≤3, 3≤(m+n)≤4를 만족하는 정수를 나타낸다.〕
상기 하이드로플루오로에테르(I)의 구체예로서는 CF3-O-CH2-C2F5, CF3-O-CH2-n-C3F7, CF3-O-CH2-i-C3F7, C2F5-O-CH2-CF3, C2F5-O-CH2-C2F5, n-C3F7-O-CH3, i-C3F7-O-CH3을 들 수 있다.
이들 중에서도 본 발명에 있어서는 충분한 에칭 속도와 비가공 대상물에 대해 높은 에칭 선택비가 동시에 얻어지는 관점에서, 상기 하이드로플루오로에테르(I)로서는 n-C3F7-O-CH3, (1,1,2,2,3,3,3-헵타플루오로프로필메틸에테르), 및 i-C3F7-O-CH3, (헵타플루오로이소프로필메틸에테르)로 이루어진 군에서 선택되는 적어도 1종인 것이 바람직하다.
본 발명에서 사용하는 하이드로플루오로에테르는 상술한 식(I)과 같이, 동일 탄소 상에 불소와 수소 양방의 원소를 가지지 않는 것을 특징으로 하고 있다. 동일 탄소 상에 불소와 수소가 존재하는 경우, 에칭을 실시하는 플라즈마 환경에 있어서는 메틸기(-CH3)나 메틸렌기(-CH2-)보다도 수소가 탄소에서 해리하기 어렵고, 플라즈마 환경에 있어서 유리된 불소를 포착할 수 없기 때문이다. 유리된 불소를 포착할 수 없으면, 실리콘 질화막이나 레지스트에 대한 에칭 선택비가 저하해버린다.
또한, 하이드로플루오로에테르 분자 중의 탄소의 수가 적으면, 실리콘 질화막이나 포토레지스트 상에 퇴적막의 형성이 곤란해지므로, 에칭 선택비가 저하해버린다. 이 관점에서, 탄소수는 4 이상인 것이 바람직하고, 4 이상 5 이하인 것이 보다 바람직하다. 탄소수가 5를 초과하면, 하이드로플루오로에테르의 비점이 높아지고, 에칭에 사용하는 것이 곤란해진다.
본 발명에 있어서는 처리 가스로서 상기 하이드로플루오로에테르(I)에, 더, 희가스를 혼합한 것을 사용해도 된다. 희가스로서는 헬륨, 아르곤, 네온, 크립톤, 크세논으로 이루어진 군에서 선택되는 적어도 1종을 들 수 있다. 희가스를 혼합하여 사용함으로써, 보다 충분한 에칭 속도와 비가공 대상물에 대해 보다 높은 에칭 선택비가 동시에 얻어지는 플라즈마 에칭을 실현할 수 있다.
상기 처리 가스로서 하이드로플루오로에테르(I)와 희가스의 혼합 가스를 사용하는 경우, 희가스의 혼합 비율은 하이드로플루오로에테르(I) 100 용량부에 대해, 20~3000 용량부인 것이 바람직하고, 1000~2500 용량부인 것이 보다 바람직하며, 1800~2200 용량부인 것이 더 바람직하다.
처리 가스로서 사용하는 각 가스는 각각 독립하여 통상, 봄베 등의 용기에 충전되어 운반되고, 드라이 에칭 설비(드라이 에칭 챔버)에 접속, 설치된다. 그리고, 그 봄베의 밸브를 개방함으로써, 각 가스가 플라즈마의 작용을 받는 드라이 에칭 챔버 내에 도입되고, 각 가스에 플라즈마가 작용하여, 드라이 에칭이 진행된다.
본 발명의 플라즈마 에칭 방법은 실리콘 산화물, 실리콘 질화물, 실리콘 및 유기막을 동시에 에칭할 때, 실리콘 질화물, 실리콘, 유기막 각각에 대해 실리콘 산화물을 선택적으로 에칭하는 것을 특징으로 한다.
본 발명에 있어서의 「실리콘 산화물」이란, 예를 들면 SiO2, SiOC, SiOCH 등 산소 원자를 함유하는 실리콘 화합물을 말한다.
「실리콘 질화물」이란, Si3N4(SiN), SiCN 등 질소 원자를 함유하는 실리콘 화합물이다.
또한, 「실리콘」이란, 예를 들면 결정 실리콘이나 다결정 실리콘, 아몰퍼스 실리콘 등을 들 수 있다.
「유기 재료」란, 포토레지스트나 아몰퍼스 카본 등의 탄소계 재료를 나타낸다.
본 발명의 플라즈마 에칭 방법은 플라즈마 에칭 장치를 사용하여 실시할 수 있다. 사용하는 플라즈마 에칭 장치로서는 특별히 한정되지 않고, 종래 공지의 플라즈마 에칭 장치를 사용하면 된다. 예를 들면, 헬리콘파 방식, 고주파 유도 방식, 평행 평판 타입, 마그네트론 방식 및 마이크로파 방식 등의 장치를 들 수 있다. 본 발명에 있어서는 고밀도 영역의 플라즈마 발생이 용이한 평행 평판 타입, 고주파 유도 방식 및 마이크로파 방식의 장치가 호적하게 사용되지만, 특별히 이것들에 한정되는 것은 아니다.
플라즈마 에칭의 조건은 특별히 한정되지 않고, 종래 공지의 에칭 조건으로 실시하면 되는, 예를 들면, 평행 평판 타입으로 상부 전극이 60MHz, 하부 전극이 2MHz이고, 이들 전극간의 거리가 35mm인 고주파 플라즈마 에칭 장치를 이용하는 경우, 상부 전극에 대한 공급 전력은 200W~2000W, 하부 전극에 대한 공급 전력은 0~600W의 범위에서 자유롭게 조합할 수 있다.
기판 온도는 헬륨 가스와 냉각 장치를 이용하여 제어를 실시하는 것이 바람직하고, 제어 온도는 -50℃~+60℃, 보다 바람직하게는 -20℃~+40℃, 더 바람직하게는 -10℃~+20℃의 범위에서 설정한다. 플라즈마 에칭 장치의 반응 챔버 내의 압력은 1Pa~10Pa의 범위이다.
실시예
이하, 실시예 및 비교예에 의해, 본 발명을 더 상세히 설명한다. 단, 본 발명은 이하의 실시예에 전혀 한정되지 않고, 본 발명의 주지를 일탈하지 않는 범위에 있어서, 사용하는 처리 가스의 종류, 에칭 조건 등을 변경할 수 있다.
<실시예 1>
실리콘 산화막(SiO2), 실리콘 질화막(Si3N4), 다결정 실리콘막, ArF 엑시머레이져용의 포토레지스트 도포막을 가지는 실리콘 기판편을 동시에 플라즈마 에칭 장치에 도입하여, 플라즈마 에칭을 실시했다. 에칭 전후의 각 막두께의 변화로부터 에칭 속도를 산출했다. 또한, 에칭이 진행되지 않고 중합막이 생성된 경우는, 그 막두께를 에칭 시간으로 나눈 것에 음의 부호를 붙여 에칭 속도로서 나타냈다.
에칭 선택비는 실리콘 산화막의 에칭 속도를 선택 대상으로 하는 각 막의 에칭 속도로 나누는 것에 의해 구했다.
에칭 장치로서는 평행 평판 타입의 것을 사용하고, 60MHz의 상부 전극과 2MHz의 하부 전극을 35mm의 간격으로 설치했다.
에칭은 상부 전극의 전력을 600W, 하부 전극의 전력을 240W로 하고, 챔버 내 압력을 2Pa로 일정하게 하고, 하부의 냉각은 냉각 유닛을 0℃로 하고, 헬륨 압력을 1000Pa로 설정하여, 60초간 실시했다.
처리 가스로서 1,1,2,2,3,3,3-헵타플루오로프로필메틸에테르(C4H3F7O)를 10sccm, 아르곤을 200sccm로 플라즈마 장치에 도입했다.
에칭 결과, 실리콘 산화막의 에칭 속도는 258nm/min, 실리콘 질화막의 에칭 속도는 -118nm/min, 다결정 실리콘막의 에칭 속도는 -45nm/min, 포토레지스트의 에칭 속도는 9.6nm/min이었다.
실리콘 산화막의 에칭 선택비는 실리콘 질화막 및 다결정 실리콘에 대해 무한대(∞)이고, 포토레지스트에 대해 27.0이었다.
<실시예 2>
처리 가스를 1,1,2,2,3,3,3-헵타플루오로프로필메틸에테르(C4H3F7O)에서 헵타플루오로이소프로필메틸에테르(C4H3F7O)로 변경하는 것 이외는, 실시예 1과 동일한 조건으로 에칭을 실시했다.
에칭 결과, 실리콘 산화막의 에칭 속도는 278nm/min, 실리콘 질화막의 에칭 속도는 -116nm/min, 다결정 실리콘막의 에칭 속도는 -61nm/min, 포토레지스트의 에칭 속도는 -54nm/min이었다. 실리콘 산화막의 에칭 선택비는 실리콘 질화막, 다결정 실리콘, 포토레지스트 어느 것에 대해서도 무한대였다.
<비교예 1>
처리 가스를 1,1,2,2,3,3,3-헵타플루오로프로필메틸에테르(C4H3F7O)에서 1,1,2,2-테트라플루오로에틸메틸에테르(C3H4F4O)로 변경하는 것 이외는, 실시예 1과 동일한 조건으로 에칭을 실시했다.
에칭 결과, 실리콘 산화막의 에칭 속도는 225nm/min, 실리콘 질화막의 에칭 속도는 266nm/min, 다결정 실리콘막의 에칭 속도는 -39nm/min, 포토레지스트의 에칭 속도는 -41nm/min이었다. 실리콘 산화막의 에칭 선택비는 실리콘 질화막에 대해 0.8이고, 다결정 실리콘 및 포토레지스트에 대해 무한대였다.
<비교예 2>
처리 가스를 1,1,2,2,3,3,3-헵타플루오로프로필메틸에테르(C4H3F7O)에서 (1,2,2,2-테트라플루오로에틸)디플루오로메틸에테르(C3H2F6O)로 변경하는 것 이외는, 실시예 1과 동일한 조건으로 에칭을 실시했다.
에칭 결과, 실리콘 산화막의 에칭 속도는 272nm/min, 실리콘 질화막의 에칭 속도는 267nm/min, 다결정 실리콘막의 에칭 속도는 10.4nm/min, 포토레지스트의 에칭 속도는 40nm/min이었다.
실리콘 산화막의 에칭 선택비는 실리콘 질화막에 대해 1.0이고, 다결정 실리콘에 대해 26이며, 포토레지스트에 대해 6.8이었다.
<비교예 3>
처리 가스를 1,1,2,2,3,3,3-헵타플루오로프로필메틸에테르(C4H3F7O)에서 퍼플루오로(n-프로필비닐에테르)(C5F10O)로 변경하는 것 이외는, 실시예 1과 동일한 조건으로 에칭을 실시했다.
에칭 결과, 실리콘 산화막의 에칭 속도는 423nm/min, 실리콘 질화막의 에칭 속도는 84nm/min, 다결정 실리콘막의 에칭 속도는 62nm/min, 포토레지스트의 에칭 속도는 202nm/min이었다.
실리콘 산화막의 에칭 선택비는 실리콘 질화막에 대해 5.0이고, 다결정 실리콘에 대해 6.9이며, 포토레지스트에 대해 2.1이었다.
<비교예 4>
처리 가스를 1,1,2,2,3,3,3-헵타플루오로프로필메틸에테르(C4H3F7O)에서 디플루오로메틸-2,2,2-트리플루오로에틸에테르(C3H3F5O)로 변경하는 것 이외는 실시예 1과 동일한 조건으로 에칭을 실시했다.
에칭 결과, 실리콘 산화막의 에칭 속도는 239nm/min, 실리콘 질화막의 에칭 속도는 308nm/min, 다결정 실리콘막의 에칭 속도는 -53nm/min, 포토레지스트의 에칭 속도는 23nm/min이었다.
실리콘 산화막의 에칭 선택비는 실리콘 질화막에 대해 0.8이고, 다결정 실리콘에 대해 무한대이며, 포토레지스트에 대해 10이었다.
<비교예 5>
처리 가스를 1,1,2,2,3,3,3-헵타플루오로프로필메틸에테르(C4H3F7O)에서1,1,2,2,2-펜타플루오로에틸메틸에테르(C3H3F5O)로 변경하는 것 이외는 실시예 1과 동일한 조건으로 에칭을 실시했다.
에칭 결과, 실리콘 산화막의 에칭 속도는 227nm/min, 실리콘 질화막의 에칭 속도는 293nm/min, 다결정 실리콘막의 에칭 속도는 -46nm/min, 포토레지스트의 에칭 속도는 -43nm/min이었다.
실리콘 산화막의 에칭 선택비는 실리콘 질화막에 대해 0.8이고, 다결정 실리콘 및 포토레지스트 어느 것에 대해서도 무한대였다.
<비교예 6>
처리 가스를 1,1,2,2,3,3,3-헵타플루오로프로필메틸에테르(C4H3F7O)에서 헥사플루오로-1,3-부타디엔(C4F6)으로 변경하고, 산소를 5sccm 첨가하는 것 이외는, 실시예 1과 동일한 조건으로 에칭을 실시했다.
에칭 결과, 실리콘 산화막의 에칭 속도는 83nm/min, 실리콘 질화막의 에칭 속도는 -20nm/min, 다결정 실리콘막의 에칭 속도는 8.6nm/min, 포토레지스트의 에칭 속도는 2.5nm/min이었다.
실리콘 산화막의 에칭 선택비는 실리콘 질화막에 대해 무한대이고, 다결정 실리콘에 대해 9.6이며, 포토레지스트에 대해 33이었다.
<비교예 7>
처리 가스를 헥사플루오로-1,3-부타디엔(C4F6)에서 옥타플루오로시클로펜텐(C5F8)으로 변경하는 것 이외는, 비교예 6과 동일한 조건으로 에칭을 실시했다.
에칭 결과, 실리콘 산화막의 에칭 속도는 80nm/min, 실리콘 질화막의 에칭 속도는 -38nm/min, 다결정 실리콘막의 에칭 속도는 29nm/min, 포토레지스트의 에칭 속도는 -2.0nm/min이었다.
실리콘 산화막의 에칭 선택비는 실리콘 질화막에 대해 무한대이고, 다결정 실리콘에 대해 2.8이며, 포토레지스트에 대해 무한대였다.
본 발명에 있어서의 실시예 및 비교예를 하기 표 1에 정리하여 나타낸다. 또한, 비교예 6, 7은 실리콘 산화막의 에칭 가스로서 대표적인 불소 화합물을 사용했다. 또한, 이들 화합물은 산소를 첨가하지 않으면 에칭이 진행되지 않으므로, 산소 유량을 변화시켜 가장 실리콘 질화물 및 실리콘과의 선택비가 확보되는 조건을 선정했다.
표 1 중, 처리 가스의 성분으로서 사용한 불소 화합물은 다음과 같다.
A : CF3-CF2-CF2-O-CH3
B : CH3-O-CF(CF3)2
C : CHF2-CF2-O-CH3
D : CF3-CHF-O-CHF2
E : CF3-CF2-CF2-O-CF=CF2
F : CHF2-O-CH2-CF3
G : CH3-O-CF2-CF3
H : C4F6
I : C5F8
Figure pct00003
표 1로부터 다음과 같은 것을 알 수 있다.
실시예 1, 2에 있어서는 실리콘 산화물의 에칭 속도를 200nm/min 이상 유지하면서, 실리콘 질화물, 실리콘 및 포토레지스트에 대해 무한대 또는 매우 높은 에칭 선택비를 얻을 수 있다. 한편 비교예 1~5에 있어서는 에칭 속도는 충분히 높지만, 실리콘 질화물이나 포토레지스트와의 선택비가 확보되지 않는다. 또한, 비교예 6, 7에 있어서는 높은 에칭 선택비는 얻어지지만, 실리콘 산화물의 에칭 속도가 낮다.
본 발명의 실시예에 의하면, 실리콘 질화물, 실리콘, 포토레지스트에 대해서도 모두 높은 실리콘 산화물의 에칭 선택비를 얻을 수 있으므로, 예를 들면, 본 발명에 의해 실리콘 산화막과 실리콘 질화막이 혼재하는 기판이나 실리콘 산화막과 실리콘이 혼재하는 기판뿐만 아니라, 실리콘 산화막, 실리콘 질화막, 실리콘, 및 포토레지스트 등의 탄소계 재료가 혼재하는 기판에 있어서도 선택적으로 실리콘 산화물을 에칭할 수 있다.

Claims (4)

  1. 플라즈마 조건하에 있어서 처리 가스를 사용하는 플라즈마 에칭 방법으로서, 식(I)로 나타내어지는 하이드로플루오로에테르에서 선택되는 적어도 1종을 처리 가스로서 사용하는 것을 특징으로 하는, 플라즈마 에칭 방법.
    [화학식 1]
    Figure pct00004

    〔식 중, R은 수소 원자, 또는 CnF2n +1로 나타내어지는 플루오로알킬기를 나타낸다. m, n은 1≤m≤3, 3≤(m+n)≤4를 만족하는 정수를 나타낸다.〕
  2. 제 1 항에 있어서,
    상기 하이드로플루오로에테르가 1,1,2,2,3,3,3-헵타플루오로프로필메틸에테르, 및 헵타플루오로이소프로필메틸에테르로 이루어진 군에서 선택되는 적어도 1종인, 플라즈마 에칭 방법.
  3. 제 1 항 또는 제 2 항에 있어서,
    상기 처리 가스로서 상기 식(I)로 나타내어지는 하이드로플루오로에테르와 희가스를, 상기 식(I)로 나타내어지는 하이드로플루오로에테르 100 용량부에 대해, 희가스를 20~3000 용량부 함유하는 것을 사용하는, 플라즈마 에칭 방법.
  4. 제 1 항 내지 제 3 항 중 어느 한 항에 있어서,
    실리콘 산화물과, 실리콘 질화물, 실리콘 및 유기막으로 이루어진 군에서 선택되는 적어도 일종을 동시에 에칭할 때, 실리콘 산화물을 선택적으로 에칭하는 것을 특징으로 하는, 플라즈마 에칭 방법.
KR1020177013905A 2014-10-30 2015-10-22 플라즈마 에칭 방법 KR102494959B1 (ko)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
JPJP-P-2014-221423 2014-10-30
JP2014221423 2014-10-30
PCT/JP2015/079785 WO2016068004A1 (ja) 2014-10-30 2015-10-22 プラズマエッチング方法

Publications (2)

Publication Number Publication Date
KR20170076737A true KR20170076737A (ko) 2017-07-04
KR102494959B1 KR102494959B1 (ko) 2023-02-01

Family

ID=55857343

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020177013905A KR102494959B1 (ko) 2014-10-30 2015-10-22 플라즈마 에칭 방법

Country Status (8)

Country Link
US (1) US20170243756A1 (ko)
EP (1) EP3214640B1 (ko)
JP (1) JP6696429B2 (ko)
KR (1) KR102494959B1 (ko)
CN (1) CN107112232A (ko)
SG (1) SG11201703122PA (ko)
TW (1) TWI670768B (ko)
WO (1) WO2016068004A1 (ko)

Cited By (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20200018897A (ko) * 2018-08-13 2020-02-21 아주대학교산학협력단 플라즈마 식각 방법
WO2021033884A1 (ko) * 2019-08-20 2021-02-25 아주대학교산학협력단 플라즈마 식각 방법
KR20210032246A (ko) * 2019-09-16 2021-03-24 아주대학교산학협력단 플라즈마 식각 방법
KR20210123826A (ko) * 2020-04-06 2021-10-14 아주대학교산학협력단 PIPVE(perfluoroisopropyl vinyl ether)를 이용한 플라즈마 식각 방법
KR20220008007A (ko) 2020-07-13 2022-01-20 (주)옵토레인 실리콘 기판의 금속촉매습식식각 방법
WO2024029776A1 (ko) * 2022-08-05 2024-02-08 아주대학교산학협력단 헵타플루오로프로필 메틸 에테르와 헵타플루오로이소프로필 메틸 에테르를 이용한 플라즈마 식각 방법

Families Citing this family (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2017159511A1 (ja) * 2016-03-16 2017-09-21 日本ゼオン株式会社 プラズマエッチング方法
JP6637838B2 (ja) * 2016-05-26 2020-01-29 東京エレクトロン株式会社 プラズマ処理方法
JP2018046185A (ja) * 2016-09-15 2018-03-22 東京エレクトロン株式会社 酸化シリコン及び窒化シリコンを互いに選択的にエッチングする方法
US10305029B1 (en) 2017-11-10 2019-05-28 International Business Machines Corporation Image reversal process for tight pitch pillar arrays
US10304692B1 (en) * 2017-11-28 2019-05-28 International Business Machines Corporation Method of forming field effect transistor (FET) circuits, and forming integrated circuit (IC) chips with the FET circuits
JP7387377B2 (ja) * 2019-10-18 2023-11-28 キオクシア株式会社 プラズマエッチング方法及びプラズマエッチング装置
KR102441772B1 (ko) * 2020-11-13 2022-09-07 아주대학교산학협력단 플라즈마 식각 방법

Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS5440170B2 (ko) 1973-10-22 1979-12-01
JPH10140151A (ja) * 1996-11-05 1998-05-26 Agency Of Ind Science & Technol ドライエッチング用ガス
US20020045353A1 (en) * 2000-08-29 2002-04-18 Kang Chang-Jin Method for manufacturing semiconductor device using octafluorobutene etching gas and semiconductor device manufactured thereby
JP2006074013A (ja) * 2004-07-23 2006-03-16 Air Products & Chemicals Inc 基板から炭素含有残渣類を除去する方法
US20110088718A1 (en) * 2009-10-16 2011-04-21 Matheson Tri-Gas, Inc. Chamber cleaning methods using fluorine containing cleaning compounds

Family Cites Families (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6121163A (en) * 1996-02-09 2000-09-19 Applied Materials, Inc. Method and apparatus for improving the film quality of plasma enhanced CVD films at the interface
JPH10223614A (ja) * 1997-02-12 1998-08-21 Daikin Ind Ltd エッチングガスおよびクリーニングガス
JP3400770B2 (ja) * 1999-11-16 2003-04-28 松下電器産業株式会社 エッチング方法、半導体装置及びその製造方法
US6455479B1 (en) * 2000-08-03 2002-09-24 Shipley Company, L.L.C. Stripping composition
US7256134B2 (en) * 2003-08-01 2007-08-14 Applied Materials, Inc. Selective etching of carbon-doped low-k dielectrics
CN101015044A (zh) * 2004-05-31 2007-08-08 独立行政法人产业技术综合研究所 干式蚀刻气体及干式蚀刻方法
CN100461344C (zh) * 2004-07-23 2009-02-11 气体产品与化学公司 从基板上清除含碳的残余物的方法
JP2008053507A (ja) * 2006-08-25 2008-03-06 Matsushita Electric Ind Co Ltd ドライエッチング方法
WO2009142281A1 (ja) * 2008-05-22 2009-11-26 旭硝子株式会社 フッ素化合物による洗浄方法
US9028924B2 (en) * 2010-03-25 2015-05-12 Novellus Systems, Inc. In-situ deposition of film stacks

Patent Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS5440170B2 (ko) 1973-10-22 1979-12-01
JPH10140151A (ja) * 1996-11-05 1998-05-26 Agency Of Ind Science & Technol ドライエッチング用ガス
US20020045353A1 (en) * 2000-08-29 2002-04-18 Kang Chang-Jin Method for manufacturing semiconductor device using octafluorobutene etching gas and semiconductor device manufactured thereby
JP2006074013A (ja) * 2004-07-23 2006-03-16 Air Products & Chemicals Inc 基板から炭素含有残渣類を除去する方法
US20110088718A1 (en) * 2009-10-16 2011-04-21 Matheson Tri-Gas, Inc. Chamber cleaning methods using fluorine containing cleaning compounds

Non-Patent Citations (1)

* Cited by examiner, † Cited by third party
Title
비특허문헌 1: Nishi et al., Handbook of Semiconductor Manufacturing Technology, Second Edition(2007) p21-p43

Cited By (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20200018897A (ko) * 2018-08-13 2020-02-21 아주대학교산학협력단 플라즈마 식각 방법
US10865343B2 (en) 2018-08-13 2020-12-15 Ajou University Industry-Academic Cooperation Foundation Plasma etching method
WO2021033884A1 (ko) * 2019-08-20 2021-02-25 아주대학교산학협력단 플라즈마 식각 방법
KR20210022257A (ko) * 2019-08-20 2021-03-03 아주대학교산학협력단 플라즈마 식각 방법
KR20210032246A (ko) * 2019-09-16 2021-03-24 아주대학교산학협력단 플라즈마 식각 방법
WO2021054567A1 (ko) * 2019-09-16 2021-03-25 아주대학교산학협력단 플라즈마 식각 방법
KR20210123826A (ko) * 2020-04-06 2021-10-14 아주대학교산학협력단 PIPVE(perfluoroisopropyl vinyl ether)를 이용한 플라즈마 식각 방법
WO2021206287A1 (ko) * 2020-04-06 2021-10-14 아주대학교 산학협력단 Pipve(perfluoroisopropyl vinyl ether)를 이용한 플라즈마 식각 방법
KR20220008007A (ko) 2020-07-13 2022-01-20 (주)옵토레인 실리콘 기판의 금속촉매습식식각 방법
WO2024029776A1 (ko) * 2022-08-05 2024-02-08 아주대학교산학협력단 헵타플루오로프로필 메틸 에테르와 헵타플루오로이소프로필 메틸 에테르를 이용한 플라즈마 식각 방법

Also Published As

Publication number Publication date
WO2016068004A1 (ja) 2016-05-06
TWI670768B (zh) 2019-09-01
US20170243756A1 (en) 2017-08-24
JPWO2016068004A1 (ja) 2017-08-10
SG11201703122PA (en) 2017-06-29
EP3214640A4 (en) 2018-06-20
JP6696429B2 (ja) 2020-05-20
EP3214640A1 (en) 2017-09-06
TW201620037A (zh) 2016-06-01
EP3214640B1 (en) 2020-01-15
CN107112232A (zh) 2017-08-29
KR102494959B1 (ko) 2023-02-01

Similar Documents

Publication Publication Date Title
KR102494959B1 (ko) 플라즈마 에칭 방법
KR102090650B1 (ko) 드라이 에칭 방법
WO2013015033A1 (ja) ドライエッチング剤
TWI491710B (zh) Dry etchants and dry etching methods using them
TWI621179B (zh) Dry etching method
KR102547222B1 (ko) 드라이 에칭 방법
CN108780749B (zh) 等离子体蚀刻方法
KR20190042556A (ko) 플라즈마 에칭 방법
KR102303686B1 (ko) 드라이 에칭제, 드라이 에칭 방법 및 반도체 장치의 제조방법
JP2017050413A (ja) プラズマエッチング方法
JP2012043869A (ja) エッチングガスおよびエッチング方法
KR101711647B1 (ko) 도전성 라인 사이의 유전 물질 제거 방법
JP6569578B2 (ja) プラズマエッチング方法
KR20180128901A (ko) 플라즈마 에칭 방법
JP2018032667A (ja) プラズマエッチング方法
JP2016051777A (ja) シリコン酸化膜のプラズマエッチング方法
JP2016025132A (ja) プラズマエッチング方法

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant