WO2015156171A1 - 半導体素子の洗浄用液体組成物、および半導体素子の洗浄方法 - Google Patents

半導体素子の洗浄用液体組成物、および半導体素子の洗浄方法 Download PDF

Info

Publication number
WO2015156171A1
WO2015156171A1 PCT/JP2015/060129 JP2015060129W WO2015156171A1 WO 2015156171 A1 WO2015156171 A1 WO 2015156171A1 JP 2015060129 W JP2015060129 W JP 2015060129W WO 2015156171 A1 WO2015156171 A1 WO 2015156171A1
Authority
WO
WIPO (PCT)
Prior art keywords
mass
cleaning
cobalt
liquid composition
semiconductor element
Prior art date
Application number
PCT/JP2015/060129
Other languages
English (en)
French (fr)
Inventor
憲司 島田
Original Assignee
三菱瓦斯化学株式会社
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 三菱瓦斯化学株式会社 filed Critical 三菱瓦斯化学株式会社
Priority to EP15776802.9A priority Critical patent/EP3093875A4/en
Priority to US15/118,230 priority patent/US9803162B2/en
Priority to KR1020157030020A priority patent/KR101608952B1/ko
Priority to JP2015533336A priority patent/JP5835534B1/ja
Priority to CN201580000662.1A priority patent/CN105210176B/zh
Publication of WO2015156171A1 publication Critical patent/WO2015156171A1/ja
Priority to IL247182A priority patent/IL247182A/en

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D3/00Other compounding ingredients of detergent compositions covered in group C11D1/00
    • C11D3/39Organic or inorganic per-compounds
    • C11D3/3947Liquid compositions
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D7/00Compositions of detergents based essentially on non-surface-active compounds
    • C11D7/02Inorganic compounds
    • C11D7/04Water-soluble compounds
    • C11D7/06Hydroxides
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D7/00Compositions of detergents based essentially on non-surface-active compounds
    • C11D7/22Organic compounds
    • C11D7/32Organic compounds containing nitrogen
    • C11D7/3209Amines or imines with one to four nitrogen atoms; Quaternized amines
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D7/00Compositions of detergents based essentially on non-surface-active compounds
    • C11D7/22Organic compounds
    • C11D7/32Organic compounds containing nitrogen
    • C11D7/3281Heterocyclic compounds
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D7/00Compositions of detergents based essentially on non-surface-active compounds
    • C11D7/22Organic compounds
    • C11D7/36Organic compounds containing phosphorus
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02057Cleaning during device manufacture
    • H01L21/0206Cleaning during device manufacture during, before or after processing of insulating layers
    • H01L21/02063Cleaning during device manufacture during, before or after processing of insulating layers the processing being the formation of vias or contact holes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31144Etching the insulating layers by chemical or physical means using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32133Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only
    • H01L21/32134Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by liquid etching only
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76807Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D2111/00Cleaning compositions characterised by the objects to be cleaned; Cleaning compositions characterised by non-standard cleaning or washing processes
    • C11D2111/10Objects to be cleaned
    • C11D2111/14Hard surfaces
    • C11D2111/22Electronic devices, e.g. PCBs or semiconductors

Definitions

  • the present invention relates to a cleaning liquid composition used in a manufacturing process of a semiconductor integrated circuit, and a semiconductor element cleaning method using the same. More specifically, the present invention particularly relates to a method of laminating a barrier insulating film, a low dielectric constant interlayer insulating film, a hard mask, and a photoresist on a substrate having a barrier metal, a metal wiring, and a low dielectric constant interlayer insulating film.
  • the photoresist is subjected to a selective exposure followed by a development process to form a photoresist pattern, and then using the photoresist pattern as a mask, a hard mask, a low dielectric constant interlayer insulating film, and a barrier insulating film laminated on the substrate
  • the present invention relates to a liquid composition for cleaning and a cleaning method using the same.
  • a conductive thin film such as a metal film, which is a conductive wiring material, or an interlayer insulating film for the purpose of insulating between conductive thin films is usually formed on an element such as a silicon wafer.
  • a photoresist is uniformly coated on the surface to provide a photosensitive layer, which is subjected to selective exposure and development to form a desired photoresist pattern.
  • the interlayer insulating film is dry-etched to form a desired pattern on the thin film.
  • a series of steps is generally taken to completely remove the photoresist pattern and the residue generated by the dry etching process (hereinafter referred to as “dry etching residue”) by ashing using oxygen plasma or a cleaning solution. .
  • the interlayer insulating film is moving from a silicon oxide film to a low dielectric constant interlayer insulating film (a film having a relative dielectric constant smaller than 3; hereinafter referred to as “low dielectric constant interlayer insulating film”).
  • a low dielectric constant interlayer insulating film a film having a relative dielectric constant smaller than 3; hereinafter referred to as “low dielectric constant interlayer insulating film”.
  • a titanium (Ti) or silicon (Si) film (hereinafter referred to as “hard mask”) is inserted between the pattern film to be actually formed and the photoresist film, and the photoresist is temporarily formed.
  • a hard mask method may be used in which a pattern is transferred to a hard mask by dry etching, the photoresist is removed, and then the hard mask is used as an etching mask to transfer the pattern to a film to be actually formed by dry etching.
  • This method can change the gas used when etching the hard mask and the gas used when actually etching the film to be formed.
  • the selectivity ratio between the photoresist and the hard mask can be taken.
  • etching a film it is possible to select a gas that ensures a selection ratio between the hard mask and the film to be actually etched. Therefore, there is an advantage that a pattern can be formed while damaging the actual film is minimized.
  • the low dielectric constant interlayer insulating film may be exposed to oxygen plasma and damaged.
  • the low dielectric constant interlayer insulating film in the via portion or trench portion is damaged, resulting in electrical characteristics.
  • the quality of the battery deteriorates significantly.
  • the dry etching residue adheres to the wafer in the hard mask removing step, the dry etching residue must be removed at the same time.
  • Patent Document 2 International Publication No. 2008/114616 proposes a semiconductor element cleaning method using a cleaning composition containing hydrogen peroxide, aminopolymethylene phosphones, potassium hydroxide and water.
  • Patent Document 3 Japanese Patent Laid-Open No. 2010-2324866 discloses that at least one selected from the group consisting of ammonia, a compound having an amino group and a compound having a cyclic structure containing a nitrogen atom and hydrogen peroxide in an aqueous medium. And an etching composition having a pH exceeding 8.5 has been proposed.
  • Patent Document 4 Japanese Patent Publication No. 2005-529363 discloses a polar organic solvent selected from the group consisting of dimethylpiperidone, sulfones and sulfolanes, tetraalkylammonium hydroxide, choline hydroxide, sodium hydroxide and water. Selected from the group consisting of a base selected from the group consisting of potassium oxide, water and trans-1,2-cyclohexanediaminetetraacetic acid, ethane-1-hydroxy-1,1-diphosphonate and ethylenediaminetetra (methylenephosphonic acid) Cleaning compositions containing chelating or metal complexing agents that have been proposed have been proposed.
  • Patent Document 5 Japanese Patent Laid-Open No. 2003-234307 discloses a method for cleaning a semiconductor element in which a titanium nitride (TiN) film is removed and cobalt (Co) silicide is not etched by cleaning with a sulfuric acid aqueous solution at 70 ° C. or higher. Proposed.
  • Patent Document 6 International Publication No. 2007/072727 contains hydrogen peroxide, an azole compound and a hydrogen peroxide stabilizer, and has a pH of 1 to 7 and a residue for removing dry etching residues. Removal compositions have been proposed.
  • JP 2013-187350 A International Publication No. 2008/114616 JP 2010-232486 A JP 2005-529363 A JP 2003-234307 A International Publication No. 2007/072727
  • Patent Documents 2 to 6 The cleaning liquid composition described in Patent Document 2 cannot sufficiently suppress cobalt damage and cannot be used for this purpose (see Comparative Example 1).
  • the etching composition described in Patent Document 3 has insufficient removal of hard masks and dry etching residues, and cannot sufficiently prevent damage to cobalt and the low dielectric constant interlayer insulating film. I can not use it.
  • An object of the present invention is to provide a cleaning liquid composition for removing a hard mask and a dry etching residue while suppressing damage to a low dielectric constant interlayer insulating film and cobalt or a cobalt alloy in manufacturing a semiconductor element, and a cleaning method using the same Is to provide.
  • the present invention provides a method for solving the above problems.
  • the present invention is as follows. 1.
  • a semiconductor device comprising a low dielectric constant interlayer insulation film, a hard mask and cobalt or cobalt alloy
  • the hard mask and dry etching residue are removed while suppressing damage to the low dielectric constant interlayer insulation film and cobalt or cobalt alloy.
  • a cleaning liquid composition comprising 10 to 30% by weight of hydrogen peroxide, 0.005 to 0.7% by weight of potassium hydroxide, 0.0001 to 0.01% by weight of aminopolymethylenephosphonic acid,
  • a cleaning liquid composition comprising 0.001 to 5% by mass of at least one selected from amines and azoles and water. 2.
  • the azoles include 1-methylimidazole, 1-vinylimidazole, 2-phenylimidazole, 2-ethyl-4-methylimidazole, N-benzyl-2-methylimidazole, 2-methylbenzimidazole, pyrazole, 4- A first one or more selected from the group consisting of methylpyrazole, 3,5-dimethylpyrazole, 1,2,4-triazole, 1H-benzotriazole, 5-methyl-1H-benzotriazole, and 1H-tetrazole The cleaning liquid composition according to Item. 4.
  • the aminopolymethylenephosphonic acid is selected from the group consisting of aminotri (methylenephosphonic acid), ethylenediaminetetra (methylenephosphonic acid), diethylenetriaminepenta (methylenephosphonic acid) and 1,2-propylenediaminetetra (methylenephosphonic acid).
  • the cleaning liquid composition is used to suppress damage to the low dielectric constant interlayer insulating film and the cobalt or cobalt alloy.
  • a cleaning method for removing hard masks and dry etching residues wherein hydrogen peroxide is 10 to 30% by mass, potassium hydroxide is 0.005 to 0.7% by mass, and aminopolymethylenephosphonic acid is 0.0001 to A cleaning method comprising: bringing a cleaning liquid composition containing 0.01% by mass, 0.001 to 5% by mass of at least one selected from amines and azoles and water into contact with the semiconductor element. 6. The cleaning method according to item 5, wherein the amine is 1,2-propanediamine and / or 1,3-propanediamine. 7.
  • the azoles include 1-methylimidazole, 1-vinylimidazole, 2-phenylimidazole, 2-ethyl-4-methylimidazole, N-benzyl-2-methylimidazole, 2-methylbenzimidazole, pyrazole, 4- 5th or more selected from the group consisting of methylpyrazole, 3,5-dimethylpyrazole, 1,2,4-triazole, 1H-benzotriazole, 5-methyl-1H-benzotriazole, and 1H-tetrazole
  • the aminopolymethylenephosphonic acid is selected from the group consisting of aminotri (methylenephosphonic acid), ethylenediaminetetra (methylenephosphonic acid), diethylenetriaminepenta (methylenephosphonic acid) and 1,2-propylenediaminetetra (methylenephosphonic acid). 6.
  • the cleaning liquid composition and the cleaning method of the present invention in the manufacturing process of a semiconductor element, the low dielectric constant interlayer insulating film, the metal wiring, the barrier metal, and the barrier insulating film are suppressed from being damaged.
  • the hard mask and dry etching residue on the surface of the object can be removed, and a high-precision and high-quality semiconductor element can be manufactured with a high yield.
  • pattern 1 It is an example (pattern 1) of sectional drawing of the wiring structure of the semiconductor element cleaned using the cleaning liquid composition of the present invention. It is an example (pattern 2) of sectional drawing of the wiring structure of the semiconductor element wash
  • cleaning liquid is at least one selected from hydrogen peroxide, potassium hydroxide, aminopolymethylene phosphonic acid, amines and azoles. And water.
  • the liquid composition for cleaning hard masks and dry etching residues in the present invention is used in the process of making a semiconductor element, and must prevent damage to the low dielectric constant interlayer insulating film, metal wiring, barrier metal, and barrier insulating film. I must.
  • the concentration range of hydrogen peroxide used in the present invention is 10 to 30% by mass, preferably 13 to 25% by mass, and particularly preferably 15 to 20% by mass.
  • concentration range of hydrogen peroxide is 10 to 30% by mass, the hard mask, dry etching residue and photoresist can be effectively removed, and damage to the metal wiring and barrier metal can be suppressed.
  • the concentration range of potassium hydroxide used in the present invention is 0.005 to 0.7% by mass, preferably 0.01 to 0.5% by mass, and particularly preferably 0.02 to 0.4% by mass. %.
  • concentration range of potassium hydroxide is 0.005 to 0.7 mass%, the hard mask and dry etching residue can be effectively removed, and damage to the low dielectric constant interlayer insulating film and metal wiring can be suppressed.
  • aminopolymethylenephosphonic acid used in the present invention examples include, for example, aminotri (methylenephosphonic acid), ethylenediaminetetra (methylenephosphonic acid), diethylenetriaminepenta (methylenephosphonic acid), 1,2-propylenediaminetetra (methylene Phosphonic acid) and the like. These aminopolymethylene phosphonic acids can be blended alone or in combination of two or more.
  • the concentration range of the aminopolymethylenephosphonic acid used in the present invention is 0.00001 to 0.01% by mass, preferably 0.00005 to 0.007% by mass, particularly preferably 0.0001 to 0.01% by mass. 0.005% by mass.
  • concentration range is in the range of 0.00001 to 0.01% by mass, hydrogen peroxide decomposition and metal wiring damage can be suppressed.
  • the amines used in the present invention are preferably 1,2-propanediamine and / or 1,3-propanediamine.
  • the concentration range of the amines is 0.001 to 5% by mass, preferably 0.01 to 4% by mass, and particularly preferably 0.05 to 3% by mass. When the concentration range of amines is within the range of 0.001 to 5 mass%, damage to the metal wiring can be suppressed.
  • the azoles used in the present invention include 1-methylimidazole, 1-vinylimidazole, 2-phenylimidazole, 2-ethyl-4-methylimidazole, N-benzyl-2-methylimidazole, 2-methylbenzimidazole, pyrazole, One or more selected from 4-methylpyrazole, 3,5-dimethylpyrazole, 1,2,4-triazole, 1H-benzotriazole, 5-methyl-1H-benzotriazole, and 1H-tetrazole, It is not limited to.
  • the amines and azoles used in the present invention may be used alone or in combination of two or more.
  • the concentration range of the azoles is 0.001 to 5% by mass, preferably 0.01 to 4% by mass, and particularly preferably 0.05 to 3% by mass. When the concentration of the azole is within the above range, damage to the metal wiring can be suppressed.
  • the cleaning liquid composition of the present invention may be blended with additives conventionally used in semiconductor cleaning liquid compositions as long as they do not impair the purpose of the present invention.
  • additives conventionally used in semiconductor cleaning liquid compositions as long as they do not impair the purpose of the present invention.
  • a surfactant, an antifoaming agent, etc. can be added as an additive.
  • the cleaning method of the present invention in a semiconductor element comprising a low dielectric constant interlayer insulating film, a hard mask, and a cobalt or cobalt alloy, the low dielectric constant interlayer insulating film and the cobalt or cobalt alloy are damaged by using the cleaning liquid composition.
  • the hard mask and the dry etching residue are removed while suppressing hydrogen peroxide.
  • the hydrogen peroxide is 10 to 30% by mass
  • the potassium hydroxide is 0.005 to 0.7% by mass
  • the aminopolymethylene phosphonic acid is 0%.
  • the method for bringing the cleaning liquid composition of the present invention into contact with a semiconductor element is not particularly limited.
  • a method in which a semiconductor element is immersed in the cleaning liquid composition of the present invention a method in which the semiconductor element is brought into contact with the cleaning liquid composition by dropping, spraying, or the like can be employed.
  • the temperature at which the cleaning liquid composition of the present invention is used is preferably 20 to 80 ° C., more preferably 25 to 70 ° C., and may be appropriately selected depending on the etching conditions and the semiconductor substrate used. .
  • ultrasonic waves can be used in combination as necessary.
  • the time for using the cleaning liquid composition of the present invention is preferably in the range of 0.3 to 20 minutes, particularly preferably in the range of 0.5 to 10 minutes, and may be appropriately selected depending on the etching conditions and the semiconductor substrate used. Good.
  • an organic solvent such as alcohol can be used, but rinsing with water is sufficient.
  • a semiconductor element and a display element include substrate materials such as silicon, amorphous silicon, polysilicon, and glass; insulating materials such as silicon oxide, silicon nitride, silicon carbide, and derivatives thereof; tantalum, tantalum nitride, ruthenium, Barrier materials such as ruthenium oxide; wiring materials such as copper and copper alloys; compound semiconductors such as gallium-arsenic, gallium-phosphorus, indium-phosphorus, indium-gallium-arsenic, indium-aluminum-arsenic; oxidation of chromium oxide, etc. Includes physical semiconductors.
  • hydroxysilsesquioxane (HSQ) -based or methylsilsesquioxane (MSQ) -based OCD® (trade name, manufactured by Tokyo Ohka Kogyo Co., Ltd.), carbon-doped silicon oxide (SiOC) Black Black Diamond (trade name, manufactured by Applied Materials), Aurora (trade name, manufactured by ASM International), Coral (trade name, manufactured by Novellus Systems), and the like are used.
  • the low dielectric constant interlayer insulating film is not limited to these.
  • tantalum, tantalum nitride, ruthenium, manganese, magnesium, cobalt and oxides thereof are used as barrier metals.
  • the barrier metal is not limited to these.
  • barrier insulating film silicon nitride, silicon carbide, silicon nitride carbide or the like is used as the barrier insulating film.
  • the barrier insulating film is not limited to these.
  • Titanium nitride, titanium or the like is used as a hard mask to which the present invention can be applied.
  • metal wiring to which the present invention can be applied copper or a copper alloy formed with cobalt or a cobalt alloy, cobalt or a cobalt alloy, or the like is used.
  • the metal wiring When copper or copper alloy with cobalt or cobalt alloy is used as the metal wiring, copper or copper alloy is usually covered with cobalt or cobalt alloy. Do not touch the cleaning solution. However, if there is even a slight defect in cobalt or a cobalt alloy, the cleaning liquid composition will come into contact with copper or a copper alloy. Therefore, the cleaning liquid composition for this purpose needs to have anticorrosive properties against copper or copper alloy. Since the cleaning liquid composition of the present invention also has anticorrosive properties against copper or a copper alloy, it can be used for metal wiring in which cobalt or a cobalt alloy is formed on copper or a copper alloy.
  • the semiconductor element cleaned with the cleaning liquid composition of the present invention is not particularly limited as long as it comprises a low dielectric constant interlayer insulating film, a hard mask, and cobalt or a cobalt alloy.
  • the cleaning liquid composition of the present invention includes, for example, a barrier insulating film on a substrate having a barrier metal, a metal wiring, and a low dielectric constant interlayer insulating film, or a substrate having a metal wiring and a low dielectric constant interlayer insulating film.
  • the photoresist is subjected to a development process following selective exposure to form a photoresist pattern, and then using the photoresist pattern as a mask, It can be used for cleaning a semiconductor element in which a hard mask, a low dielectric constant interlayer insulating film and a barrier insulating film laminated on a substrate are subjected to dry etching.
  • a semiconductor element include a semiconductor element having a cross section of a wiring structure as shown in FIGS. In FIG.
  • a barrier insulating film 5, a low dielectric constant is formed on a substrate having a metal wiring in which cobalt or a cobalt alloy 1 is formed on a barrier metal 7 and copper or a copper alloy 6, and a low dielectric constant interlayer insulating film 4.
  • the interlayer insulating film 4 and the hard mask 2 are laminated to form a predetermined pattern.
  • a dry etching residue 3 is attached to the surface of the semiconductor element. 2 has the same wiring structure as that in FIG. 1 except that cobalt or cobalt alloy 1 is used as the metal wiring.
  • these semiconductor elements are cleaned using the cleaning liquid composition of the present invention, thereby suppressing damage to the low dielectric constant interlayer insulating film, metal wiring, barrier metal and barrier insulating film.
  • the hard mask and dry etching residue can be removed.
  • ⁇ Material removal state and damage evaluation method and evaluation equipment> The removal state of the hard mask and / or dry etching residue from the substrate and the damage evaluation of the low dielectric constant interlayer insulating film and cobalt or cobalt alloy were performed by SEM observation.
  • SEM apparatus an ultra-high resolution field emission scanning electron microscope SU9000 manufactured by Hitachi High-Technologies Corporation was used.
  • Examples 1 to 29 In the test, a semiconductor element having a cross section of a wiring structure as shown in FIG. 1 or FIG. 2 was used. In order to remove the hard mask 2 and the dry etching residue 3, the semiconductor element is immersed in the cleaning liquid composition shown in Table 1 at the temperature and time shown in Table 2, and then rinsed with ultrapure water and dried nitrogen Drying by gas injection was performed. By observing the cleaned semiconductor element with an SEM, the removal state of the hard mask 2 and the dry etching residue 3 and the damage to the cobalt or cobalt alloy 1, the low dielectric constant interlayer insulating film 4, the barrier insulating film 5, and the barrier metal 7 are observed. It was judged. The stability of hydrogen peroxide was also examined.
  • Example 1 to 29 to which the cleaning liquid composition of the present invention shown in Table 2 is applied the hard mask 2 and the dry etching residue 3 are removed, and the cobalt or cobalt alloy 1 and the low dielectric constant interlayer insulating film 4 are formed. You can see that it is preventing damage. In all the examples, damage to the barrier insulating film 5, copper or copper alloy 6 and barrier metal 7 was not observed. The decomposition rate of hydrogen peroxide was less than 10%.
  • Comparative Example 1 An aqueous solution comprising 15% by mass of hydrogen peroxide, 0.02% by mass of potassium hydroxide, 0.0005% by mass of 1,2-propylenediaminetetra (methylenephosphonic acid) and 84.9795% by mass of water described in Patent Document 2 (Table 3.
  • the semiconductor element shown in FIG. 1 was cleaned with the cleaning liquid 3A).
  • Table 4 shows cleaning conditions and evaluation results. Hard mask 2 and dry etching residue 3 could be removed, damage to low dielectric constant interlayer insulating film 4 was prevented, and although the decomposition rate of hydrogen peroxide was small, large holes were found in cobalt or cobalt alloy 1.
  • Comparative Example 3 In an aqueous solution (Table 3, cleaning liquid 3C) comprising 3% by mass of hydrogen peroxide, 2% by mass of potassium hydroxide, 70% by mass of sulfolane, 1% by mass of diethylenetriaminepenta (methylenephosphonic acid), and 24% by mass of water described in Patent Document 4.
  • cleaning liquid 3C comprising 3% by mass of hydrogen peroxide, 2% by mass of potassium hydroxide, 70% by mass of sulfolane, 1% by mass of diethylenetriaminepenta (methylenephosphonic acid), and 24% by mass of water described in Patent Document 4.
  • Table 4 shows cleaning conditions and evaluation results. The decomposition rate of hydrogen peroxide was large, the hard mask 2 and the dry etching residue 3 could not be removed, and damage to the low dielectric constant interlayer insulating film 4 could not be prevented.
  • Comparative Example 4 The semiconductor element shown in FIG. 1 was cleaned with an aqueous solution (Table 3, cleaning solution 3D) comprising 98% by mass of sulfuric acid and 2% by mass of water described in Patent Document 5. Table 4 shows cleaning conditions and evaluation results. Although the dry etching residue 3 could be removed and damage to the low dielectric constant interlayer insulating film 4 was prevented, the hard mask 2 could not be removed, and a large hole was found in the cobalt or cobalt alloy 1.
  • Patent Documents 2 to 5 are insufficiently cleaned as cleaning liquids that remove hard masks and dry etching residues and prevent damage to cobalt, a cobalt alloy, or a low dielectric constant interlayer insulating film. It was found that it could not be used due to damage of cobalt or a cobalt alloy or a low dielectric constant interlayer insulating film, or poor stability of the cleaning liquid (Table 4).
  • Comparative Example 5 An aqueous solution (Table 3, cleaning solution 3E) comprising 17% by mass of hydrogen peroxide, 0.2% by mass of potassium hydroxide, 0.0005% by mass of diethylenetriaminepenta (methylenephosphonic acid), and 82.795% by mass of water is shown in FIG. The semiconductor element was cleaned. Table 4 shows cleaning conditions and evaluation results. Although the decomposition rate of hydrogen peroxide was small, the hard mask 2 and the dry etching residue 3 could be removed, and damage to the low dielectric constant interlayer insulating film 4 was prevented, but large holes were found in the cobalt or cobalt alloy 1.
  • FIG. 1 shows an aqueous solution (Table 3, cleaning solution 3F) comprising 0.2% by mass of potassium hydroxide, 0.5% by mass of 1H-tetrazole, 0.0005% by mass of diethylenetriaminepenta (methylenephosphonic acid) and 99.2995% by mass of water.
  • Table 3 shows cleaning conditions and evaluation results. Hard mask 2 and dry etching residue 3 could not be removed, and damage to cobalt or cobalt alloy 1 and low dielectric constant interlayer insulating film 4 could not be prevented.
  • FIG. 1 shows an aqueous solution (Table 3, cleaning solution 3G) composed of 17% by mass of hydrogen peroxide, 0.5% by mass of 1H-tetrazole, 0.0005% by mass of diethylenetriaminepenta (methylenephosphonic acid), and 82.4949% by mass of water.
  • Table 4 shows cleaning conditions and evaluation results. Although the decomposition rate of hydrogen peroxide was small and damage to the low dielectric constant interlayer insulating film 4 was prevented, the hard mask 2 and the dry etching residue 3 could not be removed, and damage to the cobalt or cobalt alloy 1 could not be prevented. .
  • Comparative Example 8 The semiconductor device shown in FIG. 1 is formed with an aqueous solution (Table 3, cleaning solution 3H) comprising 17% by mass of hydrogen peroxide, 0.2% by mass of potassium hydroxide, 0.5% by mass of 1H-tetrazole, and 82.3% by mass of water. Washed. Table 4 shows cleaning conditions and evaluation results. Although the hard mask 2 and the dry etching residue 3 could be removed and the damage of the cobalt or cobalt alloy 1 and the low dielectric constant interlayer insulating film 4 was prevented, the decomposition rate of hydrogen peroxide was large.
  • Comparative Example 9 An aqueous solution comprising 17% by mass of hydrogen peroxide, 0.2% by mass of potassium hydroxide, 0.5% by mass of 1H-tetrazole, 1.2% by mass of ethylenediaminetetraacetic acid, and 81.1% by mass of water (Table 3, cleaning solution 3I) The semiconductor element shown in FIG. 1 was cleaned. Table 4 shows cleaning conditions and evaluation results. Although the hard mask 2 and the dry etching residue 3 could be removed and the damage of the cobalt or cobalt alloy 1 and the low dielectric constant interlayer insulating film 4 was prevented, the decomposition rate of hydrogen peroxide was large.
  • Comparative Example 10 An aqueous solution consisting of 17% by mass of hydrogen peroxide, 0.2% by mass of potassium hydroxide, 0.5% by mass of 1H-tetrazole, 1.2% by mass of diethylenetriaminepentaacetic acid, and 81.1% by mass of water (Table 3, cleaning solution 3J) The semiconductor element shown in FIG. 1 was cleaned. Table 4 shows cleaning conditions and evaluation results. Although the hard mask 2 and the dry etching residue 3 could be removed and the damage of the cobalt or cobalt alloy 1 and the low dielectric constant interlayer insulating film 4 was prevented, the decomposition rate of hydrogen peroxide was large.
  • Comparative Example 11 An aqueous solution comprising 17% by mass of hydrogen peroxide, 0.2% by mass of potassium hydroxide, 0.5% by mass of 1H-tetrazole, 1.2% by mass of triethylenetetramine, and 81.1% by mass of water (Table 3, cleaning solution 3K)
  • Table 3 shows cleaning conditions and evaluation results. Although the hard mask 2 and the dry etching residue 3 could be removed and the damage of the cobalt or cobalt alloy 1 and the low dielectric constant interlayer insulating film 4 was prevented, the decomposition rate of hydrogen peroxide was large.
  • Comparative Example 12 An aqueous solution comprising 17% by mass of hydrogen peroxide, 0.2% by mass of potassium hydroxide, 0.5% by mass of 1H-tetrazole, 1.2% by mass of tetraethylenepentamine, and 81.1% by mass of water (Table 3, 3L of cleaning solution)
  • Table 3, 3L of cleaning solution The semiconductor element shown in FIG. Table 4 shows cleaning conditions and evaluation results. Although the hard mask 2 and the dry etching residue 3 could be removed and the damage of the cobalt or cobalt alloy 1 and the low dielectric constant interlayer insulating film 4 was prevented, the decomposition rate of hydrogen peroxide was large.
  • Comparative Example 13 An aqueous solution comprising 17% by mass of hydrogen peroxide, 0.2% by mass of potassium hydroxide, 0.5% by mass of 1H-tetrazole, 1.2% by mass of pentaethylenehexamine, and 81.1% by mass of water (Table 3, cleaning solution 3M) The semiconductor element shown in FIG. 1 was cleaned. Table 4 shows cleaning conditions and evaluation results. Although the hard mask 2 and the dry etching residue 3 could be removed and the damage of the cobalt or cobalt alloy 1 and the low dielectric constant interlayer insulating film 4 was prevented, the decomposition rate of hydrogen peroxide was large.
  • Comparative Example 14 Hydrogen peroxide 17% by mass, potassium hydroxide 0.2% by mass, 1H-tetrazole 0.5% by mass, 1,4,7,10-tetraazacyclododecane 1.2% by mass, water 81.1% by mass
  • the semiconductor element shown in FIG. 1 was cleaned with an aqueous solution (Table 3, cleaning liquid 3N).
  • Table 4 shows cleaning conditions and evaluation results. Although the hard mask 2 and the dry etching residue 3 could be removed and the damage of the cobalt or cobalt alloy 1 and the low dielectric constant interlayer insulating film 4 was prevented, the decomposition rate of hydrogen peroxide was large.
  • Comparative Example 15 An aqueous solution comprising 17% by mass of hydrogen peroxide, 0.2% by mass of potassium hydroxide, 0.5% by mass of 1H-tetrazole, 1.2% by mass of 8-quinolinol, and 81.1% by mass of water (Table 3, cleaning solution 3O) The semiconductor element shown in FIG. 1 was cleaned. Table 4 shows cleaning conditions and evaluation results. Although the hard mask 2 and the dry etching residue 3 could be removed and the damage of the cobalt or cobalt alloy 1 and the low dielectric constant interlayer insulating film 4 was prevented, the decomposition rate of hydrogen peroxide was large.
  • Comparative Example 16 An aqueous solution comprising 17% by mass of hydrogen peroxide, 0.2% by mass of potassium hydroxide, 0.5% by mass of 1H-tetrazole, 1.2% by mass of 8-hydroxyquinaldine, and 81.1% by mass of water (Table 3, cleaning solution) 3P), the semiconductor element shown in FIG. 1 was cleaned. Table 4 shows cleaning conditions and evaluation results. Although the hard mask 2 and the dry etching residue 3 could be removed and the damage of the cobalt or cobalt alloy 1 and the low dielectric constant interlayer insulating film 4 was prevented, the decomposition rate of hydrogen peroxide was large.
  • Comparative Example 17 An aqueous solution comprising 17% by mass of hydrogen peroxide, 0.2% by mass of potassium hydroxide, 0.5% by mass of 1H-tetrazole, 1.2% by mass of 2,2′-azodiphenol, and 81.1% by mass of water (Table 3.
  • the semiconductor element shown in FIG. 1 was cleaned with the cleaning liquid 3Q).
  • Table 4 shows cleaning conditions and evaluation results. Although the hard mask 2 and the dry etching residue 3 could be removed and the damage of the cobalt or cobalt alloy 1 and the low dielectric constant interlayer insulating film 4 was prevented, the decomposition rate of hydrogen peroxide was large.
  • Comparative Example 18 An aqueous solution (Table 3, cleaning solution 3R) comprising 17% by mass of hydrogen peroxide, 0.2% by mass of potassium hydroxide, 0.5% by mass of 1H-tetrazole, 0.0005% by mass of phosphoric acid, and 82.2995% by mass of water.
  • Table 3 shows cleaning conditions and evaluation results. Although the hard mask 2 and the dry etching residue 3 could be removed and the damage of the cobalt or cobalt alloy 1 and the low dielectric constant interlayer insulating film 4 was prevented, the decomposition rate of hydrogen peroxide was large.
  • Comparative Example 19 An aqueous solution comprising 17% by mass of hydrogen peroxide, 0.2% by mass of potassium hydroxide, 0.5% by mass of ethylenediamine, 0.005% by mass of diethylenetriaminepenta (methylenephosphonic acid), and 82.2995% by mass of water (Table 3, cleaning solution) 3S), the semiconductor element shown in FIG. 1 was cleaned. Table 4 shows cleaning conditions and evaluation results. Although the decomposition rate of hydrogen peroxide was small, the hard mask 2 and the dry etching residue 3 could be removed, and damage to the low dielectric constant interlayer insulating film 4 was prevented, but large holes were found in the cobalt or cobalt alloy 1.
  • Comparative Example 20 An aqueous solution comprising 17% by mass of hydrogen peroxide, 0.2% by mass of potassium hydroxide, 0.5% by mass of ethanolamine, 0.005% by mass of diethylenetriaminepenta (methylenephosphonic acid), 82.2995% by mass of water (Table 3, The semiconductor element shown in FIG. 1 was cleaned with the cleaning liquid 3T). Table 4 shows cleaning conditions and evaluation results. Although the decomposition rate of hydrogen peroxide was small, the hard mask 2 and the dry etching residue 3 could be removed, and damage to the low dielectric constant interlayer insulating film 4 was prevented, but large holes were found in the cobalt or cobalt alloy 1.
  • Removal state I Removal state of hard mask 2
  • Removal state II Removal state of dry etching residue 3
  • Damage III Damage damage of cobalt or cobalt alloy 1
  • VI Damage stability of low dielectric constant interlayer insulating film 4
  • V Hydrogen peroxide Stability
  • Removal state I Hard mask 2 removal state
  • Removal state II Dry etching residue 3 removal state damage
  • III Cobalt or cobalt alloy 1 damage damage
  • IV Low dielectric constant interlayer insulating film 4 damage stability
  • V Hydrogen peroxide Stability

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Wood Science & Technology (AREA)
  • Life Sciences & Earth Sciences (AREA)
  • Organic Chemistry (AREA)
  • Oil, Petroleum & Natural Gas (AREA)
  • Power Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Inorganic Chemistry (AREA)
  • General Chemical & Material Sciences (AREA)
  • Cleaning Or Drying Semiconductors (AREA)
  • Detergent Compositions (AREA)

Abstract

 本発明は、半導体集積回路の製造工程において使用される、低誘電率層間絶縁膜やコバルトまたはコバルト合金のダメージを抑制しつつ、ハードマスクやドライエッチング残渣を除去する半導体素子の洗浄用液体組成物およびそれを用いた半導体素子の洗浄方法を提供することを目的とする。本発明の半導体素子の洗浄用液体組成物は、過酸化水素を10~30質量%、水酸化カリウムを0.005~0.7質量%、アミノポリメチレンホスホン酸を0.00001~0.01質量%、アミン類およびアゾール類から選ばれる少なくとも1種を0.001~5質量%および水を含む。該洗浄用液体組成物を半導体素子と接触させることにより半導体素子を洗浄することができる。

Description

半導体素子の洗浄用液体組成物、および半導体素子の洗浄方法
 本発明は、半導体集積回路の製造工程において使用される洗浄用液体組成物と、それを用いる半導体素子の洗浄方法に関する。
 さらに詳しくは、本発明は特に、バリアメタルと金属配線と低誘電率層間絶縁膜とを有する基板上に、バリア絶縁膜、低誘電率層間絶縁膜、ハードマスクおよびフォトレジストを積層した後、該フォトレジストに選択的露光に続き現像処理を施し、フォトレジストパターンを形成し、次いで、このフォトレジストパターンをマスクとして、前記基板上に積層したハードマスク、低誘電率層間絶縁膜、およびバリア絶縁膜にドライエッチング処理を施した半導体素子を洗浄して、前記低誘電率層間絶縁膜、金属配線、バリアメタル、およびバリア絶縁膜のダメージを抑制しつつ、前記ハードマスクおよびドライエッチング残渣を除去する洗浄用液体組成物およびそれを用いた洗浄方法に関する。
 高集積化された半導体素子の製造は、通常、シリコンウェハなどの素子上に、導電用配線素材となる金属膜などの導電薄膜や、導電薄膜間の絶縁を行う目的の層間絶縁膜を形成した後、その表面にフォトレジストを均質に塗布して感光層を設け、これに選択的露光および現像処理を実施し所望のフォトレジストパターンを作成する。次いでこのフォトレジストパターンをマスクとして層間絶縁膜にドライエッチング処理を施すことにより該薄膜に所望のパターンを形成する。そして、フォトレジストパターンおよびドライエッチング処理により発生した残渣物(以下、「ドライエッチング残渣」と称す)を酸素プラズマによるアッシングや洗浄液などにより完全に除去するという一連の工程が一般的にとられている。
 近年、デザインルールの微細化が進み、信号伝送遅延が高速度演算処理の限界を支配するようになってきた。そのため、層間絶縁膜はシリコン酸化膜から低誘電率層間絶縁膜(比誘電率が3より小さい膜。以下、「低誘電率層間絶縁膜」と称す)への移行が進んでいる。また、0.2μm以下のパターンを形成する場合、膜厚1μmのフォトレジストではパターンのアスペクト比(フォトレジスト膜厚をフォトレジスト線幅で割った比)が大きくなりすぎ、パターンが倒壊するなどの問題が生じている。これを解決するために、実際に形成したいパターン膜とフォトレジスト膜の間にチタン(Ti)系やシリコン(Si)系の膜(以下、「ハードマスク」と称す)を挿入し、一旦フォトレジストパターンをハードマスクにドライエッチングで転写し、フォトレジストを除去した後、このハードマスクをエッチングマスクとして、ドライエッチングにより実際に形成したい膜にパターンを転写するハードマスク法が使われることがある。この方法は、ハードマスクをエッチングするときのガスと実際に形成したい膜をエッチングするときのガスを換えることができ、ハードマスクをエッチングするときにはフォトレジストとハードマスクとの選択比がとれ、実際の膜をエッチングするときにはハードマスクと実際にエッチングする膜との選択比が確保されるガスを選ぶことができるので、実際の膜に与えるダメージを極力少なくして、パターンを形成できるという利点がある。
 しかしながら、ハードマスクを酸素プラズマにより除去する場合、低誘電率層間絶縁膜が、酸素プラズマなどに曝されてダメージを受けるおそれがある。例えば、デュアルダマシンプロセスにおけるパターン形成では、ビア部やトレンチ部を形成した後にハードマスクを酸素プラズマで除去する際に、ビア部やトレンチ部の低誘電率層間絶縁膜がダメージを受ける結果、電気特性が著しく劣化するという問題が生じている。一方で、ハードマスクの除去工程ではドライエッチング残渣がウェハに付着しているので、同時にドライエッチング残渣も除去しなければならない。
 さらに、微細化の進展により金属配線の電流密度は増大しているため、金属配線材料に電流が流れたときに金属配線材料が移動して金属配線に穴ができるエレクトロマイグレーションへの対策がより強く求められている。その対策として非特許文献1(2010 IEEE International Interconnect Technology Conference p.93~95)に記載されているように、銅配線の上にコバルトやコバルト合金を形成する方法や、特許文献1(特開2013-187350号公報)に記載されているように、金属配線材料としてコバルトやコバルト合金を用いる方法がある。
 従って、半導体素子製造においては、低誘電率層間絶縁膜やコバルトまたはコバルト合金のダメージを抑制しつつ、ハードマスクやドライエッチング残渣を除去する方法が求められている。
 特許文献2(国際公開第2008/114616号)には、過酸化水素とアミノポリメチレンホスホン類と水酸化カリウムおよび水を含む洗浄用組成物による半導体素子の洗浄方法が提案されている。
 特許文献3(特開2010-232486号公報)には、アンモニア、アミノ基をもつ化合物および窒素原子を含む環状構造をもつ化合物からなる群から選択された少なくとも1種と過酸化水素を水性媒体中に含有し、pHが8.5を超えるエッチング用組成物が提案されている。
 特許文献4(特表2005-529363号公報)には、ジメチルピペリドン、スルホン類およびスルホラン類からなる群から選択される極性有機溶媒、テトラアルキルアンモニウムヒドロキシド、水酸化コリン、水酸化ナトリウムおよび水酸化カリウムからなる群から選択される塩基、水およびトランス-1,2-シクロヘキサンジアミンテトラ酢酸、エタン-1-ヒドロキシ-1,1-ジホスホン酸塩およびエチレンジアミンテトラ(メチレンホスホン酸)からなる群より選択されるキレート化または金属錯体化剤を含む洗浄用組成物が提案されている。
 特許文献5(特開2003-234307号公報)には、70℃以上の硫酸水溶液で洗浄することによって、窒化チタン(TiN)膜を除去しコバルト(Co)シリサイドをエッチングしない半導体素子の洗浄方法が提案されている。
 特許文献6(国際公開第2007/072727号)には、過酸化水素、アゾール類化合物及び過酸化水素の安定剤を含有し、pHが1~7である、ドライエッチング残渣を除去するための残渣除去用組成物が提案されている。
2010 IEEE International Interconnect Technology Conference p.93~95
特開2013-187350号公報 国際公開第2008/114616号 特開2010-232486号公報 特表2005-529363号公報 特開2003-234307号公報 国際公開第2007/072727号
 しかしながら、本発明者は特許文献2~6に記載の発明において、以下の技術的課題を新たに見出した。
 特許文献2に記載の洗浄用液体組成物ではコバルトのダメージを十分に抑制することができず、本目的には使用できない(比較例1参照)。
 特許文献3に記載のエッチング用組成物ではハードマスクとドライエッチング残渣の除去性が不十分であり、コバルトと低誘電率層間絶縁膜のダメージを十分に抑制することができず、本目的には使用できない。また、本発明の過酸化水素と水酸化カリウムとコバルトの防食剤および水を含む洗浄用液体組成物と組み合わせる過酸化水素の安定化剤としてエチレンジアミン四酢酸、ジエチレントリアミン五酢酸、トリエチレンテトラミン、テトラエチレンペンタミン、ペンタエチレンヘキサミン、1,4,7,10-テトラアザシクロドデカン、8-キノリノール、8-ヒドロキシキナルジンおよび2,2’-アゾジフェノールは効果がない(比較例2、9~17参照)。
 特許文献4に記載の洗浄用組成物ではハードマスクとドライエッチング残渣の除去性が不十分であり、コバルトと低誘電率層間絶縁膜のダメージを十分に抑制することができず、本目的には使用できない(比較例3参照)。
 特許文献5に記載の硫酸水溶液ではハードマスクの除去性が不十分であり、コバルトのダメージを十分に抑制することができず、本目的には使用できない(比較例4参照)。
 特許文献6に記載の残渣除去用組成物では、ハードマスクとドライエッチング残渣の除去性が不十分であり、また、コバルトのダメージを十分に抑制することができず、本目的には使用できない(比較例7参照)。
 本発明の目的は、半導体素子製造において、低誘電率層間絶縁膜やコバルトまたはコバルト合金のダメージを抑制しつつ、ハードマスクやドライエッチング残渣を除去する洗浄用液体組成物およびそれを用いた洗浄方法を提供することである。
 本発明は、上記課題を解決する方法を提供する。本発明は以下のとおりである。
1. 低誘電率層間絶縁膜、ハードマスクおよびコバルトまたはコバルト合金を備えてなる半導体素子において、 低誘電率層間絶縁膜およびコバルトまたはコバルト合金のダメージを抑制しつつ、ハードマスクとドライエッチング残渣を除去する洗浄用液体組成物であって、過酸化水素を10~30質量%、水酸化カリウムを0.005~0.7質量%、アミノポリメチレンホスホン酸を0.00001~0.01質量%、アミン類およびアゾール類から選ばれる少なくとも1種を0.001~5質量%および水を含む洗浄用液体組成物。
2. 前記アミン類が、1,2-プロパンジアミン、および/または1,3-プロパンジアミンである第1項に記載の洗浄用液体組成物。
3. 前記アゾール類が、1-メチルイミダゾール、1-ビニルイミダゾール、2-フェニルイミダゾール、2-エチル-4-メチルイミダゾール、N-ベンジル-2-メチルイミダゾール、2-メチルベンズイミダゾール、ピラゾール、4-メチルピラゾール、3,5-ジメチルピラゾール、1,2,4-トリアゾール、1H-ベンゾトリアゾール、5-メチル-1H-ベンゾトリアゾール、および1H-テトラゾールからなる群より選択される1種以上である第1項に記載の洗浄用液体組成物。
4. 前記アミノポリメチレンホスホン酸が、アミノトリ(メチレンホスホン酸)、エチレンジアミンテトラ(メチレンホスホン酸)、ジエチレントリアミンペンタ(メチレンホスホン酸)および1,2-プロピレンジアミンテトラ(メチレンホスホン酸)からなる群より選択される1種以上である第1項に記載の洗浄用液体組成物。
5. 低誘電率層間絶縁膜、ハードマスクおよびコバルトまたはコバルト合金を備えてなる半導体素子において、洗浄用液体組成物を用いて、低誘電率層間絶縁膜およびコバルトまたはコバルト合金のダメージを抑制しつつ、ハードマスクとドライエッチング残渣を除去する洗浄方法であって、過酸化水素を10~30質量%、水酸化カリウムを0.005~0.7質量%、アミノポリメチレンホスホン酸を0.00001~0.01質量%、アミン類およびアゾール類から選ばれる少なくとも1種を0.001~5質量%および水を含む洗浄用液体組成物を、前記半導体素子と接触させることを含む、洗浄方法。
6. 前記アミン類が、1,2-プロパンジアミン、および/または1,3-プロパンジアミンである第5項に記載の洗浄方法。
7. 前記アゾール類が、1-メチルイミダゾール、1-ビニルイミダゾール、2-フェニルイミダゾール、2-エチル-4-メチルイミダゾール、N-ベンジル-2-メチルイミダゾール、2-メチルベンズイミダゾール、ピラゾール、4-メチルピラゾール、3,5-ジメチルピラゾール、1,2,4-トリアゾール、1H-ベンゾトリアゾール、5-メチル-1H-ベンゾトリアゾール、および1H-テトラゾールからなる群より選択される1種以上である第5項に記載の洗浄方法。
8. 前記アミノポリメチレンホスホン酸が、アミノトリ(メチレンホスホン酸)、エチレンジアミンテトラ(メチレンホスホン酸)、ジエチレントリアミンペンタ(メチレンホスホン酸)および1,2-プロピレンジアミンテトラ(メチレンホスホン酸)からなる群より選択される1種以上である第5項に記載の洗浄方法。
 本発明の洗浄用液体組成物および洗浄方法を使用することにより、半導体素子の製造工程において、低誘電率層間絶縁膜、金属配線、バリアメタル、およびバリア絶縁膜のダメージを抑制しつつ、被処理物表面のハードマスクおよびドライエッチング残渣を除去することが可能となり、高精度、高品質の半導体素子を歩留まりよく製造することができる。
本発明の洗浄用液体組成物を用いて洗浄される半導体素子の配線構造の断面図の一例(パターン1)である。 本発明の洗浄用液体組成物を用いて洗浄される半導体素子の配線構造の断面図の一例(パターン2)である。
 本発明の洗浄用液体組成物(以下、単に「洗浄液」ということがある)は、過酸化水素と、水酸化カリウムと、アミノポリメチレンホスホン酸と、アミン類およびアゾール類から選ばれる少なくとも1種と、水とを含むものである。
 本発明におけるハードマスクおよびドライエッチング残渣の洗浄用液体組成物は半導体素子を作る工程で使用されるもので、低誘電率層間絶縁膜、金属配線、バリアメタル、バリア絶縁膜のダメージを抑制しなければならない。
 本発明に使用される過酸化水素の濃度範囲は、10~30質量%であり、好ましくは13~25質量%で、特に好ましくは15~20質量%である。過酸化水素の濃度範囲が10~30質量%だと効果的にハードマスク、ドライエッチング残渣およびフォトレジストを除去し、金属配線、バリアメタルのダメージを抑制できる。
 本発明に使用される水酸化カリウムの濃度範囲は、0.005~0.7質量%であり、好ましくは0.01~0.5質量%で、特に好ましくは0.02~0.4質量%である。水酸化カリウムの濃度範囲が0.005~0.7質量%だと効果的にハードマスクおよびドライエッチング残渣を除去し、低誘電率層間絶縁膜、金属配線のダメージを抑制できる。
 本発明に使用されるアミノポリメチレンホスホン酸の例としては、例えば、アミノトリ(メチレンホスホン酸)、エチレンジアミンテトラ(メチレンホスホン酸)、ジエチレントリアミンペンタ(メチレンホスホン酸)、1,2-プロピレンジアミンテトラ(メチレンホスホン酸)などが挙げられる。これらのアミノポリメチレンホスホン酸は、単独または2種類以上を組み合わせて配合できる。
 本発明に使用される上記アミノポリメチレンホスホン酸の濃度範囲は、0.00001~0.01質量%であり、好ましくは0.00005~0.007質量%であり、特に好ましくは0.0001~0.005質量%である。アミノポリメチレンホスホン酸の濃度範囲が0.00001~0.01質量%の範囲内だと、過酸化水素の分解と、金属配線のダメージを抑制することができる。
 本発明に使用されるアミン類は、好ましくは1,2-プロパンジアミンおよび/または1,3-プロパンジアミンである。
 該アミン類の濃度範囲は、0.001~5質量%であり、好ましくは0.01~4質量%であり、特に好ましくは 0.05~3質量%である。アミン類の濃度範囲が0.001~5質量%の範囲内だと、金属配線のダメージを抑制することができる。
 本発明に用いられるアゾール類は、1-メチルイミダゾール、1-ビニルイミダゾール、2-フェニルイミダゾール、2-エチル-4-メチルイミダゾール、N-ベンジル-2-メチルイミダゾール、2-メチルベンズイミダゾール、ピラゾール、4-メチルピラゾール、3,5-ジメチルピラゾール、1,2,4-トリアゾール、1H-ベンゾトリアゾール、5-メチル-1H-ベンゾトリアゾール、および1H-テトラゾールから選択される1種以上であるが、これらに限定されるものではない。
 本発明に使用される上記アミン類とアゾール類は単独でも2種類以上組み合わせて用いても良い。アゾール類の濃度範囲は、0.001~5質量%、好ましくは0.01~4質量%、特に好ましくは0.05~3質量%である。アゾール類の濃度が上記範囲内であれば、金属配線へのダメージを抑制することができる。
 本発明の洗浄用液体組成物には、所望により本発明の目的を損なわない範囲で従来から半導体用洗浄用液体組成物に使用されている添加剤を配合してもよい。例えば、添加剤として、界面活性剤、消泡剤等を添加することができる。
 本発明の洗浄方法は、低誘電率層間絶縁膜、ハードマスクおよびコバルトまたはコバルト合金を備えてなる半導体素子において、洗浄用液体組成物を用いて低誘電率層間絶縁膜およびコバルトまたはコバルト合金のダメージを抑制しつつ、ハードマスクとドライエッチング残渣を除去するものであって、過酸化水素を10~30質量%、水酸化カリウムを0.005~0.7質量%、アミノポリメチレンホスホン酸を0.00001~0.01質量%、アミン類およびアゾール類から選ばれる少なくとも1種を0.001~5質量%および水を含む洗浄用液体組成物を、前記半導体素子と接触させることを含む。本発明の洗浄用液体組成物を半導体素子と接触させる方法は特に制限されない。例えば、半導体素子を本発明の洗浄用液体組成物に浸漬させる方法や、滴下やスプレーなどにより洗浄用液体組成物と接触させる方法などを採用することができる。
 本発明の洗浄用液体組成物を使用する温度は、好ましくは20~80℃であり、より好ましくは25~70 ℃の範囲であり、エッチングの条件や使用される半導体基体により適宜選択すればよい。本発明の洗浄方法は、必要に応じて超音波を併用することができる。本発明の洗浄用液体組成物を使用する時間は好ましくは0.3~20分、特に好ましくは0.5~10分の範囲であり、エッチングの条件や使用される半導体基体により適宜選択すればよい。本発明の洗浄用液体組成物を使用した後のリンス液としては、アルコールのような有機溶剤を使用することもできるが、水でリンスするだけでも十分である。
 一般的に半導体素子および表示素子は、シリコン、非晶質シリコン、ポリシリコン、ガラスなどの基板材料;酸化シリコン、窒化シリコン、炭化シリコンおよびこれらの誘導体などの絶縁材料;タンタル、窒化タンタル、ルテニウム、酸化ルテニウムなどのバリア材料;銅、銅合金などの配線材料;ガリウム-砒素、ガリウム-リン、インジウム-リン、インジウム-ガリウム-砒素、インジウム-アルミニウム-砒素等の化合物半導体;クロム酸化物などの酸化物半導体などを含む。
 一般的に低誘電率層間絶縁膜として、ヒドロキシシルセスキオキサン(HSQ)系やメチルシルセスキオキサン(MSQ)系のOCD (商品名、東京応化工業社製)、炭素ドープ酸化シリコン(SiOC)系のBlack Diamond(商品名、Applied Materials社製)、Aurora(商品名、ASM International社製)、Coral(商品名、Novellus Systems社製)などが使用される。低誘電率層間絶縁膜はこれらに限定されるものではない。
 一般的にバリアメタルとして、タンタル、窒化タンタル、ルテニウム、マンガン、マグネシウム、コバルト並びにこれらの酸化物などが使用される。バリアメタルはこれらに限定されるものではない。
 一般的にバリア絶縁膜として、窒化シリコン、炭化シリコン、窒化炭化シリコンなどが使用される。バリア絶縁膜はこれらに限定されるものではない。
 本発明が適用できるハードマスクとして、窒化チタン、チタンなどが使用される。
 本発明が適用できる金属配線として、銅または銅合金の上にコバルトやコバルト合金を形成したもの、コバルトやコバルト合金などが使用される。
 金属配線として、銅または銅合金の上にコバルトやコバルト合金を形成したものが使用される場合、銅または銅合金はコバルトやコバルト合金で完全に覆われているため、通常は銅または銅合金と洗浄液は接触しない。しかし、コバルトやコバルト合金にわずかでも欠陥があれば、洗浄用液体組成物と銅または銅合金が接触することになる。よって、本目的の洗浄用液体組成物は銅または銅合金に対する防食性を有する必要がある。本発明の洗浄用液体組成物は銅または銅合金に対する防食性も有しているので、銅または銅合金の上にコバルトやコバルト合金を形成した金属配線に使用できる。
 本発明の洗浄用液体組成物によって洗浄される半導体素子は、低誘電率層間絶縁膜、ハードマスクおよびコバルトまたはコバルト合金を備えてなるものであれば特に制限されない。本発明の洗浄用液体組成物は、例えば、バリアメタルと金属配線と低誘電率層間絶縁膜とを有する基板、あるいは、金属配線と低誘電率層間絶縁膜とを有する基板上に、バリア絶縁膜、低誘電率層間絶縁膜、ハードマスクおよびフォトレジストを積層した後、該フォトレジストに選択的露光に続き現像処理を施し、フォトレジストパターンを形成し、次いで、このフォトレジストパターンをマスクとして、前記基板上に積層したハードマスク、低誘電率層間絶縁膜およびバリア絶縁膜にドライエッチング処理を施した半導体素子の洗浄に使用できる。
 このような半導体素子として、例えば、図1及び2に示したような配線構造の断面を有する半導体素子が挙げられる。図1では、バリアメタル7と銅または銅合金6の上にコバルトまたはコバルト合金1が形成されてなる金属配線と低誘電率層間絶縁膜4とを有する基板上に、バリア絶縁膜5、低誘電率層間絶縁膜4、ハードマスク2が積層し、所定のパターンが形成されている。半導体素子の表面にはドライエッチング残渣3が付着している。図2では、金属配線としてコバルトまたはコバルト合金1を用いていることを除いて、図1と同様の配線構造を有している。本発明の好ましい態様によれば、本発明の洗浄用液体組成物を用いてこれらの半導体素子を洗浄することにより、低誘電率層間絶縁膜、金属配線、バリアメタルおよびバリア絶縁膜のダメージを抑制しつつ、ハードマスクおよびドライエッチング残渣を除去することができる。
 次に実施例および比較例により本発明をさらに具体的に説明する。ただし、本発明はこれらの実施例により何ら制限されるものではない。
<材質の除去状態とダメージの評価方法および評価機器>
 基板からハードマスクおよび/またはドライエッチング残渣の除去状態と低誘電率層間絶縁膜とコバルトまたはコバルト合金のダメージの評価はSEM観察にて行った。SEM装置は、株式会社日立ハイテクノロジーズ社製、超高分解能電界放出形走査電子顕微鏡SU9000を用いた。
<過酸化水素の安定性の評価方法>
 表1、3に示した洗浄用液体組成物を70℃の恒温水槽にて24時間加熱し、加熱前後の洗浄用液体組成物中の過酸化水素濃度を電位差滴定法(過マンガン酸カリウム使用)で測定し、過酸化水素分解率を算出した。
過酸化水素分解率 = 100-((加熱後洗浄用液体組成物重量×加熱後過酸化水素濃度)/(加熱前洗浄用液体組成物重量×加熱前過酸化水素濃度)×100)
判定:
I.ハードマスク2の除去状態
  E:ハードマスクが完全に除去された。
  G:ハードマスクがほとんど除去された。
  P:ハードマスクが除去されなかった。
  E、Gを合格とした。
II.ドライエッチング残渣3の除去状態
  E:ドライエッチング残渣が完全に除去された。
  G:ドライエッチング残渣がほとんど除去された。
  P:ドライエッチング残渣が除去されなかった。
  E、Gを合格とした。
III.コバルトまたはコバルト合金1のダメージ
  E:洗浄前と比べてコバルトまたはコバルト合金に変化が見られなかった。
  G:コバルトまたはコバルト合金の表面に少し荒れが見られた。
  P:コバルトまたはコバルト合金に大きな穴が見られた。
  E、Gを合格とした。
IV.低誘電率層間絶縁膜4のダメージ
  E:洗浄前と比べて低誘電率層間絶縁膜に変化が見られなかった。
  G:低誘電率層間絶縁膜がわずかにくぼんでいた。
  P:低誘電率層間絶縁膜が大きくくぼんでいた。
  E、Gを合格とした。
V.過酸化水素の安定性
  E1:過酸化水素の分解率が5%未満
  G:過酸化水素の分解率が5%以上、10%未満
  P:過酸化水素の分解率が10%以上、20%未満
  F:過酸化水素の分解率が20%以上
  E2:洗浄用液体組成物が過酸化水素を含んでいない。
  E1、G、E2を合格とした。
実施例1~29
 試験には、図1または図2に示したような配線構造の断面を有する半導体素子を使用した。ハードマスク2およびドライエッチング残渣3を除去するため、半導体素子を、表1に記した洗浄用液体組成物に表2に示した温度、時間で浸漬し、その後、超純水によるリンス、乾燥窒素ガス噴射による乾燥を行った。洗浄後の半導体素子をSEMで観察することにより、ハードマスク2およびドライエッチング残渣3の除去状態とコバルトまたはコバルト合金1、低誘電率層間絶縁膜4、バリア絶縁膜5、バリアメタル7のダメージを判断した。また、過酸化水素の安定性も調べた。
 表2に示した本発明の洗浄用液体組成物を適用した実施例1~29においては、ハードマスク2およびドライエッチング残渣3を除去し、コバルトまたはコバルト合金1、低誘電率層間絶縁膜4のダメージを防いでいることがわかる。全ての実施例でバリア絶縁膜5、銅または銅合金6およびバリアメタル7のダメージは観察されなかった。また、過酸化水素の分解率は10%未満であった。
比較例1
 特許文献2記載の過酸化水素 15質量%、水酸化カリウム 0.02質量%、1,2-プロピレンジアミンテトラ(メチレンホスホン酸)0.0005質量%、水 84.9795質量%からなる水溶液(表3、洗浄液3A)で図1に示した半導体素子を洗浄した。表4に洗浄条件と評価結果を示した。ハードマスク2およびドライエッチング残渣3を除去でき、低誘電率層間絶縁膜4のダメージを防ぎ、過酸化水素の分解率は小さかったものの、コバルトまたはコバルト合金1に大きな穴が見られた。
比較例2
 特許文献3記載の過酸化水素 0.35質量%、2-(2-アミノエチルアミノ)エタノール 2.0質量%、水酸化テトラメチルアンモニウム 1.5質量%、エチレンジアミン四酢酸 1.2質量%、水 94.95質量%からなる水溶液(表3、洗浄液3B)で図1に示した半導体素子を洗浄した。表4に洗浄条件と評価結果を示した。過酸化水素の分解率は小さかったものの、ハードマスク2およびドライエッチング残渣3を除去できず、低誘電率層間絶縁膜4のダメージを防ぐことはできなかった。
比較例3
 特許文献4記載の過酸化水素 3質量%、水酸化カリウム 2質量%、スルホラン 70質量%、ジエチレントリアミンペンタ(メチレンホスホン酸)1質量%、水 24質量%からなる水溶液(表3、洗浄液3C)で図1に示した半導体素子を洗浄した。表4に洗浄条件と評価結果を示した。過酸化水素の分解率は大きく、ハードマスク2およびドライエッチング残渣3を除去できず、低誘電率層間絶縁膜4のダメージを防ぐことはできなかった。
比較例4
 特許文献5記載の硫酸 98質量%、水 2質量%からなる水溶液(表3、洗浄液3D)で図1に示した半導体素子を洗浄した。表4に洗浄条件と評価結果を示した。ドライエッチング残渣3を除去でき、低誘電率層間絶縁膜4のダメージを防いだものの、ハードマスク2を除去できず、コバルトまたはコバルト合金1に大きな穴が見られた。
 特許文献2~5記載の洗浄液(比較例1~4)は、ハードマスクおよびドライエッチング残渣を除去し、コバルトまたはコバルト合金、低誘電率層間絶縁膜のダメージを防ぐ洗浄液として、洗浄が不十分であったり、コバルトまたはコバルト合金や低誘電率層間絶縁膜のダメージがあったり、洗浄液の安定性が悪かったりし、使用できないことがわかった(表4)。
比較例5
 過酸化水素 17質量%、水酸化カリウム 0.2質量%、ジエチレントリアミンペンタ(メチレンホスホン酸) 0.0005質量%、水 82.7995質量%からなる水溶液(表3、洗浄液3E)で図1に示した半導体素子を洗浄した。表4に洗浄条件と評価結果を示した。過酸化水素の分解率は小さく、ハードマスク2とドライエッチング残渣3を除去でき、低誘電率層間絶縁膜4のダメージを防いだものの、コバルトまたはコバルト合金1に大きな穴が見られた。
比較例6
 水酸化カリウム 0.2質量%、1H-テトラゾール0.5質量%、ジエチレントリアミンペンタ(メチレンホスホン酸) 0.0005質量%、水 99.2995質量%からなる水溶液(表3、洗浄液3F)で図1に示した半導体素子を洗浄した。表4に洗浄条件と評価結果を示した。ハードマスク2およびドライエッチング残渣3を除去できず、コバルトまたはコバルト合金1と低誘電率層間絶縁膜4のダメージを防ぐことはできなかった。
比較例7
 過酸化水素 17質量%、1H-テトラゾール0.5%質量、ジエチレントリアミンペンタ(メチレンホスホン酸) 0.0005質量%、水 82.4995質量%からなる水溶液(表3、洗浄液3G)で図1に示した半導体素子を洗浄した。表4に洗浄条件と評価結果を示した。過酸化水素の分解率は小さく、低誘電率層間絶縁膜4のダメージを防いだものの、ハードマスク2およびドライエッチング残渣3を除去できず、コバルトまたはコバルト合金1のダメージを防ぐことはできなかった。
比較例8
 過酸化水素 17質量%、水酸化カリウム 0.2質量%、1H-テトラゾール0.5%質量、水 82.3質量%からなる水溶液(表3、洗浄液3H)で図1に示した半導体素子を洗浄した。表4に洗浄条件と評価結果を示した。ハードマスク2とドライエッチング残渣3を除去でき、コバルトまたはコバルト合金1と低誘電率層間絶縁膜4のダメージを防いだものの、過酸化水素の分解率が大きかった。
比較例9
 過酸化水素 17質量%、水酸化カリウム 0.2質量%、1H-テトラゾール0.5質量%、エチレンジアミン四酢酸 1.2質量%、水 81.1質量%からなる水溶液(表3、洗浄液3I)で図1に示した半導体素子を洗浄した。表4に洗浄条件と評価結果を示した。ハードマスク2とドライエッチング残渣3を除去でき、コバルトまたはコバルト合金1と低誘電率層間絶縁膜4のダメージを防いだものの、過酸化水素の分解率が大きかった。
比較例10
 過酸化水素 17質量%、水酸化カリウム 0.2質量%、1H-テトラゾール0.5質量%、ジエチレントリアミン五酢酸 1.2質量%、水 81.1質量%からなる水溶液(表3、洗浄液3J)で図1に示した半導体素子を洗浄した。表4に洗浄条件と評価結果を示した。ハードマスク2とドライエッチング残渣3を除去でき、コバルトまたはコバルト合金1と低誘電率層間絶縁膜4のダメージを防いだものの、過酸化水素の分解率が大きかった。
比較例11
 過酸化水素 17質量%、水酸化カリウム 0.2質量%、1H-テトラゾール0.5質量%、トリエチレンテトラミン 1.2質量%、水 81.1質量%からなる水溶液(表3、洗浄液3K)で図1に示した半導体素子を洗浄した。表4に洗浄条件と評価結果を示した。ハードマスク2とドライエッチング残渣3を除去でき、コバルトまたはコバルト合金1と低誘電率層間絶縁膜4のダメージを防いだものの、過酸化水素の分解率が大きかった。
比較例12
 過酸化水素 17質量%、水酸化カリウム 0.2質量%、1H-テトラゾール0.5質量%、テトラエチレンペンタミン 1.2質量%、水 81.1質量%からなる水溶液(表3、洗浄液3L)で図1に示した半導体素子を洗浄した。表4に洗浄条件と評価結果を示した。ハードマスク2とドライエッチング残渣3を除去でき、コバルトまたはコバルト合金1と低誘電率層間絶縁膜4のダメージを防いだものの、過酸化水素の分解率が大きかった。
比較例13
 過酸化水素 17質量%、水酸化カリウム 0.2質量%、1H-テトラゾール0.5質量%、ペンタエチレンヘキサミン 1.2質量%、水 81.1質量%からなる水溶液(表3、洗浄液3M)で図1に示した半導体素子を洗浄した。表4に洗浄条件と評価結果を示した。ハードマスク2とドライエッチング残渣3を除去でき、コバルトまたはコバルト合金1と低誘電率層間絶縁膜4のダメージを防いだものの、過酸化水素の分解率が大きかった。
比較例14
 過酸化水素 17質量%、水酸化カリウム 0.2質量%、1H-テトラゾール0.5質量%、1,4,7,10-テトラアザシクロドデカン 1.2質量%、水 81.1質量%からなる水溶液(表3、洗浄液3N)で図1に示した半導体素子を洗浄した。表4に洗浄条件と評価結果を示した。ハードマスク2とドライエッチング残渣3を除去でき、コバルトまたはコバルト合金1と低誘電率層間絶縁膜4のダメージを防いだものの、過酸化水素の分解率が大きかった。
比較例15
 過酸化水素 17質量%、水酸化カリウム 0.2質量%、1H-テトラゾール0.5質量%、8-キノリノール 1.2質量%、水 81.1質量%からなる水溶液(表3、洗浄液3O)で図1に示した半導体素子を洗浄した。表4に洗浄条件と評価結果を示した。ハードマスク2とドライエッチング残渣3を除去でき、コバルトまたはコバルト合金1と低誘電率層間絶縁膜4のダメージを防いだものの、過酸化水素の分解率が大きかった。
比較例16
 過酸化水素 17質量%、水酸化カリウム 0.2質量%、1H-テトラゾール0.5質量%、8-ヒドロキシキナルジン 1.2質量%、水 81.1質量%からなる水溶液(表3、洗浄液3P)で図1に示した半導体素子を洗浄した。表4に洗浄条件と評価結果を示した。ハードマスク2とドライエッチング残渣3を除去でき、コバルトまたはコバルト合金1と低誘電率層間絶縁膜4のダメージを防いだものの、過酸化水素の分解率が大きかった。
比較例17
 過酸化水素 17質量%、水酸化カリウム 0.2質量%、1H-テトラゾール0.5質量%、2,2’-アゾジフェノール 1.2質量%、水 81.1質量%からなる水溶液(表3、洗浄液3Q)で図1に示した半導体素子を洗浄した。表4に洗浄条件と評価結果を示した。ハードマスク2とドライエッチング残渣3を除去でき、コバルトまたはコバルト合金1と低誘電率層間絶縁膜4のダメージを防いだものの、過酸化水素の分解率が大きかった。
比較例18
 過酸化水素 17質量%、水酸化カリウム 0.2質量%、1H-テトラゾール0.5質量%、リン酸 0.0005質量%、水 82.2995質量%からなる水溶液(表3、洗浄液3R)で図1に示した半導体素子を洗浄した。表4に洗浄条件と評価結果を示した。ハードマスク2とドライエッチング残渣3を除去でき、コバルトまたはコバルト合金1と低誘電率層間絶縁膜4のダメージを防いだものの、過酸化水素の分解率が大きかった。
比較例19
 過酸化水素 17質量%、水酸化カリウム 0.2質量%、エチレンジアミン 0.5質量%、ジエチレントリアミンペンタ(メチレンホスホン酸) 0.005質量%、水 82.2995質量%からなる水溶液(表3、洗浄液3S)で図1に示した半導体素子を洗浄した。表4に洗浄条件と評価結果を示した。過酸化水素の分解率は小さく、ハードマスク2とドライエッチング残渣3を除去でき、低誘電率層間絶縁膜4のダメージを防いだものの、コバルトまたはコバルト合金1に大きな穴が見られた。
比較例20
 過酸化水素 17質量%、水酸化カリウム 0.2質量%、エタノールアミン 0.5質量%、ジエチレントリアミンペンタ(メチレンホスホン酸)0.005質量%、水 82.2995質量%からなる水溶液(表3、洗浄液3T)で図1に示した半導体素子を洗浄した。表4に洗浄条件と評価結果を示した。過酸化水素の分解率は小さく、ハードマスク2とドライエッチング残渣3を除去でき、低誘電率層間絶縁膜4のダメージを防いだものの、コバルトまたはコバルト合金1に大きな穴が見られた。
Figure JPOXMLDOC01-appb-T000001
Figure JPOXMLDOC01-appb-T000002

除去状態I:ハードマスク2の除去状態
除去状態II:ドライエッチング残渣3の除去状態
ダメージIII:コバルトまたはコバルト合金1のダメージ
ダメージVI:低誘電率層間絶縁膜4のダメージ
安定性V:過酸化水素の安定性
Figure JPOXMLDOC01-appb-T000003
Figure JPOXMLDOC01-appb-T000004

除去状態I:ハードマスク2の除去状態
除去状態II:ドライエッチング残渣3の除去状態
ダメージIII:コバルトまたはコバルト合金1のダメージ
ダメージIV:低誘電率層間絶縁膜4のダメージ
安定性V:過酸化水素の安定性
1:コバルトまたはコバルト合金
2:ハードマスク
3:ドライエッチング残渣
4:低誘電率層間絶縁膜
5:バリア絶縁膜
6:銅または銅合金
7:バリアメタル

Claims (8)

  1.  低誘電率層間絶縁膜、ハードマスクおよびコバルトまたはコバルト合金を備えてなる半導体素子において、低誘電率層間絶縁膜およびコバルトまたはコバルト合金のダメージを抑制しつつ、ハードマスクとドライエッチング残渣を除去する洗浄用液体組成物であって、過酸化水素を10~30質量%、水酸化カリウムを0.005~0.7質量%、アミノポリメチレンホスホン酸を0.00001~0.01質量%、アミン類およびアゾール類から選ばれる少なくとも1種を0.001~5質量%および水を含む洗浄用液体組成物。
  2.  前記アミン類が、1,2-プロパンジアミン、および/または1,3-プロパンジアミンである請求項1に記載の洗浄用液体組成物。
  3.  前記アゾール類が、1-メチルイミダゾール、1-ビニルイミダゾール、2-フェニルイミダゾール、2-エチル-4-メチルイミダゾール、N-ベンジル-2-メチルイミダゾール、2-メチルベンズイミダゾール、ピラゾール、4-メチルピラゾール、3,5-ジメチルピラゾール、1,2,4-トリアゾール、1H-ベンゾトリアゾール、5-メチル-1H-ベンゾトリアゾール、および1H-テトラゾールからなる群より選択される1種以上である請求項1に記載の洗浄用液体組成物。
  4.  前記アミノポリメチレンホスホン酸が、アミノトリ(メチレンホスホン酸)、エチレンジアミンテトラ(メチレンホスホン酸)、ジエチレントリアミンペンタ(メチレンホスホン酸)および1,2-プロピレンジアミンテトラ(メチレンホスホン酸)からなる群より選択される1種以上である請求項1に記載の洗浄用液体組成物。
  5.  低誘電率層間絶縁膜、ハードマスクおよびコバルトまたはコバルト合金を備えてなる半導体素子において、洗浄用液体組成物を用いて低誘電率層間絶縁膜およびコバルトまたはコバルト合金のダメージを抑制しつつ、ハードマスクとドライエッチング残渣を除去する洗浄方法であって、過酸化水素を10~30質量%、水酸化カリウムを0.005~0.7質量%、アミノポリメチレンホスホン酸を0.00001~0.01質量%、アミン類およびアゾール類から選ばれる少なくとも1種を0.001~5質量%および水を含む洗浄用液体組成物を、前記半導体素子と接触させることを含む、洗浄方法。
  6.  前記アミン類が、1,2-プロパンジアミン、および/または1,3-プロパンジアミンである請求項5に記載の洗浄方法。
  7.  前記アゾール類が、1-メチルイミダゾール、1-ビニルイミダゾール、2-フェニルイミダゾール、2-エチル-4-メチルイミダゾール、N-ベンジル-2-メチルイミダゾール、2-メチルベンズイミダゾール、ピラゾール、4-メチルピラゾール、3,5-ジメチルピラゾール、1,2,4-トリアゾール、1H-ベンゾトリアゾール、5-メチル-1H-ベンゾトリアゾール、および1H-テトラゾールからなる群より選択される1種以上である請求項5に記載の洗浄方法。
  8.  前記アミノポリメチレンホスホン酸が、アミノトリ(メチレンホスホン酸)、エチレンジアミンテトラ(メチレンホスホン酸)、ジエチレントリアミンペンタ(メチレンホスホン酸)および1,2-プロピレンジアミンテトラ(メチレンホスホン酸)からなる群より選択される1種以上である請求項5に記載の洗浄方法。
PCT/JP2015/060129 2014-04-10 2015-03-31 半導体素子の洗浄用液体組成物、および半導体素子の洗浄方法 WO2015156171A1 (ja)

Priority Applications (6)

Application Number Priority Date Filing Date Title
EP15776802.9A EP3093875A4 (en) 2014-04-10 2015-03-31 Liquid composition for semiconductor element cleaning and method for cleaning semiconductor element
US15/118,230 US9803162B2 (en) 2014-04-10 2015-03-31 Liquid composition for cleaning semiconductor device, and method for cleaning semiconductor device
KR1020157030020A KR101608952B1 (ko) 2014-04-10 2015-03-31 반도체소자의 세정용 액체 조성물, 및 반도체소자의 세정방법
JP2015533336A JP5835534B1 (ja) 2014-04-10 2015-03-31 半導体素子の洗浄用液体組成物、および半導体素子の洗浄方法
CN201580000662.1A CN105210176B (zh) 2014-04-10 2015-03-31 半导体元件的清洗用液体组合物、和半导体元件的清洗方法
IL247182A IL247182A (en) 2014-04-10 2016-08-09 Liquid preparations for cleaning a semiconductor device and a method for cleaning a semiconductor device

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
JP2014-080944 2014-04-10
JP2014080944 2014-04-10

Publications (1)

Publication Number Publication Date
WO2015156171A1 true WO2015156171A1 (ja) 2015-10-15

Family

ID=54287748

Family Applications (1)

Application Number Title Priority Date Filing Date
PCT/JP2015/060129 WO2015156171A1 (ja) 2014-04-10 2015-03-31 半導体素子の洗浄用液体組成物、および半導体素子の洗浄方法

Country Status (8)

Country Link
US (1) US9803162B2 (ja)
EP (1) EP3093875A4 (ja)
JP (1) JP5835534B1 (ja)
KR (1) KR101608952B1 (ja)
CN (1) CN105210176B (ja)
IL (1) IL247182A (ja)
TW (1) TWI541343B (ja)
WO (1) WO2015156171A1 (ja)

Cited By (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2017147416A (ja) * 2016-02-19 2017-08-24 東京応化工業株式会社 リソグラフィー用洗浄液及び洗浄方法
WO2017167797A1 (en) 2016-03-29 2017-10-05 Technic France Solution and method for etching titanium based materials
JPWO2017208767A1 (ja) * 2016-06-03 2019-03-28 富士フイルム株式会社 処理液、基板洗浄方法およびレジストの除去方法
WO2022071069A1 (ja) * 2020-09-29 2022-04-07 三菱瓦斯化学株式会社 半導体基板洗浄用組成物及び洗浄方法
CN117747414A (zh) * 2024-02-19 2024-03-22 中国科学院长春光学精密机械与物理研究所 一种半导体晶圆基底清洗方法

Families Citing this family (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10035978B2 (en) * 2014-05-02 2018-07-31 Mitsubishi Gas Chemical Company, Inc. Semiconductor element cleaning liquid and cleaning method
US9847289B2 (en) * 2014-05-30 2017-12-19 Applied Materials, Inc. Protective via cap for improved interconnect performance
CN106328544A (zh) * 2016-08-24 2017-01-11 浙江德汇电子陶瓷有限公司 氮化物陶瓷覆铜板的图形化方法及氮化物陶瓷覆铜板
US10388753B1 (en) 2017-03-31 2019-08-20 National Technology & Engineering Solutions Of Sandia, Llc Regrowth method for fabricating wide-bandgap transistors, and devices made thereby
WO2019167970A1 (ja) * 2018-03-02 2019-09-06 三菱瓦斯化学株式会社 アルミナのダメージを抑制した組成物及びこれを用いた半導体基板の製造方法
KR102067551B1 (ko) * 2018-04-12 2020-01-17 (주) 에프엔지리서치 오염토양 또는 오염수질 복원용 화합물
GB201813368D0 (en) 2018-08-16 2018-10-03 Lam Res Ag Etchant composition
CN113424301A (zh) * 2019-02-19 2021-09-21 三菱化学株式会社 铈化合物去除用清洗液、清洗方法和半导体晶片的制造方法
CN110923716A (zh) * 2019-11-22 2020-03-27 苏州博洋化学股份有限公司 一种半导体先进封测ti蚀刻液

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2004536910A (ja) * 2001-07-09 2004-12-09 マリンクロッド・ベイカー・インコーポレイテッド 基板適合性が改善されたアンモニア不含アルカリ性マイクロエレクトロニクス洗浄組成物
WO2008114616A1 (ja) * 2007-03-16 2008-09-25 Mitsubishi Gas Chemical Company, Inc. 洗浄用組成物、半導体素子の製造方法

Family Cites Families (13)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20030148624A1 (en) * 2002-01-31 2003-08-07 Kazuto Ikemoto Method for removing resists
JP2003234307A (ja) 2002-02-12 2003-08-22 Matsushita Electric Ind Co Ltd エッチング方法、基板洗浄方法及び半導体装置の製造方法
CN1659480A (zh) 2002-06-07 2005-08-24 马林克罗特贝克公司 用于微电子基底的清洁组合物
JP4456424B2 (ja) 2004-06-29 2010-04-28 関東化学株式会社 フォトレジスト残渣及びポリマー残渣除去組成物
JP5292811B2 (ja) 2005-12-20 2013-09-18 三菱瓦斯化学株式会社 配線基板の残渣除去用組成物および洗浄方法
US20090120457A1 (en) * 2007-11-09 2009-05-14 Surface Chemistry Discoveries, Inc. Compositions and method for removing coatings and preparation of surfaces for use in metal finishing, and manufacturing of electronic and microelectronic devices
US8080475B2 (en) * 2009-01-23 2011-12-20 Intel Corporation Removal chemistry for selectively etching metal hard mask
JP2010232486A (ja) 2009-03-27 2010-10-14 Nagase Chemtex Corp エッチング用組成物
JP6360276B2 (ja) 2012-03-08 2018-07-18 東京エレクトロン株式会社 半導体装置、半導体装置の製造方法、半導体製造装置
CN104662643B (zh) 2012-06-13 2016-03-30 三菱瓦斯化学株式会社 清洗用液态组合物、半导体元件的清洗方法、以及半导体元件的制造方法
US9422512B2 (en) * 2012-12-03 2016-08-23 Mitsubishi Gas Chemical Company, Inc. Cleaning liquid for semiconductor elements and cleaning method using same
SG11201509933QA (en) * 2013-06-06 2016-01-28 Advanced Tech Materials Compositions and methods for selectively etching titanium nitride
US9845538B2 (en) * 2013-07-05 2017-12-19 Wako Pure Chemical Industries, Ltd. Etching agent, etching method and etching agent preparation liquid

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2004536910A (ja) * 2001-07-09 2004-12-09 マリンクロッド・ベイカー・インコーポレイテッド 基板適合性が改善されたアンモニア不含アルカリ性マイクロエレクトロニクス洗浄組成物
WO2008114616A1 (ja) * 2007-03-16 2008-09-25 Mitsubishi Gas Chemical Company, Inc. 洗浄用組成物、半導体素子の製造方法

Non-Patent Citations (1)

* Cited by examiner, † Cited by third party
Title
See also references of EP3093875A4 *

Cited By (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2017147416A (ja) * 2016-02-19 2017-08-24 東京応化工業株式会社 リソグラフィー用洗浄液及び洗浄方法
WO2017167797A1 (en) 2016-03-29 2017-10-05 Technic France Solution and method for etching titanium based materials
US10865484B2 (en) 2016-03-29 2020-12-15 Technic France Solution and method for etching titanium based materials
JPWO2017208767A1 (ja) * 2016-06-03 2019-03-28 富士フイルム株式会社 処理液、基板洗浄方法およびレジストの除去方法
JP2021052186A (ja) * 2016-06-03 2021-04-01 富士フイルム株式会社 処理液、基板洗浄方法およびレジストの除去方法
US11397383B2 (en) 2016-06-03 2022-07-26 Fujifilm Corporation Treatment liquid, method for washing substrate, and method for removing resist
US11899369B2 (en) 2016-06-03 2024-02-13 Fujifilm Corporation Treatment liquid, method for washing substrate, and method for removing resist
WO2022071069A1 (ja) * 2020-09-29 2022-04-07 三菱瓦斯化学株式会社 半導体基板洗浄用組成物及び洗浄方法
CN117747414A (zh) * 2024-02-19 2024-03-22 中国科学院长春光学精密机械与物理研究所 一种半导体晶圆基底清洗方法
CN117747414B (zh) * 2024-02-19 2024-04-23 中国科学院长春光学精密机械与物理研究所 一种半导体晶圆基底清洗方法

Also Published As

Publication number Publication date
CN105210176A (zh) 2015-12-30
KR20150123959A (ko) 2015-11-04
KR101608952B1 (ko) 2016-04-05
JPWO2015156171A1 (ja) 2017-04-13
US20170183607A1 (en) 2017-06-29
US9803162B2 (en) 2017-10-31
IL247182A (en) 2017-04-30
JP5835534B1 (ja) 2015-12-24
EP3093875A4 (en) 2017-03-08
EP3093875A1 (en) 2016-11-16
CN105210176B (zh) 2016-09-28
TWI541343B (zh) 2016-07-11
TW201600596A (zh) 2016-01-01

Similar Documents

Publication Publication Date Title
JP5835534B1 (ja) 半導体素子の洗浄用液体組成物、および半導体素子の洗浄方法
JP5626498B2 (ja) 洗浄用液体組成物、半導体素子の洗浄方法、および半導体素子の製造方法
JP6493396B2 (ja) 半導体素子の洗浄液及び洗浄方法
TWI816635B (zh) 半導體元件之洗淨用液體組成物、半導體元件之洗淨方法及半導體元件之製造方法
JP6555274B2 (ja) コバルトのダメージを抑制した半導体素子の洗浄液、およびこれを用いた半導体素子の洗浄方法
JP6146421B2 (ja) 半導体素子用洗浄液及びそれを用いた洗浄方法
JP6733475B2 (ja) 半導体素子の洗浄用液体組成物および半導体素子の洗浄方法、並びに半導体素子の製造方法
KR102678103B1 (ko) 반도체소자의 세정용 액체 조성물 및 반도체소자의 세정방법, 그리고 반도체소자의 제조방법

Legal Events

Date Code Title Description
ENP Entry into the national phase

Ref document number: 2015533336

Country of ref document: JP

Kind code of ref document: A

ENP Entry into the national phase

Ref document number: 20157030020

Country of ref document: KR

Kind code of ref document: A

121 Ep: the epo has been informed by wipo that ep was designated in this application

Ref document number: 15776802

Country of ref document: EP

Kind code of ref document: A1

WWE Wipo information: entry into national phase

Ref document number: 247182

Country of ref document: IL

REEP Request for entry into the european phase

Ref document number: 2015776802

Country of ref document: EP

WWE Wipo information: entry into national phase

Ref document number: 15118230

Country of ref document: US

Ref document number: 2015776802

Country of ref document: EP

NENP Non-entry into the national phase

Ref country code: DE