WO2012064543A1 - Heating plate with planar heater zones for semiconductor processing - Google Patents

Heating plate with planar heater zones for semiconductor processing Download PDF

Info

Publication number
WO2012064543A1
WO2012064543A1 PCT/US2011/058590 US2011058590W WO2012064543A1 WO 2012064543 A1 WO2012064543 A1 WO 2012064543A1 US 2011058590 W US2011058590 W US 2011058590W WO 2012064543 A1 WO2012064543 A1 WO 2012064543A1
Authority
WO
WIPO (PCT)
Prior art keywords
planar heater
heating plate
semiconductor substrate
heater zones
zones
Prior art date
Application number
PCT/US2011/058590
Other languages
English (en)
French (fr)
Inventor
Harmeet Singh
Original Assignee
Lam Research Corporation
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Lam Research Corporation filed Critical Lam Research Corporation
Priority to SG2013029137A priority Critical patent/SG189923A1/en
Priority to KR1020167020482A priority patent/KR101861940B1/ko
Priority to CN201180054053.6A priority patent/CN103201826B/zh
Priority to JP2013538777A priority patent/JP5955850B2/ja
Priority to KR1020137012033A priority patent/KR20130126910A/ko
Publication of WO2012064543A1 publication Critical patent/WO2012064543A1/en

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B29WORKING OF PLASTICS; WORKING OF SUBSTANCES IN A PLASTIC STATE IN GENERAL
    • B29DPRODUCING PARTICULAR ARTICLES FROM PLASTICS OR FROM SUBSTANCES IN A PLASTIC STATE
    • B29D7/00Producing flat articles, e.g. films or sheets
    • B29D7/01Films or sheets
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01CRESISTORS
    • H01C17/00Apparatus or processes specially adapted for manufacturing resistors
    • H01C17/28Apparatus or processes specially adapted for manufacturing resistors adapted for applying terminals
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67109Apparatus for thermal treatment mainly by convection
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67248Temperature monitoring
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/6831Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using electrostatic chucks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/6831Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using electrostatic chucks
    • H01L21/6833Details of electrostatic chucks
    • HELECTRICITY
    • H05ELECTRIC TECHNIQUES NOT OTHERWISE PROVIDED FOR
    • H05BELECTRIC HEATING; ELECTRIC LIGHT SOURCES NOT OTHERWISE PROVIDED FOR; CIRCUIT ARRANGEMENTS FOR ELECTRIC LIGHT SOURCES, IN GENERAL
    • H05B3/00Ohmic-resistance heating
    • H05B3/68Heating arrangements specially adapted for cooking plates or analogous hot-plates
    • HELECTRICITY
    • H05ELECTRIC TECHNIQUES NOT OTHERWISE PROVIDED FOR
    • H05BELECTRIC HEATING; ELECTRIC LIGHT SOURCES NOT OTHERWISE PROVIDED FOR; CIRCUIT ARRANGEMENTS FOR ELECTRIC LIGHT SOURCES, IN GENERAL
    • H05B2203/00Aspects relating to Ohmic resistive heating covered by group H05B3/00
    • H05B2203/037Heaters with zones of different power density

Definitions

  • Semiconductor substrate materials such as silicon substrates are processed by techniques which include the use of vacuum chambers. These techniques include non plasma applications such as electron beam deposition, as well as plasma applications, such as sputter deposition, plasma-enhanced chemical vapor deposition (PECVD), resist strip, and plasma etch.
  • non plasma applications such as electron beam deposition, as well as plasma applications, such as sputter deposition, plasma-enhanced chemical vapor deposition (PECVD), resist strip, and plasma etch.
  • PECVD plasma-enhanced chemical vapor deposition
  • Plasma processing systems available today are among those semiconductor fabrication tools which are subject to an increasing need for improved accuracy and repeatability.
  • One metric for plasma processing systems is increased uniformity, which includes uniformity of process results on a semiconductor substrate surface as well as uniformity of process results of a succession of substrates processed with nominally the same input parameters. Continuous improvement of on-substrate uniformity is desirable. Among other things, this calls for plasma chambers with improved uniformity, consistency and self diagnostics.
  • a heating plate for a substrate support assembly used to support a semiconductor substrate in a semiconductor processing apparatus, the heating plate comprising: an electrically insulating layer; planar heater zones comprising at least first, second, third and fourth planar heater zones, each comprising one or more heater elements made of an insulator-conductor composite, the planar heater zones laterally distributed across the electrically insulating layer and operable to tune a spatial temperature profile on the semiconductor substrate; power supply lines comprising at least a first electrically conductive power supply line electrically connected to the first and second planar heater zones and a second electrically conductive power supply line electrically connected to the third and fourth planar heater zones; power return lines comprising at least a first electrically conductive power return line electrically connected to the first and third planar heater zones and a second electrically conductive power return line electrically connected to the second and fourth planar heater zones.
  • FIG. 1 is a schematic cross-sectional view of a substrate support assembly in which a heating plate with an array of planar heater zones is incorporated, the substrate support assembly also comprising an electrostatic chuck (ESC).
  • ESC electrostatic chuck
  • Fig. 2 illustrates the electrical connection of power supply lines and power return lines to an array of planar heater zones in a heating plate which can be incorporated in a substrate support assembly.
  • FIG. 3 is a schematic cross-sectional view of a substrate support assembly in which a heating plate is incorporated, the substrate support assembly further including a primary heater layer.
  • FIG. 4 is a schematic of an exemplary plasma processing chamber, which can include a substrate support assembly with the heating plate described herein. Detailed Description
  • a substrate support assembly may be configured for a variety of functions during processing, such as supporting the substrate, tuning the substrate temperature, and supplying radio frequency power.
  • the substrate support assembly can comprise an electrostatic chuck (ESC) useful for electrostatically clamping a substrate onto the substrate support assembly during processing.
  • the ESC may be a tunable ESC (T-ESC).
  • T-ESC is described in commonly assigned U.S. Patent Nos. 6,847,014 and 6,921,724, which are hereby incorporated by reference.
  • the substrate support assembly may comprise a ceramic substrate holder, a fluid-cooled heat sink
  • cooling plate (hereafter referred to as cooling plate) and a plurality of concentric planar heater zones to realize step by step and radial temperature control.
  • the cooling plate is maintained between -20 °C and 80 °C.
  • the heaters are located on the cooling plate with a layer of thermal insulator in between.
  • the heaters can maintain the support surface of the substrate support assembly at temperatures about 0 °C to 90 °C above the cooling plate temperature.
  • the substrate support temperature profile can be changed.
  • the mean substrate support temperature can be changed step by step within the operating range of 0 to 90 °C above the cooling plate temperature.
  • a small azimuthal temperature variation poses increasingly greater challenges as CD decreases with the advance of semiconductor technology.
  • Controlling temperature is not an easy task for several reasons. First, many factors can affect heat transfer, such as the locations of heat sources and heat sinks, the movement, materials and shapes of the media. Second, heat transfer is a dynamic process. Unless the system in question is in heat equilibrium, heat transfer will occur and the temperature profile and heat transfer will change with time.
  • the substrate temperature profile in a plasma processing apparatus is affected by many factors, such as the plasma density profile, the RF power profile and the detailed structure of the various heating the cooling elements in the chuck, hence the substrate temperature profile is often not uniform and difficult to control with a small number of heating or cooling elements. This deficiency translates to non-uniformity in the processing rate across the whole substrate and non-uniformity in the critical dimension of the device dies on the substrate.
  • a heating plate for a substrate support assembly in a semiconductor processing apparatus wherein the heating plate has multiple independently controllable planar heater zones that include heater elements made from a conductor-insulator composite.
  • This heating plate comprises a scalable multiplexing layout scheme of the planar heater zones, power supply lines and power return lines (collectively, power lines). By tuning the power of the planar heater zones, the temperature profile during processing can be shaped both radially and azimuthally. More details are disclosed in commonly-owned U.S. Published Patent Application No. 2011/092072, the disclosure of which is hereby incorporated by reference.
  • this heating plate is primarily described for a plasma processing apparatus, this heating plate can also be used in other semiconductor processing apparatuses that do not use plasma.
  • planar heater zones in this heating plate are preferably arranged in a defined pattern, for example, a rectangular grid, a hexagonal grid, a polar array, concentric rings or any desired pattern.
  • Each planar heater zone may be of any suitable size and may have one or more heater elements. When a planar heater zone is powered, all heater elements therein are powered; when a planar heater zone is not powered, all heater elements therein are not powered.
  • each power supply line is connected to a different group of planar heater zones and each power return line is connected to a different group of planar heater zones, each planar heater zone being in one of the groups connected to a particular power supply line and one of the groups connected to a particular power return line.
  • No two planar heater zones are connected to the same pair of power supply and power return lines.
  • a planar heater zone can be activated by directing electrical current through a pair of power supply line and power return line to which this particular planar heater zone is connected. The power density of the heater elements is
  • each planar heater zone is not larger than four device dies being manufactured on a semiconductor substrate, or not larger than two device dies being manufactured on a semiconductor substrate, or not larger than one device die being
  • the heating plate can include any suitable number of planar heater zones, such as 100 to 1000 planar heater zones.
  • the thickness of the heater elements may range from 2 micrometers to 1 millimeter, preferably 5-80 micrometers.
  • the total area of the planar heater zones may be up to 99% of the area of the upper surface of the substrate support assembly, e.g. 50-99% of the area.
  • the power supply lines or the power return lines may be arranged in gaps ranging from 1 to 10 mm between the planar heater zones, or in separate planes separated from the planar heater zones plane by electrically insulating layers.
  • the power supply lines and the power return lines are preferably made as wide as the space allows, in order to cany large current and reduce Joule heating, i one embodiment, in which the power lines are in the same plane as the planar heater zones, the width of the power lines is preferably between 0.3 mm and 2 mm. h another embodiment, in which the power lines are on different planes than the planar heater zones, the width of the power lines can be as large as the planar heater zones, e.g. for a 300 mm chuck, the width can be 1 to 2 inches.
  • the materials of the power supply lines and power return lines are materials with low resistivity, such as Cu, Al, W, rnconel ® or Mo.
  • a conventional resistive heater element typically comprises a serpentine trace made of electrical conductors with low resistivity, such as Al, Cu, W, iconel ® and Mo.
  • V the heating power P of the resistive heater element
  • R the electrical resistance thereof.
  • R can be expressed as (p-L)/(W-T), wherein p is the electrical resistivity of the material the serpentine trace is made of; L, J and T are the total trace length (i.e. the length measured by following the serpentine trace), width and thickness of the serpentine trace, respectively.
  • Geometrical factors L, W and T of the serpentine trace are constrained by the physical size of the planar heater zone in which the resistive heater element is enclosed.
  • L has an upper limit due to available area in the planar heater zone; JFand rhave a lower limit due to fabrication techniques.
  • R has an upper limit and P has a lower limit. It is increasingly difficult to meet the power density requirement (preferably less than 10 W/cm 2 , more preferably less than 5 W/cm 2 .
  • Increasing the electrical resistivity p, as described hereinbelow, can alleviate this problem.
  • Fig. 1 shows a substrate support assembly comprising one embodiment of the heating plate having an electrically insulating layer 103.
  • the layer 103 may have one or more layers made of a polymer material, an inorganic material, a ceramic such as silicon oxide, alumina, yttria, aluminum nitride or other suitable material.
  • the substrate support assembly further comprises (a) at least one ESC (electrostatic clamping) electrode 102 (e.g. monopolar or bipolar) embedded in the layer 103 to electrostatically clamp a substrate to the surface of the layer 103 with a DC voltage, (b) a thermal barrier layer 107, (c) a cooling plate 105 containing channels 106 for coolant flow.
  • the power supply lines and power return lines are not shown for clarity.
  • each of the planar heater zones 101 is connected to one of the power supply lines 201 and one of the power return lines 202.
  • No two planar heater zones 101 share the same pair of power supply 201 and power return 202 lines.
  • a pair of power supply line 201 and power return line 202 to a power supply (not shown), whereby only the planar heater zone connected to this pair of power lines is powered.
  • the time-averaged heating power of each planar heater zone can be individually tuned by time-domain multiplexing.
  • a rectifier 250 e.g.
  • a diode may be serially connected between each heater zone and the power supply lines connected thereto (as shown in Fig. 2), or between each heater zone and the power return lines connected thereto (not shown).
  • the rectifier can be physically located in the heating plate or any suitable location.
  • any current blocking arrangement such as solid state switches can be used to prevent crosstalk.
  • Each planar heater zone 101 comprises at least one heater element made of an insulator-conductor composite.
  • the insulator-conductor composite comprises one or more insulator materials selected from the group consisting of A1 2 0 3 , Si0 2 , Y 2 0 3 , Si 3 N 4 , A1N, and one or more conductor materials selected from the group consisting of Al, Cu, Mo, W, Au, Ag, Pt, Pd, C, MoSi 2 , WC, SiC.
  • the insulator-conductor composite can be made by mixing powders (preferably having particle sizes from 0.2 to 20 microns) of an insulator and a conductor with a suitable liquid (e.g.
  • the insulator-conductor composite comprises up to 30 wt% of A1 2 0 3 and balance of W.
  • the layer 103 of the heating plate is preferably made of ceramic.
  • the heating plate can be made by an exemplary method comprising: pressing a mixture of ceramic powder, binder and liquid into sheets; drying the sheets; forming vias in the sheets by punching holes in the sheets; forming power supply lines and power return lines on the sheets by screen printing a slurry of conducting powder (e.g.
  • the sheets can be about 0.3 mm in thickness.
  • Fig. 3 shows the substrate support assembly of Fig. 1, further comprising the primary heater layer 601.
  • the primary heater layer 601 includes at least two individually controlled high-power heaters.
  • the power of the primary heaters is between 100 and 10000W, preferably, between 1000 and 5000W.
  • the primary heaters may be arranged as a rectangular grid, concentric annular zones, radial zone or combination of annular zones and radial zones.
  • the primary heaters may be used for changing the mean temperature, tuning the radial temperature profile, or step-by-step temperature control on the substrate.
  • the primary heaters are above thermal barrier layer 107 and may be located above or below the heater zones 101.
  • FIG. 4 shows a schematic of a plasma processing chamber comprising a chamber 713 in which an upper showerhead electrode 703 and a substrate support assembly 704 are disposed.
  • a substrate 712 is loaded through a loading port 711 onto the substrate support assembly 704.
  • a gas line 709 supplies process gas to the upper showerhead electrode 703 which delivers the process gas into the chamber.
  • a gas source 708 e.g. a mass flow controller supplying a suitable gas mixture
  • a RF power source 702 is connected to the upper showerhead electrode 703.
  • the chamber is evacuated by a vacuum pump 710 and the RF power is capacitively coupled between the upper showerhead electrode 703 and a lower electrode in the substrate support assembly 704 to energize the process gas into a plasma in the space between the substrate 712 and the upper showerhead electrode 703.
  • the plasma can be used to etch device die features into layers on the substrate 712.
  • the substrate support assembly 704 includes a heating plate as described herein. As described above, it should be appreciated that while the detailed design of the plasma processing chamber may vary, RF power is coupled to the plasma through the substrate support assembly 704.

Landscapes

  • Engineering & Computer Science (AREA)
  • Manufacturing & Machinery (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Power Engineering (AREA)
  • Plasma & Fusion (AREA)
  • Mechanical Engineering (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)
  • Drying Of Semiconductors (AREA)
  • Resistance Heating (AREA)
  • Chemical Vapour Deposition (AREA)
  • Control Of Resistance Heating (AREA)
PCT/US2011/058590 2010-11-10 2011-10-31 Heating plate with planar heater zones for semiconductor processing WO2012064543A1 (en)

Priority Applications (5)

Application Number Priority Date Filing Date Title
SG2013029137A SG189923A1 (en) 2010-11-10 2011-10-31 Heating plate with planar heater zones for semiconductor processing
KR1020167020482A KR101861940B1 (ko) 2010-11-10 2011-10-31 반도체 프로세싱을 위한 평면 가열기 구역을 구비한 가열 플레이트
CN201180054053.6A CN103201826B (zh) 2010-11-10 2011-10-31 用于半导体处理的具有平面加热器区域的加热板
JP2013538777A JP5955850B2 (ja) 2010-11-10 2011-10-31 半導体処理のためのヒータゾーンを伴った加熱板、基板サポートアセンブリ、加熱板を作成する方法、加熱板の各ヒータゾーンを作成する方法、及び加熱板の層を製造するための方法
KR1020137012033A KR20130126910A (ko) 2010-11-10 2011-10-31 반도체 프로세싱을 위한 평면 가열기 구역을 구비한 가열 플레이트

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US12/943,492 US8546732B2 (en) 2010-11-10 2010-11-10 Heating plate with planar heater zones for semiconductor processing
US12/943,492 2010-11-10

Publications (1)

Publication Number Publication Date
WO2012064543A1 true WO2012064543A1 (en) 2012-05-18

Family

ID=46019998

Family Applications (1)

Application Number Title Priority Date Filing Date
PCT/US2011/058590 WO2012064543A1 (en) 2010-11-10 2011-10-31 Heating plate with planar heater zones for semiconductor processing

Country Status (7)

Country Link
US (2) US8546732B2 (zh)
JP (2) JP5955850B2 (zh)
KR (2) KR20130126910A (zh)
CN (2) CN103201826B (zh)
SG (2) SG189923A1 (zh)
TW (2) TWI550761B (zh)
WO (1) WO2012064543A1 (zh)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20150077842A (ko) * 2013-12-30 2015-07-08 엘지디스플레이 주식회사 표시소자용 큐어링 장치
WO2017023598A1 (en) * 2015-07-31 2017-02-09 Illinois Tool Works Inc. Heating panel

Families Citing this family (68)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8637794B2 (en) 2009-10-21 2014-01-28 Lam Research Corporation Heating plate with planar heating zones for semiconductor processing
KR101644673B1 (ko) 2009-12-15 2016-08-01 램 리써치 코포레이션 Cd 균일성을 향상시키기 위한 기판 온도의 조절
US8791392B2 (en) * 2010-10-22 2014-07-29 Lam Research Corporation Methods of fault detection for multiplexed heater array
US8546732B2 (en) 2010-11-10 2013-10-01 Lam Research Corporation Heating plate with planar heater zones for semiconductor processing
US9307578B2 (en) 2011-08-17 2016-04-05 Lam Research Corporation System and method for monitoring temperatures of and controlling multiplexed heater array
CN103999545B (zh) * 2011-08-30 2018-02-06 沃特洛电气制造公司 制造高清晰度加热器系统的方法
US10388493B2 (en) 2011-09-16 2019-08-20 Lam Research Corporation Component of a substrate support assembly producing localized magnetic fields
US9324589B2 (en) 2012-02-28 2016-04-26 Lam Research Corporation Multiplexed heater array using AC drive for semiconductor processing
US9691644B2 (en) * 2012-09-28 2017-06-27 Semes Co., Ltd. Supporting unit, substrate treating device including the same, and method of manufacturing the supporting unit
US9157730B2 (en) 2012-10-26 2015-10-13 Applied Materials, Inc. PECVD process
US10049948B2 (en) 2012-11-30 2018-08-14 Lam Research Corporation Power switching system for ESC with array of thermal control elements
US10177014B2 (en) * 2012-12-14 2019-01-08 Applied Materials, Inc. Thermal radiation barrier for substrate processing chamber components
US9681497B2 (en) 2013-03-12 2017-06-13 Applied Materials, Inc. Multi zone heating and cooling ESC for plasma process chamber
US10332772B2 (en) 2013-03-13 2019-06-25 Applied Materials, Inc. Multi-zone heated ESC with independent edge zones
KR20180110213A (ko) 2013-08-06 2018-10-08 어플라이드 머티어리얼스, 인코포레이티드 국부적으로 가열되는 다-구역 기판 지지부
US20150060013A1 (en) * 2013-09-05 2015-03-05 Applied Materials, Inc. Tunable temperature controlled electrostatic chuck assembly
US9196514B2 (en) * 2013-09-06 2015-11-24 Applied Materials, Inc. Electrostatic chuck with variable pixilated heating
TW201518538A (zh) 2013-11-11 2015-05-16 Applied Materials Inc 像素化冷卻溫度控制的基板支撐組件
US10460968B2 (en) 2013-12-02 2019-10-29 Applied Materials, Inc. Electrostatic chuck with variable pixelated magnetic field
US10217615B2 (en) 2013-12-16 2019-02-26 Lam Research Corporation Plasma processing apparatus and component thereof including an optical fiber for determining a temperature thereof
US9716022B2 (en) * 2013-12-17 2017-07-25 Lam Research Corporation Method of determining thermal stability of a substrate support assembly
US9101038B2 (en) 2013-12-20 2015-08-04 Lam Research Corporation Electrostatic chuck including declamping electrode and method of declamping
US9520315B2 (en) 2013-12-31 2016-12-13 Applied Materials, Inc. Electrostatic chuck with internal flow adjustments for improved temperature distribution
US9622375B2 (en) 2013-12-31 2017-04-11 Applied Materials, Inc. Electrostatic chuck with external flow adjustments for improved temperature distribution
US9435692B2 (en) * 2014-02-05 2016-09-06 Lam Research Corporation Calculating power input to an array of thermal control elements to achieve a two-dimensional temperature output
US11158526B2 (en) 2014-02-07 2021-10-26 Applied Materials, Inc. Temperature controlled substrate support assembly
US9472410B2 (en) 2014-03-05 2016-10-18 Applied Materials, Inc. Pixelated capacitance controlled ESC
US9543171B2 (en) * 2014-06-17 2017-01-10 Lam Research Corporation Auto-correction of malfunctioning thermal control element in a temperature control plate of a semiconductor substrate support assembly that includes deactivating the malfunctioning thermal control element and modifying a power level of at least one functioning thermal control element
US10736182B2 (en) 2014-07-02 2020-08-04 Applied Materials, Inc. Apparatus, systems, and methods for temperature control of substrates using embedded fiber optics and epoxy optical diffusers
KR102302723B1 (ko) 2014-07-23 2021-09-14 어플라이드 머티어리얼스, 인코포레이티드 튜닝가능한 온도 제어되는 기판 지지 어셈블리
US10002782B2 (en) 2014-10-17 2018-06-19 Lam Research Corporation ESC assembly including an electrically conductive gasket for uniform RF power delivery therethrough
US9673071B2 (en) 2014-10-23 2017-06-06 Lam Research Corporation Buffer station for thermal control of semiconductor substrates transferred therethrough and method of transferring semiconductor substrates
CN104502400A (zh) * 2014-11-25 2015-04-08 航天材料及工艺研究所 一种隔热材料高温热导率平面热源测试系统及方法
US9872341B2 (en) 2014-11-26 2018-01-16 Applied Materials, Inc. Consolidated filter arrangement for devices in an RF environment
CN104896947A (zh) * 2015-05-04 2015-09-09 周玉红 一种中频炉电热发生器
KR102321919B1 (ko) 2015-05-22 2021-11-03 어플라이드 머티어리얼스, 인코포레이티드 방위방향으로 튜닝가능한 다중-구역 정전 척
US10763142B2 (en) 2015-06-22 2020-09-01 Lam Research Corporation System and method for determining field non-uniformities of a wafer processing chamber using a wafer processing parameter
US10381248B2 (en) 2015-06-22 2019-08-13 Lam Research Corporation Auto-correction of electrostatic chuck temperature non-uniformity
US10386821B2 (en) 2015-06-22 2019-08-20 Lam Research Corporation Systems and methods for calibrating scalar field contribution values for a limited number of sensors including a temperature value of an electrostatic chuck and estimating temperature distribution profiles based on calibrated values
US9779974B2 (en) 2015-06-22 2017-10-03 Lam Research Corporation System and method for reducing temperature transition in an electrostatic chuck
US9864361B2 (en) 2015-06-22 2018-01-09 Lam Research Corporation Flexible temperature compensation systems and methods for substrate processing systems
US10237916B2 (en) 2015-09-30 2019-03-19 Tokyo Electron Limited Systems and methods for ESC temperature control
KR102429619B1 (ko) * 2015-11-18 2022-08-04 삼성전자주식회사 본딩 스테이지와 이를 포함하는 본딩 장치
US10707110B2 (en) 2015-11-23 2020-07-07 Lam Research Corporation Matched TCR joule heater designs for electrostatic chucks
US10690414B2 (en) * 2015-12-11 2020-06-23 Lam Research Corporation Multi-plane heater for semiconductor substrate support
CN106935468A (zh) * 2015-12-31 2017-07-07 中微半导体设备(上海)有限公司 一种半导体处理器及用于半导体处理器的多区控温加热器
KR102513443B1 (ko) 2016-03-15 2023-03-24 삼성전자주식회사 정전 척 및 그를 포함하는 기판 처리 장치
US10973088B2 (en) 2016-04-18 2021-04-06 Applied Materials, Inc. Optically heated substrate support assembly with removable optical fibers
US10764966B2 (en) * 2016-05-10 2020-09-01 Lam Research Corporation Laminated heater with different heater trace materials
KR102360248B1 (ko) * 2016-05-10 2022-02-07 램 리써치 코포레이션 상이한 히터 트레이스 재료를 사용한 적층된 히터
US10667379B2 (en) 2016-05-10 2020-05-26 Lam Research Corporation Connections between laminated heater and heater voltage inputs
US11069553B2 (en) * 2016-07-07 2021-07-20 Lam Research Corporation Electrostatic chuck with features for preventing electrical arcing and light-up and improving process uniformity
US10685861B2 (en) 2016-08-26 2020-06-16 Applied Materials, Inc. Direct optical heating of substrates through optical guide
US10910195B2 (en) 2017-01-05 2021-02-02 Lam Research Corporation Substrate support with improved process uniformity
US10306709B2 (en) 2017-02-14 2019-05-28 The Boeing Company Trimmable heat blanket and heating method
CN108728828A (zh) * 2017-04-20 2018-11-02 中微半导体设备(上海)有限公司 Cvd设备及其温度控制方法与发热体
JP7303820B2 (ja) * 2017-11-21 2023-07-05 ワトロー エレクトリック マニュファクチュアリング カンパニー ビアを有さない複数領域ペデスタルヒーター
MX2020009841A (es) * 2018-03-22 2021-01-08 Ecocoat Gmbh Aparato para alimentar y dosificar polvo, aparato para producir una estructura de capa sobre un area de superficie de un dispositivo, elemento de calentamiento plano y metodo para producir un elemento de calentamiento plano.
WO2019187785A1 (ja) 2018-03-26 2019-10-03 日本碍子株式会社 静電チャックヒータ
CN108766904B (zh) * 2018-04-26 2021-03-12 上海华力微电子有限公司 一种静电吸附盘的温度监控方法
KR20200023988A (ko) 2018-08-27 2020-03-06 삼성전자주식회사 정전 척 및 상기 정전 척을 탑재한 웨이퍼 식각 장치
JP7199200B2 (ja) * 2018-11-01 2023-01-05 東京エレクトロン株式会社 基板載置台、基板処理装置及び基板処理方法
KR102161537B1 (ko) * 2018-11-16 2020-10-05 (주)엠크래프츠 전자현미경용 시료대
CN110016656B (zh) * 2019-05-23 2020-11-24 深圳市华星光电技术有限公司 化学气相沉积腔室
JP7429126B2 (ja) * 2020-01-31 2024-02-07 新光電気工業株式会社 基板固定装置
JP6900139B1 (ja) * 2020-12-22 2021-07-07 株式会社浅野研究所 熱成形装置および熱成形方法
CN113611468A (zh) * 2021-07-26 2021-11-05 电子科技大学 电阻膜及微区热板制作方法
WO2023248406A1 (ja) * 2022-06-23 2023-12-28 株式会社日立ハイテク プラズマ処理装置

Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6169275B1 (en) * 1998-06-05 2001-01-02 Ngk Spark Plug Co, Ltd. Ceramic heater and oxygen sensor using the same
US20040012404A1 (en) * 2002-07-19 2004-01-22 Delta Design, Inc. Thermal control of a DUT using a thermal contro substrate
US20080037194A1 (en) * 2004-06-28 2008-02-14 Kyocera Corporation Electrostatic Chuck
US20090215201A1 (en) * 2001-04-30 2009-08-27 Lam Research Corporation Method for controlling spatial temperature distribution across a semiconductor wafer
US20090284894A1 (en) * 2008-05-19 2009-11-19 Entegris, Inc. Electrostatic chuck

Family Cites Families (121)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3440883A (en) 1966-12-01 1969-04-29 Monsanto Co Electronic semiconductor thermometer
US5059770A (en) 1989-09-19 1991-10-22 Watkins-Johnson Company Multi-zone planar heater assembly and method of operation
US5536918A (en) 1991-08-16 1996-07-16 Tokyo Electron Sagami Kabushiki Kaisha Heat treatment apparatus utilizing flat heating elements for treating semiconductor wafers
FR2682253A1 (fr) 1991-10-07 1993-04-09 Commissariat Energie Atomique Sole chauffante destinee a assurer le chauffage d'un objet dispose a sa surface et reacteur de traitement chimique muni de ladite sole.
US5255520A (en) 1991-12-20 1993-10-26 Refir Technologies Advanced thermoelectric heating and cooling system
US5414245A (en) 1992-08-03 1995-05-09 Hewlett-Packard Corporation Thermal-ink heater array using rectifying material
DE4231702C2 (de) 1992-09-22 1995-05-24 Litef Gmbh Thermoelektrische, beheizbare Kühlkammer
KR100290748B1 (ko) 1993-01-29 2001-06-01 히가시 데쓰로 플라즈마 처리장치
US5504471A (en) 1993-09-16 1996-04-02 Hewlett-Packard Company Passively-multiplexed resistor array
JPH08125001A (ja) * 1994-10-26 1996-05-17 Fuji Electric Co Ltd 静電チャック
JP3257328B2 (ja) 1995-03-16 2002-02-18 株式会社日立製作所 プラズマ処理装置及びプラズマ処理方法
US5667622A (en) 1995-08-25 1997-09-16 Siemens Aktiengesellschaft In-situ wafer temperature control apparatus for single wafer tools
JPH09213781A (ja) 1996-02-01 1997-08-15 Tokyo Electron Ltd 載置台構造及びそれを用いた処理装置
US6095084A (en) 1996-02-02 2000-08-01 Applied Materials, Inc. High density plasma process chamber
US5740016A (en) 1996-03-29 1998-04-14 Lam Research Corporation Solid state temperature controlled substrate holder
WO1998005060A1 (en) 1996-07-31 1998-02-05 The Board Of Trustees Of The Leland Stanford Junior University Multizone bake/chill thermal cycling module
JP3526184B2 (ja) 1997-03-17 2004-05-10 大日本スクリーン製造株式会社 基板処理装置
JP4256503B2 (ja) * 1997-10-30 2009-04-22 東京エレクトロン株式会社 真空処理装置
US6222161B1 (en) 1998-01-12 2001-04-24 Tokyo Electron Limited Heat treatment apparatus
US5886866A (en) 1998-07-06 1999-03-23 Applied Materials, Inc. Electrostatic chuck having a combination electrode structure for substrate chucking, heating and biasing
WO2000026960A1 (fr) * 1998-10-29 2000-05-11 Tokyo Electron Limited Dispositif de traitement sous vide
JP3892609B2 (ja) 1999-02-16 2007-03-14 株式会社東芝 ホットプレートおよび半導体装置の製造方法
DE19907497C2 (de) 1999-02-22 2003-05-28 Steag Hamatech Ag Vorrichtung und Verfahren zur Wärmebehandlung von Substraten
US6353209B1 (en) 1999-03-04 2002-03-05 Board Of Trustees Of The Leland Stanford Junior University Temperature processing module
US6469283B1 (en) * 1999-03-04 2002-10-22 Applied Materials, Inc. Method and apparatus for reducing thermal gradients within a substrate support
US6523493B1 (en) 2000-08-01 2003-02-25 Tokyo Electron Limited Ring-shaped high-density plasma source and method
US6100506A (en) 1999-07-26 2000-08-08 International Business Machines Corporation Hot plate with in situ surface temperature adjustment
JP3273773B2 (ja) * 1999-08-12 2002-04-15 イビデン株式会社 半導体製造・検査装置用セラミックヒータ、半導体製造・検査装置用静電チャックおよびウエハプローバ用チャックトップ
US6175175B1 (en) 1999-09-10 2001-01-16 The University Of Chicago Levitation pressure and friction losses in superconducting bearings
DE60045384D1 (de) * 1999-09-29 2011-01-27 Tokyo Electron Ltd Mehrzonenwiderstandsheizung
US6740853B1 (en) 1999-09-29 2004-05-25 Tokyo Electron Limited Multi-zone resistance heater
EP1199908A4 (en) 1999-10-22 2003-01-22 Ibiden Co Ltd CERAMIC HEATING PLATE
US6271459B1 (en) 2000-04-26 2001-08-07 Wafermasters, Inc. Heat management in wafer processing equipment using thermoelectric device
US6403403B1 (en) 2000-09-12 2002-06-11 The Aerospace Corporation Diode isolated thin film fuel cell array addressing method
US6475336B1 (en) 2000-10-06 2002-11-05 Lam Research Corporation Electrostatically clamped edge ring for plasma processing
AU2002212963A1 (en) 2000-10-25 2002-05-06 Tokyo Electron Limited Method of and structure for controlling electrode temperature
US6501052B2 (en) 2000-12-22 2002-12-31 Chrysalis Technologies Incorporated Aerosol generator having multiple heating zones and methods of use thereof
WO2002071446A2 (en) 2001-03-02 2002-09-12 Tokyo Electron Limited Method and apparatus for active temperature control of susceptors
US6746616B1 (en) 2001-03-27 2004-06-08 Advanced Micro Devices, Inc. Method and apparatus for providing etch uniformity using zoned temperature control
US6741446B2 (en) 2001-03-30 2004-05-25 Lam Research Corporation Vacuum plasma processor and method of operating same
JP3582518B2 (ja) 2001-04-18 2004-10-27 住友電気工業株式会社 抵抗発熱体回路パターンとそれを用いた基板処理装置
US6847014B1 (en) 2001-04-30 2005-01-25 Lam Research Corporation Method and apparatus for controlling the spatial temperature distribution across the surface of a workpiece support
WO2002089531A1 (en) 2001-04-30 2002-11-07 Lam Research, Corporation Method and apparatus for controlling the spatial temperature distribution across the surface of a workpiece support
US7161121B1 (en) 2001-04-30 2007-01-09 Lam Research Corporation Electrostatic chuck having radial temperature control capability
US20020185487A1 (en) * 2001-05-02 2002-12-12 Ramesh Divakar Ceramic heater with heater element and method for use thereof
US6795292B2 (en) 2001-05-15 2004-09-21 Dennis Grimard Apparatus for regulating temperature of a process kit in a semiconductor wafer-processing chamber
US20060191637A1 (en) 2001-06-21 2006-08-31 John Zajac Etching Apparatus and Process with Thickness and Uniformity Control
US6483690B1 (en) 2001-06-28 2002-11-19 Lam Research Corporation Ceramic electrostatic chuck assembly and method of making
JP2003051433A (ja) * 2001-08-03 2003-02-21 Toto Ltd 静電チャックユニットの温度制御装置
JP3897563B2 (ja) 2001-10-24 2007-03-28 日本碍子株式会社 加熱装置
US6739138B2 (en) 2001-11-26 2004-05-25 Innovations Inc. Thermoelectric modules and a heating and cooling apparatus incorporating same
US6835290B2 (en) 2002-02-13 2004-12-28 Seagate Technology Llc System and method for controlling thin film defects
US6921724B2 (en) 2002-04-02 2005-07-26 Lam Research Corporation Variable temperature processes for tunable electrostatic chuck
US6612673B1 (en) 2002-04-29 2003-09-02 Hewlett-Packard Development Company, L.P. System and method for predicting dynamic thermal conditions of an inkjet printing system
JP3808407B2 (ja) 2002-07-05 2006-08-09 住友大阪セメント株式会社 電極内蔵型サセプタ及びその製造方法
DE10397020B4 (de) 2002-07-11 2022-08-04 Temptronic Corp. Werkstück-Einspannvorrichtung mit Temperatursteuereinheit mit Abstandshaltern zwischen Schichten, die einen Zwischenraum für thermoelektrische Module schaffen und Verfahren zum Halten eines Werkstücks
US7504006B2 (en) 2002-08-01 2009-03-17 Applied Materials, Inc. Self-ionized and capacitively-coupled plasma for sputtering and resputtering
JP3924524B2 (ja) * 2002-10-29 2007-06-06 京セラ株式会社 ウエハ加熱装置およびその製造方法
US7347901B2 (en) * 2002-11-29 2008-03-25 Tokyo Electron Limited Thermally zoned substrate holder assembly
US7372001B2 (en) 2002-12-17 2008-05-13 Nhk Spring Co., Ltd. Ceramics heater
US6979805B2 (en) 2003-01-08 2005-12-27 Hewlett-Packard Development Company, L.P. Fuel-cell resistors and methods
US6825617B2 (en) 2003-02-27 2004-11-30 Hitachi High-Technologies Corporation Semiconductor processing apparatus
US7230204B2 (en) 2003-03-28 2007-06-12 Tokyo Electron Limited Method and system for temperature control of a substrate
US6989210B2 (en) 2003-04-23 2006-01-24 Hewlett-Packard Development Company, L.P. Fuel cartridge with thermo-degradable barrier system
US8974630B2 (en) 2003-05-07 2015-03-10 Sungkyunkwan University Inductively coupled plasma processing apparatus having internal linear antenna for large area processing
US20040222210A1 (en) * 2003-05-08 2004-11-11 Hongy Lin Multi-zone ceramic heating system and method of manufacture thereof
US20050016465A1 (en) 2003-07-23 2005-01-27 Applied Materials, Inc. Electrostatic chuck having electrode with rounded edge
TWI247551B (en) 2003-08-12 2006-01-11 Ngk Insulators Ltd Method of manufacturing electrical resistance heating element
JP2005123286A (ja) 2003-10-15 2005-05-12 Hitachi Kokusai Electric Inc 基板処理装置
JP4602662B2 (ja) * 2003-12-01 2010-12-22 株式会社ブリヂストン セラミックヒータユニット
US20100257871A1 (en) 2003-12-11 2010-10-14 Rama Venkatasubramanian Thin film thermoelectric devices for power conversion and cooling
US7250309B2 (en) 2004-01-09 2007-07-31 Applied Materials, Inc. Integrated phase angle and optical critical dimension measurement metrology for feed forward and feedback process control
US6870728B1 (en) 2004-01-29 2005-03-22 Tdk Corporation Electrolytic capacitor
JP4349952B2 (ja) 2004-03-24 2009-10-21 京セラ株式会社 ウェハ支持部材とその製造方法
US7141763B2 (en) 2004-03-26 2006-11-28 Tokyo Electron Limited Method and apparatus for rapid temperature change and control
US7697260B2 (en) 2004-03-31 2010-04-13 Applied Materials, Inc. Detachable electrostatic chuck
JP2005294237A (ja) 2004-04-05 2005-10-20 Aun:Kk 面状ヒーター
JP4281605B2 (ja) 2004-04-08 2009-06-17 住友電気工業株式会社 半導体加熱装置
US20050229854A1 (en) 2004-04-15 2005-10-20 Tokyo Electron Limited Method and apparatus for temperature change and control
US7415312B2 (en) * 2004-05-25 2008-08-19 Barnett Jr James R Process module tuning
US7396431B2 (en) 2004-09-30 2008-07-08 Tokyo Electron Limited Plasma processing system for treating a substrate
TWI281833B (en) * 2004-10-28 2007-05-21 Kyocera Corp Heater, wafer heating apparatus and method for manufacturing heater
US7475551B2 (en) 2004-12-23 2009-01-13 Nanocoolers, Inc. System employing temporal integration of thermoelectric action
US20060226123A1 (en) 2005-04-07 2006-10-12 Applied Materials, Inc. Profile control using selective heating
JP4667158B2 (ja) 2005-08-09 2011-04-06 パナソニック株式会社 ウェーハレベルバーンイン方法
JP3933174B2 (ja) * 2005-08-24 2007-06-20 住友電気工業株式会社 ヒータユニットおよびそれを備えた装置
JP3972944B2 (ja) * 2005-09-12 2007-09-05 住友電気工業株式会社 セラミックスヒータ及びそれを備えた半導体製造装置
JP2007081160A (ja) * 2005-09-14 2007-03-29 Fujitsu Ltd 半導体装置の製造方法
US20070125762A1 (en) 2005-12-01 2007-06-07 Applied Materials, Inc. Multi-zone resistive heater
US8168050B2 (en) 2006-07-05 2012-05-01 Momentive Performance Materials Inc. Electrode pattern for resistance heating element and wafer processing apparatus
JP4394667B2 (ja) 2006-08-22 2010-01-06 日本碍子株式会社 ヒータ付き静電チャックの製造方法
US7557328B2 (en) 2006-09-25 2009-07-07 Tokyo Electron Limited High rate method for stable temperature control of a substrate
US7297894B1 (en) 2006-09-25 2007-11-20 Tokyo Electron Limited Method for multi-step temperature control of a substrate
US7723648B2 (en) 2006-09-25 2010-05-25 Tokyo Electron Limited Temperature controlled substrate holder with non-uniform insulation layer for a substrate processing system
US7445446B2 (en) * 2006-09-29 2008-11-04 Tokyo Electron Limited Method for in-line monitoring and controlling in heat-treating of resist coated wafers
JP4850664B2 (ja) 2006-11-02 2012-01-11 東京エレクトロン株式会社 熱処理板の温度設定方法、プログラム、プログラムを記録したコンピュータ読み取り可能な記録媒体及び熱処理板の温度設定装置
KR20080058109A (ko) 2006-12-21 2008-06-25 동부일렉트로닉스 주식회사 웨이퍼 가열장치 및 가열방법
US8222574B2 (en) 2007-01-15 2012-07-17 Applied Materials, Inc. Temperature measurement and control of wafer support in thermal processing chamber
JP5029257B2 (ja) * 2007-01-17 2012-09-19 東京エレクトロン株式会社 載置台構造及び処理装置
US20080197015A1 (en) 2007-02-16 2008-08-21 Terry Bluck Multiple-magnetron sputtering source with plasma confinement
KR100849069B1 (ko) 2007-04-20 2008-07-30 주식회사 하이닉스반도체 정전기 방전 보호 장치
JP2008306176A (ja) * 2007-05-08 2008-12-18 Tokyo Electron Ltd 化合物半導体の熱処理方法及びその装置
US8057602B2 (en) 2007-05-09 2011-11-15 Applied Materials, Inc. Apparatus and method for supporting, positioning and rotating a substrate in a processing chamber
US20090000738A1 (en) 2007-06-29 2009-01-01 Neil Benjamin Arrays of inductive elements for minimizing radial non-uniformity in plasma
US20090031955A1 (en) * 2007-07-30 2009-02-05 Applied Materials, Inc. Vacuum chucking heater of axisymmetrical and uniform thermal profile
JP5148955B2 (ja) * 2007-09-11 2013-02-20 東京エレクトロン株式会社 基板載置機構及び基板処理装置
JP4486135B2 (ja) 2008-01-22 2010-06-23 東京エレクトロン株式会社 温度制御機構およびそれを用いた処理装置
JP5351479B2 (ja) 2008-01-28 2013-11-27 東京エレクトロン株式会社 加熱源の冷却構造
JP5307445B2 (ja) * 2008-04-28 2013-10-02 日本碍子株式会社 基板保持体及びその製造方法
US20100116788A1 (en) 2008-11-12 2010-05-13 Lam Research Corporation Substrate temperature control by using liquid controlled multizone substrate support
JP2010153730A (ja) * 2008-12-26 2010-07-08 Omron Corp 配線構造、ヒータ駆動装置、計測装置および制御システム
GB2470063B (en) 2009-05-08 2011-09-28 Siemens Magnet Technology Ltd Quench propagation circuit for superconducting magnets
US10049859B2 (en) 2009-07-08 2018-08-14 Aixtron Se Plasma generating units for processing a substrate
US8637794B2 (en) 2009-10-21 2014-01-28 Lam Research Corporation Heating plate with planar heating zones for semiconductor processing
WO2011055625A1 (en) 2009-11-06 2011-05-12 Semiconductor Energy Laboratory Co., Ltd. Semiconductor device and operating method thereof
KR101644673B1 (ko) 2009-12-15 2016-08-01 램 리써치 코포레이션 Cd 균일성을 향상시키기 위한 기판 온도의 조절
US8791392B2 (en) 2010-10-22 2014-07-29 Lam Research Corporation Methods of fault detection for multiplexed heater array
US8546732B2 (en) 2010-11-10 2013-10-01 Lam Research Corporation Heating plate with planar heater zones for semiconductor processing
US10388493B2 (en) 2011-09-16 2019-08-20 Lam Research Corporation Component of a substrate support assembly producing localized magnetic fields
US8624168B2 (en) * 2011-09-20 2014-01-07 Lam Research Corporation Heating plate with diode planar heater zones for semiconductor processing
US8461674B2 (en) 2011-09-21 2013-06-11 Lam Research Corporation Thermal plate with planar thermal zones for semiconductor processing

Patent Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6169275B1 (en) * 1998-06-05 2001-01-02 Ngk Spark Plug Co, Ltd. Ceramic heater and oxygen sensor using the same
US20090215201A1 (en) * 2001-04-30 2009-08-27 Lam Research Corporation Method for controlling spatial temperature distribution across a semiconductor wafer
US20040012404A1 (en) * 2002-07-19 2004-01-22 Delta Design, Inc. Thermal control of a DUT using a thermal contro substrate
US20080037194A1 (en) * 2004-06-28 2008-02-14 Kyocera Corporation Electrostatic Chuck
US20090284894A1 (en) * 2008-05-19 2009-11-19 Entegris, Inc. Electrostatic chuck

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20150077842A (ko) * 2013-12-30 2015-07-08 엘지디스플레이 주식회사 표시소자용 큐어링 장치
KR102122537B1 (ko) 2013-12-30 2020-06-12 엘지디스플레이 주식회사 표시소자용 큐어링 장치
WO2017023598A1 (en) * 2015-07-31 2017-02-09 Illinois Tool Works Inc. Heating panel
CN108028440A (zh) * 2015-07-31 2018-05-11 伊利诺斯工具制品有限公司 加热板

Also Published As

Publication number Publication date
TWI608563B (zh) 2017-12-11
US8680441B2 (en) 2014-03-25
TW201630110A (zh) 2016-08-16
KR20160093098A (ko) 2016-08-05
US20120115254A1 (en) 2012-05-10
CN105751540B (zh) 2018-11-13
US8546732B2 (en) 2013-10-01
TW201225206A (en) 2012-06-16
CN103201826B (zh) 2016-06-08
KR20130126910A (ko) 2013-11-21
SG10201509235TA (en) 2015-12-30
TWI550761B (zh) 2016-09-21
SG189923A1 (en) 2013-06-28
JP5955850B2 (ja) 2016-07-20
US20140004702A1 (en) 2014-01-02
CN105751540A (zh) 2016-07-13
JP2016178338A (ja) 2016-10-06
CN103201826A (zh) 2013-07-10
JP6205460B2 (ja) 2017-09-27
JP2013545310A (ja) 2013-12-19
KR101861940B1 (ko) 2018-05-28

Similar Documents

Publication Publication Date Title
US8680441B2 (en) Heating plate with planar heater zones for semiconductor processing
US8624168B2 (en) Heating plate with diode planar heater zones for semiconductor processing
US8587113B2 (en) Thermal plate with planar thermal zones for semiconductor processing
US10236193B2 (en) Substrate supports with multi-layer structure including independent operated heater zones

Legal Events

Date Code Title Description
121 Ep: the epo has been informed by wipo that ep was designated in this application

Ref document number: 11839810

Country of ref document: EP

Kind code of ref document: A1

ENP Entry into the national phase

Ref document number: 2013538777

Country of ref document: JP

Kind code of ref document: A

Ref document number: 20137012033

Country of ref document: KR

Kind code of ref document: A

NENP Non-entry into the national phase

Ref country code: DE

122 Ep: pct application non-entry in european phase

Ref document number: 11839810

Country of ref document: EP

Kind code of ref document: A1