KR102360248B1 - 상이한 히터 트레이스 재료를 사용한 적층된 히터 - Google Patents

상이한 히터 트레이스 재료를 사용한 적층된 히터 Download PDF

Info

Publication number
KR102360248B1
KR102360248B1 KR1020170057515A KR20170057515A KR102360248B1 KR 102360248 B1 KR102360248 B1 KR 102360248B1 KR 1020170057515 A KR1020170057515 A KR 1020170057515A KR 20170057515 A KR20170057515 A KR 20170057515A KR 102360248 B1 KR102360248 B1 KR 102360248B1
Authority
KR
South Korea
Prior art keywords
heating
zone
layer
electrical connection
electrical
Prior art date
Application number
KR1020170057515A
Other languages
English (en)
Other versions
KR20170126803A (ko
Inventor
유마 오쿠라
다렐 에를리히
에릭 에이. 파페
Original Assignee
램 리써치 코포레이션
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from US15/586,178 external-priority patent/US10764966B2/en
Application filed by 램 리써치 코포레이션 filed Critical 램 리써치 코포레이션
Publication of KR20170126803A publication Critical patent/KR20170126803A/ko
Application granted granted Critical
Publication of KR102360248B1 publication Critical patent/KR102360248B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H05ELECTRIC TECHNIQUES NOT OTHERWISE PROVIDED FOR
    • H05BELECTRIC HEATING; ELECTRIC LIGHT SOURCES NOT OTHERWISE PROVIDED FOR; CIRCUIT ARRANGEMENTS FOR ELECTRIC LIGHT SOURCES, IN GENERAL
    • H05B3/00Ohmic-resistance heating
    • H05B3/20Heating elements having extended surface area substantially in a two-dimensional plane, e.g. plate-heater
    • H05B3/22Heating elements having extended surface area substantially in a two-dimensional plane, e.g. plate-heater non-flexible
    • H05B3/28Heating elements having extended surface area substantially in a two-dimensional plane, e.g. plate-heater non-flexible heating conductor embedded in insulating material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67103Apparatus for thermal treatment mainly by conduction
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/324Thermal treatment for modifying the properties of semiconductor bodies, e.g. annealing, sintering
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67248Temperature monitoring
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/6835Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using temporarily an auxiliary support
    • HELECTRICITY
    • H05ELECTRIC TECHNIQUES NOT OTHERWISE PROVIDED FOR
    • H05BELECTRIC HEATING; ELECTRIC LIGHT SOURCES NOT OTHERWISE PROVIDED FOR; CIRCUIT ARRANGEMENTS FOR ELECTRIC LIGHT SOURCES, IN GENERAL
    • H05B3/00Ohmic-resistance heating
    • H05B3/02Details
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2221/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof covered by H01L21/00
    • H01L2221/67Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2221/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof covered by H01L21/00
    • H01L2221/67Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere
    • H01L2221/683Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L2221/68304Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere for supporting or gripping using temporarily an auxiliary support

Abstract

기판 프로세싱 시스템을 위한 기판 지지부는 복수의 히팅 존들, 베이스플레이트, 베이스플레이트 상에 배열된 히팅층 및 세라믹층 중 적어도 하나, 및 히팅층 및 세라믹층 중 적어도 하나 내에 제공된 복수의 히팅 엘리먼트들을 포함한다. 복수의 히팅 엘리먼트들은 제 1 전기적 레지스턴스를 갖는 제 1 재료를 포함한다. 복수의 히팅존들 중 제 1 존 내의 베이스플레이트를 통과하는 배선이 제공된다. 전기적 연결부가 복수의 히팅 엘리먼트들 중 제 1 히팅 엘리먼트로 제 1 존의 배선으로부터 라우팅된다. 제 1 히팅 엘리먼트는 복수의 히팅존들 중 제 2 존 내에 배열되고 전기적 연결부는 제 1 전기적 레지스턴스보다 작은 제 2 전기적 레지스턴스를 갖는 제 2 재료를 포함한다.

Description

상이한 히터 트레이스 재료를 사용한 적층된 히터{LAMINATED HEATER WITH DIFFERENT HEATER TRACE MATERIALS}
본 개시는 기판 프로세싱 시스템들, 보다 구체적으로 기판 지지부 온도를 제어하기 위한 시스템들 및 방법들에 관련된다.
본 명세서에 제공된 배경기술 설명은 일반적으로 본 개시의 맥락을 제공하기 위한 것이다. 본 발명자들의 성과로서 본 배경기술 섹션에 기술되는 정도의 성과 및 출원시 종래 기술로서 인정되지 않을 수도 있는 기술의 양태들은 본 개시에 대한 종래 기술로서 명시적으로나 암시적으로 인정되지 않는다.
기판 프로세싱 시스템들은 반도체 웨이퍼들과 같은 기판들을 처리하기 위해 사용될 수도 있다. 기판 상에서 수행될 수도 있는 예시적인 프로세스들은, 이로 제한되는 것은 아니지만, CVD (chemical vapor deposition), ALD (atomic layer deposition), 도전체 에칭, 및/또는 다른 에칭, 증착 또는 세정 프로세스들을 포함한다. 기판은 기판 프로세싱 시스템의 프로세싱 챔버의 기판 지지부, 예컨대 페데스탈, ESC (electrostatic chuck), 등 상에 배치될 수도 있다. 에칭 동안, 하나 이상의 전구체들을 포함하는 가스 혼합물들이 프로세싱 챔버 내로 도입될 수도 있고 플라즈마는 화학 반응들을 개시하도록 사용될 수도 있다.
ESC와 같은, 기판 지지부는 웨이퍼를 지지하도록 구성된 세라믹층을 포함할 수도 있다. 예를 들어, 웨이퍼는 프로세싱 동안 세라믹층에 클램핑될 수도 있다. 히팅층이 기판 지지부의 세라믹층과 베이스플레이트 사이에 배열될 수도 있다. 단지 예를 들면, 히팅층은 히팅 엘리먼트들, 배선, 등을 포함하는 세라믹 히팅 플레이트일 수도 있다. 기판의 온도는 히팅 플레이트의 온도를 제어함으로써 프로세스 단계들 동안 제어될 수도 있다.
기판 프로세싱 시스템을 위한 기판 지지부는, 복수의 히팅 존들, 베이스플레이트, 베이스플레이트 상에 배열된 히팅층 및 세라믹층 중 적어도 하나, 및 히팅층 및 세라믹층 중 적어도 하나 내에 제공된 복수의 히팅 엘리먼트들을 포함한다. 복수의 히팅 엘리먼트들은 제 1 전기적 레지스턴스를 갖는 제 1 재료를 포함한다. 배선이 복수의 히팅존들 중 제 1 존 내의 베이스플레이트를 관통하여 제공된다. 전기적 연결부가 제 1 존의 배선으로부터 복수의 히팅 엘리먼트들 중 제 1 히팅 엘리먼트로 라우팅된다. 제 1 히팅 엘리먼트는 복수의 히팅존들 중 제 2 존 내에 배열되고, 그리고 전기적 연결부는 제 1 전기적 레지스턴스보다 작은 제 2 전기적 레지스턴스를 갖는 제 2 재료를 포함한다.
다른 특징들에서, 전기적 연결부의 열 출력은 동일한 전압 입력에 대한 제 1 히팅 엘리먼트의 열 출력보다 작다. 복수의 히팅 엘리먼트들 각각은 제 1 전기적 레지스턴스를 갖는 제 1 전기적 트레이스에 대응하고, 전기적 연결부는 제 2 전기적 레지스턴스를 갖는 제 2 전기적 트레이스에 대응한다. 전기적 연결부는 버스 트레이스에 대응한다. 전기적 연결부의 폭은 제 1 히팅 엘리먼트의 폭과 거의 같다. 전기적 연결부의 높이는 제 1 히팅 엘리먼트의 높이와 거의 같다. 제 2 존은 제 1 존의 방사상 외측에 위치된다.
다른 특징들에서, 기판 지지부는 베이스플레이트를 통하여 제 1 존 내의 히팅층 및 세라믹층 중 적어도 하나 내로 제공된 비아를 더 포함하고, 배선은 비아를 통해 라우팅된다. 복수의 히팅 엘리먼트들은 세라믹층 내에 제공되고, 그리고 전기적 연결부는 세라믹층을 통해 라우팅된다. 복수의 히팅 엘리먼트들은 히팅층 내에 제공되고, 그리고 전기적 연결부는 히팅층을 통해 라우팅된다.
또 다른 특징들에서, 전기적 연결부 및 제 1 히팅 엘리먼트는 동일 평면 상에 있다. 기판 지지부는 베이스플레이트 상에 배열된 도전체 층을 더 포함하고, 전기적 연결부는 도전체 층을 통해 라우팅된다. 도전체 층은 폴리머를 포함하고, 그리고 전기적 연결부는 폴리머 내에 임베딩된다. 제 1 재료는 콘스탄탄 (constantan), 니켈 합금, 철 합금 및 텅스텐 합금 중 적어도 하나를 포함하고, 그리고 제 2 재료는 구리, 텅스텐, 은 및 팔라듐 중 적어도 하나를 포함한다.
본 개시의 추가 적용가능 영역들은 상세한 기술, 청구항들 및 도면들로부터 명백해질 것이다. 상세한 기술 및 구체적인 예들은 단지 예시를 목적으로 의도되고, 본 개시의 범위를 제한하는 것으로 의도되지 않았다.
본 개시는 상세한 기술 및 첨부된 도면들로부터 보다 완전히 이해될 것이다.
도 1은 본 개시의 원리들에 따른 기판 지지부를 포함하는 예시적인 기판 프로세싱 시스템의 기능적 블록도이다.
도 2a는 본 개시의 원리들에 따른 예시적인 정전 척이다.
도 2b는 본 개시의 원리들에 따른 예시적인 정전 척의 존들 및 열적 제어 엘리먼트들을 예시한다.
도 3a 및 도 3b는 본 개시의 원리들에 따른 제 1 재료로부터 형성된 히팅 엘리먼트 트레이스들 및 제 2 재료로부터 형성된 버스 트레이스들을 포함하는 제 1 예시적인 정전 척을 도시한다.
도 4a 및 도 4b는 본 개시의 원리들에 따른 제 1 재료로부터 형성된 히팅 엘리먼트 트레이스들 및 제 2 재료로부터 형성된 버스 트레이스들을 포함하는 제 2 예시적인 정전 척을 도시한다.
도 5a 및 도 5b는 본 개시의 원리들에 따른 제 1 재료로부터 형성된 히팅 엘리먼트 트레이스들 및 제 2 재료로부터 형성된 버스 트레이스들을 포함하는 제 3 예시적인 정전 척을 도시한다.
도면들에서, 참조 번호들은 유사한 그리고/또는 동일한 엘리먼트들을 식별하도록 재사용될 수도 있다.
관련 출원들의 교차 참조
본 출원은 2016년 5월 10일 출원된 미국 특허 가출원 번호 제 62/334,097 호 및 2016년 5월 10일 출원된 미국 특허 가출원 번호 제 62/334,084 호의 이점을 주장한다.
본 출원은 2017년 5월 3일 출원된 미국 특허 출원 번호 제 15/586,203 호와 관련된다. 상기 참조된 출원들의 전체 개시는 참조로서 본 명세서에 인용된다.
정전 척 (ESC) 과 같은 기판 지지부는 하나 또는 복수의 히팅 존들 (예를 들어, 멀티-존 ESC) 포함할 수도 있다. ESC는 히팅층의 존 각각을 위해 각각의 히팅 엘리먼트들을 포함할 수도 있다. 히팅 엘리먼트들은 각각의 존들 각각에서 목표된 설정점 온도를 대략적으로 달성하도록 제어된다.
히팅층은 기판 지지부의 상부 세라믹층과 베이스플레이트 사이에 배열된 적층된 히팅 플레이트를 포함할 수도 있다. 히팅 플레이트는 ESC의 존들 전반에 배열된 복수의 히팅 엘리먼트들을 포함한다. 히팅 엘리먼트들은 베이스플레이트를 통해 ESC 아래의 전압 소스로부터 제공된 전압 입력들을 수신하는 전기적 트레이스들 또는 다른 배선을 포함한다. 예를 들어, 베이스플레이트는 히팅 플레이트의 히팅 엘리먼트들의 연결 지점들과 정렬된 하나 이상의 비아들 (예를 들어, 홀들 또는 액세스 포트들) 을 포함할 수도 있다. 배선은 베이스플레이트의 비아들을 통해 전압 소스와 히팅 엘리먼트들의 연결 지점들 사이에 연결된다.
통상적으로, 비아들 및 비아들을 통해 라우팅된 배선은 히터 배제 존들 (즉, 히팅 엘리먼트들이 위치될 수 없는 존들) 을 방지하고 온도 불균일도들을 감소시키도록 히팅 엘리먼트들의 대응하는 연결 지점들에 가능한 가까운 것이 바람직하다. 예를 들어, 비아들은 연결 지점들 바로 아래에 위치될 수도 있다. 그러나, 일부 ESC들에서, 다양한 구조적 피처들이 가장 바람직한 위치들에 비아들, 배선들 및 다른 히팅 엘리먼트 컴포넌트들을 제공하는 것을 방해할 수도 있다. 결과적으로, 비아들 및 대응하는 배선들은 더 이격되게 위치될 수도 있고, 그리고/또는 ESC의 목적지 존의 외측에 위치될 수도 있다. 예를 들어, 중심 존, 중간-내측 존, 중간-외측 존, 및 외측 존 (예를 들어, ESC의 방사상 최외측 존) 을 갖는 ESC에서, 외측 존을 위한 비아들 및 배선은 중간 외측-존 아래에 위치될 수도 있다.
비아들로부터의 전압 입력들을 ESC의 다양한 존들의 연결 지점들로 제공하기 위해 부가적인 배선이 요구될 수도 있다. 일부 예들에서, 도전체 층은 히팅층의 히팅 플레이트 내 연결 지점들로 배선을 라우팅하기 위해 히팅 플레이트 아래에 배치된다. 도전체 층의 전기적 트레이스들/배선은 버스 트레이스들/배선으로 지칭될 수도 있다. 반대로, 히팅층에 대응하는 전기적 트레이스들/배선은 히팅 엘리먼트 배선/트레이스들로서 지칭될 수도 있다. 예를 들어, 도전체 층은 폴리머 (예를 들어, 폴리이미드) 내에 임베딩된 배선을 포함할 수도 있다. 그러나, 도전체 층 내 전기적 트레이스들은 히팅층 내 전기적 트레이스들과 오버랩할 수도 있고, 대응하는 존 내에서 열 출력을 상승시킨다. 이에 따라, 존으로 (예를 들어, 외측 존으로) 전압 입력을 제공하는 도전체 층 내 전기적 트레이스들은 또 다른 존 (예를 들어, 전기적 트레이스에 의해 교차된 존, 예컨대 중간-외측 존) 내 온도에 영향을 준다.
일부 예들에서, 도전체 층 내 전기적 트레이스들의 물리적 치수들은 대응하는 존의 온도에 대한 도전체 층 내 전기적 트레이스들의 영향들을 최소화하도록 수정될 수도 있다. 예를 들어, 전기적 트레이스들의 길이, 폭, 두께, 등 및/또는 전기적 트레이스들 간의 간격은 미리 결정된 전압 입력에 대한 레지스턴스 및 열 출력을 최소화하도록 조정될 수도 있다. 그러나, 이러한 방식으로 열 출력을 최소화하는 능력은 제한된다. 또한, 전기적 트레이스들의 물리적 치수들의 변동은 도전체 층의 평탄도와의 간섭 및 히터 배제 영역들의 증가를 발생시킨다.
본 개시의 원리들에 따른 시스템들 및 방법들은 버스 트레이스들 및 히팅 엘리먼트 트레이스들에 상이한 재료들을 사용하고, 그리고, 일부 예들에서, 히팅 층 내에 버스 트레이스들을 제공하고 도전체 층을 제거한다. 예를 들어, 히팅 엘리먼트 트레이스들은 제 1 재료를 포함할 수도 있지만 버스 트레이스들은 제 1 재료보다 낮은 전기적 레지스턴스를 갖는 제 2 재료를 포함한다. 이에 따라, 버스 트레이스들은 동일한 전압 입력에 대해 히팅 엘리먼트 트레이스들보다 적은 열을 출력한다. 이 방식에서, 버스 트레이스들 및 히팅 엘리먼트 트레이스들에 상이한 재료들을 사용하는 것은, 버스 트레이스들 및 히팅 엘리먼트 트레이스들에 동일한 치수들을 유지하고 평탄도를 유지하는 동안, 설계 유연성 (예를 들어, 비아들의 위치) 을 개선하고, 히터 배제 존들을 감소시키고, ESC에 걸쳐 온도 균일도를 개선한다.
이제 도 1을 참조하면, 예시적인 기판 프로세싱 시스템 (100) 이 도시된다. 단지 예를 들면, 기판 프로세싱 시스템 (100) 은 RF 플라즈마 및/또는 다른 적합한 기판 프로세싱을 사용하여 에칭을 수행하기 위해 사용될 수도 있다. 기판 프로세싱 시스템 (100) 은 기판 프로세싱 챔버 (102) 의 다른 컴포넌트들을 둘러싸고 RF 플라즈마를 담는 기판 프로세싱 챔버 (102) 를 포함한다. 기판 프로세싱 챔버 (102) 는 상부 전극 (104) 및 기판 지지부 (106), 예컨대 정전 척 (ESC) 을 포함한다. 동작 동안, 기판 (108) 은 기판 지지부 (106) 상에 배치된다. 특정한 기판 프로세싱 시스템 (100) 및 챔버 (102) 가 예로서 도시되고, 본 개시의 원리들은 다른 타입들의 기판 프로세싱 시스템들 및 챔버들, 예컨대 플라즈마를 인시츄로 생성하고, 리모트 플라즈마 생성 및 (예를 들어, 마이크로파 튜브를 사용하는) 전달, 등을 구현하는 기판 프로세싱 시스템에 적용될 수도 있다.
단지 예를 들면, 상부 전극 (104) 은 프로세스 가스들을 도입하고 분배하는 샤워헤드 (109) 를 포함할 수도 있다. 샤워헤드 (109) 는 프로세싱 챔버의 상단 표면에 연결된 일 단부를 포함하는 스템 부분을 포함할 수도 있다. 베이스 부분은 일반적으로 실린더형이고 프로세싱 챔버의 상단 표면으로부터 이격되는 위치에 스템의 반대편 단부로부터 방사상 외측으로 연장한다. 샤워헤드의 베이스 부분의 기판-대면 표면 또는 대면 플레이트는 프로세스 가스 또는 퍼지 가스가 흐르는 복수의 홀들을 포함한다. 대안적으로, 상부 전극 (104) 은 도전 플레이트를 포함하고, 프로세스 가스들은 또 다른 방식으로 도입될 수도 있다.
기판 지지부 (106) 는 하부 전극으로서 작용하는 도전성 베이스플레이트 (110) 를 포함한다. 베이스플레이트 (110) 는 세라믹층 (111) 을 지지하고, 그리고 히팅 플레이트 (112) 가 베이스플레이트 (110) 와 세라믹층 (111) 사이에 배열된다. 단지 예를 들면, 히팅 플레이트 (112) 는 라미네이트된 (laminated), 멀티-존 히팅 플레이트에 대응할 수도 있다. 내열층 (114) (예를 들어, 본딩층) 이 히팅 플레이트 (112) 와 베이스플레이트 (110) 사이에 배열될 수도 있다. 베이스플레이트 (110) 는 베이스플레이트 (110) 를 통해 냉각제를 흘리기 위한 하나 이상의 냉각제 채널들 (116) 을 포함할 수도 있다.
RF 생성 시스템 (120) 은 RF 전압을 생성하고 상부 전극 (104) 하부 전극 (예를 들어, 기판 지지부 (106) 의 베이스플레이트 (110)) 중 하나로 출력한다. 상부 전극 (104) 및 베이스플레이트 (110) 중 다른 하나는 DC 접지되거나, AC 접지되거나 플로팅할 수도 있다. 단지 예를 들면, RF 생성 시스템 (120) 은 매칭 및 분배 네트워크 (124) 에 의해 상부 전극 (104) 또는 베이스플레이트 (110) 로 피딩되는 RF 전압을 생성하는 RF 전압 생성기 (122) 를 포함할 수도 있다. 다른 예들에서, 플라즈마는 유도성으로 또는 리모트로 생성될 수도 있다. 예시적인 목적들을 위해 도시되었지만, RF 생성 시스템 (120) 은 용량 결합 플라즈마 (CCP) 시스템에 대응하고, 본 개시의 원리들은 다른 적합한 시스템들, 예컨대 단지 예를 들면 TCP (transformer coupled plasma) 시스템들, CCP 캐소드 시스템들, 리모트 마이크로파 플라즈마 생성 및 전달 시스템들에서 또한 구현될 수도 있다.
가스 전달 시스템 (130) 은 하나 이상의 가스 소스들 (132-1, 132-2, …, 및 132-N (집합적으로 가스 소스들 (132)) 을 포함하고, N은 0보다 큰 정수이다. 가스 소스들은 하나 이상의 전구체들 및 이들의 혼합물들을 공급한다. 가스 소스들은 또한 퍼지 가스를 공급할 수도 있다. 기화된 전구체가 또한 사용될 수도 있다. 가스 소스들 (132) 은 밸브들 (134-1, 134-2, …, 및 134-N (집합적으로 밸브들 (134)) 및 질량 유량 제어기들 (mass flow controllers) (136-1, 136-2, …, 및 136-N (집합적으로 질량 유량 제어기들 (136)) 에 의해 매니폴드 (140) 에 연결된다. 매니폴드 (140) 의 출력은 프로세싱 챔버 (102) 로 피드된다. 단지 예를 들면, 매니폴드 (140) 의 출력은 샤워헤드 (109) 로 피드된다.
온도 제어기 (142) 는 히팅 플레이트 (112) 내에 배치된 복수의 히팅 엘리먼트들, 예컨대 히팅 엘리먼트들 (144) 로 전압 입력들을 제공할 수도 있다. 예를 들어, 히팅 엘리먼트들 (144) 은 이로 제한되는 것은 아니지만, 멀티-존 히팅 플레이트 내 각각의 존들에 대응하는 매크로 히팅 엘리먼트들 및/또는 멀티-존 히팅 플레이트의 복수의 존들에 걸쳐 배치된 마이크로 히팅 엘리먼트들의 어레이를 포함할 수도 있다. 온도 제어기 (142) 는 기판 지지부 (106) 및 기판 (108) 의 온도를 제어하도록 복수의 히팅 엘리먼트들 (144) 을 제어하도록 사용될 수도 있다. 히팅 플레이트 (112) 가 세라믹층 (111) 과 베이스플레이트 (110) (및 본딩층 (114)) 사이에 배치된 것으로 도시되지만, 다른 예들에서 히팅 엘리먼트들 (144) 이 세라믹층 (111) 내에 제공될 수도 있고, 히팅 플레이트 (112) 는 생략될 수도 있다. 다른 예들에서, 히팅 엘리먼트들 (144) 은 히팅 플레이트 (112) 및 세라믹층 (111) 내에 제공될 수도 있다.
온도 제어기 (142) 는 채널들 (116) 을 통한 냉각제 플로우를 제어하도록 냉각제 어셈블리 (146) 와 통신할 수도 있다. 예를 들어, 냉각제 어셈블리 (146) 는 냉각제 펌프 및 저장부를 포함할 수도 있다. 온도 제어기 (142) 는 기판 지지부 (106) 를 냉각하도록 채널들 (116) 을 통해 냉각제를 선택적으로 흘리도록 냉각제 어셈블리 (146) 를 동작시킨다.
밸브 (150) 및 펌프 (152) 가 프로세싱 챔버 (102) 로부터 반응물질들을 배기하도록 사용될 수도 있다. 시스템 제어기 (160) 는 기판 프로세싱 시스템 (100) 의 컴포넌트들을 제어하도록 사용될 수도 있다. 로봇 (170) 이 기판 지지부 (106) 상으로 기판들을 전달하고 그리고 기판 지지부 (106) 로부터 기판들을 제거하도록 사용될 수도 있다. 예를 들어, 로봇 (170) 은 기판 지지부 (106) 와 로드록 (172) 사이에서 기판들을 이송할 수도 있다. 분리된 제어기들로서 도시되었지만, 온도 제어기 (142) 는 시스템 제어기 (160) 내에서 구현될 수도 있다.
이제 도 2a 및 도 2b를 참조하면, 예시적인 ESC (200) 가 도시된다. 온도 제어기 (204) 는 하나 이상의 전기적 연결부들 (208) 을 통해 ESC (200) 와 통신한다. 예를 들어, 전기적 연결부들 (208) 은 이로 제한되는 것은 아니지만, 집합적으로 히팅 엘리먼트들 (212) 로 지칭되는, 히팅 엘리먼트들 (212-1, 212-2, 212-3, 및 212-4) 을 선택적으로 제어하기 위한 연결부들 및 하나 이상의 온도 센서들 (220) 로부터 온도 피드백을 수신하기 위한 연결부들을 포함할 수도 있다.
도시된 바와 같이, ESC (200) 는 집합적으로 존들 (224) 로 지칭되는, 존들 (224-1, 224-2, 224-3, 및 224-4) 을 포함하는 멀티-존 ESC이고, 각각 외측 존, 중간-외측 존, 중간-내측 존 및 내측 존으로 각각 지칭될 수도 있다. 4 개의 동심원 존들 (224) 로 도시되었지만, 실시예들에서 ESC (200) 는 하나, 둘, 셋, 또는 다섯 개 이상의 존들 (224) 을 포함할 수도 있다. 존들 (224) 의 상대적인 사이즈들, 형상들, 배향 등은 가변할 수도 있다. 예를 들어, 존들 (224) 은 사분면들 (quadrants) 또는 또 다른 그리드-형 배열로 제공될 수도 있다. 존들 (224) 각각은, 단지 예를 들면, 존 온도 센서들 (220) 중 각각의 하나 및 히팅 엘리먼트들 (212) 중 각각의 하나를 포함한다. 실시예들에서, 존들 (224) 각각은 온도 센서들 (220) 중 하나 이상을 포함할 수도 있다.
ESC (200) 는 냉각제 채널들 (232) 을 포함하는 베이스플레이트 (228), 베이스플레이트 (228) 상에 형성된 내열층 (236), 내열층 (236) 상에 형성된 멀티-존 세라믹 히팅 플레이트 (240), 및 히팅 플레이트 (240) 상에 형성된 상부 세라믹층 (242) 을 포함한다. 베이스플레이트 (228) 및 세라믹층 (242) 을 통해 라우팅된 배선을 사용하여 온도 제어기 (204) 로부터 히팅 엘리먼트들 (212) 로 전압 입력들이 제공된다. 일부 예들에서, 히팅 엘리먼트들 (212) 은 세라믹층 (242) 내에 제공될 수도 있다. 예를 들어, 전용 히팅 플레이트 (240) 는 생략될 수도 있다. 도 2a에서, 전기적 연결부들 (208) 은 단순함으로 위해 내열 층 (236) 을 통해 라우팅되는 것으로 개략적으로 도시되었다. 이하에 보다 상세히 기술된 바와 같이, 다른 예들에서 전기적 연결부들 (208) 은 전용 도전체 층을 통해, 히팅 플레이트 (240) 를 통해, 세라믹층 (242), 등을 통해 라우팅될 수도 있다.
온도 제어기 (204) 는 목표된 설정점 온도에 따라 히팅 엘리먼트들 (212) 을 제어한다. 예를 들어, 온도 제어기 (204) 는 하나 이상의 존들 (224) 에 대한 설정점 온도를 (예를 들어, 도 1에 도시된 바와 같이 시스템 제어기 (160) 로부터) 수신할 수도 있다. 단지 예를 들면, 온도 제어기 (204) 는 존들 (224) 중 전부 또는 일부에 대해 동일한 설정점 온도 또는 존들 (224) 각각에 대해 상이한 각각의 설정점 온도들을 수신할 수도 있다. 존들 (224) 각각에 대한 설정점 온도들은 상이한 프로세스들 및 프로세스 각각의 상이한 단계들에 걸쳐 가변할 수도 있다.
온도 제어기 (204) 는 각각의 설정점 온도들 및 센서들 (220) 에 의해 제공된 온도 피드백에 기초하여 존들 (224) 각각에 대한 히팅 엘리먼트들 (212) 을 제어한다. 예를 들어, 온도 제어기 (204) 는 센서들 (220) 각각에서 설정점 온도들을 달성하도록 히팅 엘리먼트들 (212) 각각에 제공된 전력 (예를 들어, 전류) 을 개별적으로 조정한다. 히팅 엘리먼트들 (212) 은 각각 단일 저항성 코일 또는 도 2b의 점선들에 의해 개략적으로 나타낸 다른 구조를 포함할 수도 있다. 이에 따라, 히팅 엘리먼트들 (212) 중 하나를 조정하는 것은 전체 각각의 존 (224) 의 온도에 영향을 주고, 또한 존들 (224) 중 다른 존들에 영향을 줄 수도 있다. 센서들 (220) 은 존들 (224) 각각의 국부적인 부분에만 온도 피드백을 제공할 수도 있다. 단지 예를 들면, 센서들 (220) 은, 존 (224) 의 평균 온도에 가장 가까운 상관을 갖도록 이전에 결정된 존 (224) 각각의 부분에 포지셔닝될 수도 있다.
도시된 바와 같이, 각각의 비아들 (246, 250, 및 254) 및 대응하는 전압 입력들은 중간-외측 존 (224-2), 중간-내측 존 (224-3), 및 내측 존 (224-4) 에 제공된다. 본 명세서에서 사용된 바와 같이, "비아들"은 일반적으로 베이스플레이트 (228) 와 같은 구조를 관통하는 개구부들, 포트들, 등을 지칭하는 한편, "배선"은 비아들 내 도전성 재료를 지칭한다. 비아들이 특정한 위치에서 쌍들로 도시되지만, 단지 예를 들면, 임의의 적합한 위치들 및/또는 수의 비아들이 구현될 수도 있다. 예를 들어, 비아들 (246, 250, 및 254) 은 베이스플레이트 (228) 를 관통하여 제공되고 배선이 각각의 연결 지점들로 비아들 (246, 250, 및 254) 을 통해 제공된다. 그러나, 외측 존 (224-1) 에 대응하는 비아들 (258) 은 비아들 (246, 250, 및 254) 보다 더 이격되어 위치될 수도 있고, 중간-외측 존 (224-2) 내에 위치될 수도 있다. 즉, 외측 존 (224-1) 의 히팅 엘리먼트들을 위한 배선은 외측 존 (224-1) 바로 아래에 제공되지 않는다. 이에 따라, 부가적인 전기적 연결부들이 외측 존 (224-1) 의 히팅 엘리먼트들로 전압 입력들을 제공하도록 요구된다.
이제 도 3a, 도 3b, 도 4a, 도 4b, 도 5a, 및 도 5b를 참조하면, 제 1 재료로 형성된 히팅 엘리먼트 트레이스들 (404) 및 제 2 재료로 형성된 버스 트레이스들 (408) 을 포함하는 예시적인 ESC (400) 가 도시된다. 도 3b는 도 3a의 히팅 엘리먼트 트레이스들 (404) 을 포함하는 ESC (400) 의 부분의 확대도이다. 도 4b는 도 4a의 히팅 엘리먼트 트레이스들 (404) 을 포함하는 ESC (400) 의 부분의 확대도이다. 도 5b는 도 5a의 히팅 엘리먼트 트레이스들 (404) 을 포함하는 ESC (400) 의 부분의 확대도이다. ESC (400) 는 단지 예를 들면, 집합적으로 존들 (410) 로 지칭될 수도 있는, 외측 존 (410-1), 중간-외측 존 (410-2), 중간-내측 존 (410-3), 및 내측 존 (410-4) 을 포함하는 복수의 존들을 갖는다.
제 2 재료는 제 1 재료보다 낮은 전기적 레지스턴스를 갖는다. 이에 따라, 버스 트레이스들 (408) 은 히팅 엘리먼트 트레이스들 (404) 보다 적은 열을 출력한다. 이 방식으로, 버스 트레이스들 (408) 은 버스 트레이스들 (408) 이 히팅 엘리먼트 트레이스들 (404) 과 오버랩하는 ESC (400) 의 영역들의 온도를 상당히 상승시키지 않고 히팅 엘리먼트 트레이스들 (404) 로 전압 입력을 제공한다. 예를 들어, 버스 트레이스들 (408) 은 ESC (400) 의 외측 존 (410-1) 내 히팅 엘리먼트 트레이스들 (404) 로 전압 입력을 제공하도록 ESC (400) 의 중간-외측 존 (410-2) 을 가로지를 수도 있다. 그러나, 버스 트레이스들 (408) 의 히팅 엘리먼트 트레이스들 (404) 에 상대적으로 보다 낮은 전기적 레지스턴스로 인해, 버스 트레이스들 (408) 은 중간-외측 존 (410-2) 의 히팅 엘리먼트 트레이스들 (412) 이 버스 트레이스들 (408) 과 오버랩하는 영역들, 또는 외측 존 (410-1) 의 히팅 엘리먼트 트레이스들 (404) 이 버스 트레이스들 (408) 과 오버랩하는 영역들의 온도에 상당히 영향을 주지 않는다. 이에 따라, 버스 트레이스들 (408) 의 폭 및/또는 높이는 버스 트레이스들 (408) 과 히팅 엘리먼트 트레이스들 (404) 이 오버랩하는 영역들의 열 출력을 상승시키지 않고 히팅 엘리먼트 트레이스들 (404) 의 폭 및/또는 높이와 거의 같을 수도 있다. 예를 들어, 버스 트레이스들 (408) 의 폭 및/또는 높이는 히팅 엘리먼트 트레이스들 (404) 의 폭 및/또는 높이의 10 % 이내이다. 또 다른 예에서, 버스 트레이스들 (408) 의 폭 및/또는 높이는 히팅 엘리먼트 트레이스들 (404) 의 폭 및/또는 높이의 5 % 이내이다.
도 3a 및 도 3b에 도시된 바와 같이, ESC (400) 는 히팅 엘리먼트 트레이스들 (404) 을 포함하는 히팅층 (416), 세라믹층 (418), 및 버스 트레이스들 (408) 을 포함하는 분리된 도전체 층 (420) 을 포함한다. 히팅층 (416), 세라믹층 (418), 및 도전체 층 (420) 은 베이스플레이트 (422) 상에 형성된다. 간략함을 위해, 본딩층 (예를 들어, 본딩층 (114) 에 대응) 은 도 3a, 도 3b, 도 4a, 도 4b, 도 5a, 및 도 5b에 도시되지 않았다. 반대로, 도 4a 및 도 4b에서, ESC (400) 는 히팅 엘리먼트 트레이스들 (404) 및 버스 트레이스들 (408) 양자를 포함하는 결합된 히팅/도전체 층 (424) 을 포함한다. 즉, 히팅 엘리먼트 트레이스들 (404) 및 버스 트레이스들 (408) 은 동일 평면 상에 있다. 이에 따라, 도 3b에 도시된 ESC (400) 는 도전체 층 (420) 을 제거하고 단일 층 (424) 만을 필요로 한다. 단일 층 (424) 만을 갖는 일부 예들에서, 제 1 재료의 히팅 엘리먼트 트레이스들 (404) 및 제 2 재료의 버스 트레이스들 (408) 을 포함하는 단일 도전체 시트가 제공될 수도 있다. 단지 예를 들면, 제 1 재료는 상대적으로 고 전기적 레지스턴스를 갖는 재료 (예를 들어, 콘스탄탄, 니켈 합금, 철 합금, 텅스텐 합금, 등) 를 포함할 수도 있는 반면, 제 2 재료는 상대적으로 저 전기적 레지스턴스를 갖는 재료 (예를 들어, 구리, 텅스텐, 은, 팔라듐, 이들의 합금들, 등) 를 포함할 수도 있다. 도 5a 및 도 5b에서, ESC (400) 는 전용 히팅층 (416) 을 포함하지 않는다. 대신, 이 예에서, 히팅 엘리먼트 트레이스들 (404, 412, 등) 은 세라믹층 (418) 내에 제공된다. 이에 따라, 버스 트레이스들 (408) 은 세라믹층 (418) 을 통해 라우팅된다.
예시적인 목적들을 위해, 버스 트레이스들 (408) 은 중간-외측 존 (410-2) 내 비아 (428) 로부터 외측 존 (410-1) 으로 라우팅되는 것으로만 도시된다. 그러나, 다른 예들에서, 각각의 비아들 (428) 및 버스 트레이스들 (408) 은 임의의 하나 이상의 존들 (410) 에 제공될 수도 있다. 일부 예들에서, 버스 트레이스들 (408) 은 복수의 존들 (410) 을 가로질러 (예를 들어, 중간-내측 존 (410-3) 에 위치된 비아를 통해 외측 존 (410-1) 으로) 라우팅된다. 또한, 버스 트레이스들 (408) 이 방사상 내측 존들의 비아로부터 방사상 외측 존으로 라우팅되는 것으로 도시되지만, 다른 예들에서 버스 트레이스들 (408) 은 방사상 외측 존의 비아로부터 방사상 내측 존으로 (예를 들어, 외측 존 (410-1) 에 위치된 비아로부터 중간-내측 존 (410-3) 으로) 라우팅된다.
전술한 기술은 본질적으로 단순히 예시적이고 어떠한 방법으로도 개시, 이들의 애플리케이션 또는 용도들을 제한하도록 의도되지 않는다. 개시의 광범위한 교시가 다양한 형태들로 구현될 수 있다. 따라서, 본 개시는 특정한 예들을 포함하지만, 다른 수정 사항들이 도면들, 명세서, 및 이하의 청구항들을 연구함으로써 명백해질 것이기 때문에, 본 개시의 진정한 범위는 이렇게 제한되지 않아야 한다. 방법 내의 하나 이상의 단계들이 본 개시의 원리들을 변경하지 않고 상이한 순서로 (또는 동시에) 실행될 수도 있다는 것이 이해되어야 한다. 또한, 실시예들 각각이 특정한 피처들을 갖는 것으로 상기에 기술되었지만, 본 개시의 임의의 실시예에 대하여 기술된 임의의 하나 이상의 이들 피처들은, 조합이 명시적으로 기술되지 않아도, 임의의 다른 실시예들의 피처들로 및/또는 임의의 다른 실시예들의 피처들과 조합하여 구현될 수 있다. 즉, 기술된 실시예들은 상호 배타적이지 않고, 하나 이상의 실시예들의 또 다른 실시예들과의 치환들이 본 개시의 범위 내에 남는다.
엘리먼트들 간 (예를 들어, 모듈들, 회로 엘리먼트들, 반도체 층들, 등 간) 의 공간적 및 기능적 관계들은, "연결된 (connected)", "인게이지된 (engaged)", "커플링된 (coupled)", "인접한 (adjacent)", "옆에 (next to)", "~의 상단에 (on top of)", "위에 (above)", "아래에 (below)", 및 "배치된 (disposed)"을 포함하는, 다양한 용어들을 사용하여 기술된다. "직접적 (direct)"인 것으로 명시적으로 기술되지 않는 한, 제 1 엘리먼트와 제 2 엘리먼트 간의 관계가 상기 개시에서 기술될 때, 이 관계는 제 1 엘리먼트와 제 2 엘리먼트 사이에 다른 중개하는 엘리먼트가 존재하지 않는 직접적인 관계일 수 있지만, 또한 제 1 엘리먼트와 제 2 엘리먼트 사이에 (공간적으로 또는 기능적으로) 하나 이상의 중개하는 엘리먼트들이 존재하는 간접적인 관계일 수 있다. 본 명세서에서 논의된 바와 같이, 구 A, B, 및 C 중 적어도 하나는 비배타적인 논리 OR를 사용하여, 논리적으로 (A 또는 B 또는 C) 를 의미하는 것으로 해석되어야 하고, "적어도 하나의 A, 적어도 하나의 B, 및 적어도 하나의 C"를 의미하도록 해석되지 않아야 한다.
일부 구현예들에서, 제어기는 상술한 예들의 일부일 수도 있는 시스템의 일부일 수 있다. 이러한 시스템들은, 프로세싱 툴 또는 툴들, 챔버 또는 챔버들, 프로세싱용 플랫폼 또는 플랫폼들, 및/또는 특정 프로세싱 컴포넌트들 (웨이퍼 페데스탈, 가스 플로우 시스템, 등) 을 포함하는, 반도체 프로세싱 장비를 포함할 수 있다. 이들 시스템들은 반도체 웨이퍼 또는 기판의 프로세싱 이전에, 프로세싱 동안에 그리고 프로세싱 이후에 그들의 동작을 제어하기 위한 전자장치에 통합될 수도 있다. 전자장치들은 시스템 또는 시스템들의 다양한 컴포넌트들 또는 하위부품들을 제어할 수도 있는 "제어기"로서 지칭될 수도 있다. 제어기는, 시스템의 프로세싱 요건들 및/또는 타입에 따라서, 프로세싱 가스들의 전달, 온도 설정사항들 (예를 들어, 가열 및/또는 냉각), 압력 설정사항들, 진공 설정사항들, 전력 설정사항들, 무선 주파수 (RF) 생성기 설정사항들, RF 매칭 회로 설정사항들, 주파수 설정사항들, 플로우 레이트 설정사항들, 유체 전달 설정사항들, 위치 및 동작 설정사항들, 툴들 및 다른 이송 툴들 및/또는 특정 시스템과 연결되거나 인터페이싱된 로드록들 내외로의 웨이퍼 이송들을 포함하는, 본 명세서에 개시된 프로세스들 중 임의의 프로세스들을 제어하도록 프로그램될 수도 있다.
일반적으로 말하면, 제어기는 인스트럭션들을 수신하고, 인스트럭션들을 발행하고, 동작을 제어하고, 세정 동작들을 인에이블하고, 엔드포인트 측정들을 인에이블하는 등을 하는 다양한 집적 회로들, 로직, 메모리, 및/또는 소프트웨어를 갖는 전자장치로서 규정될 수도 있다. 집적 회로들은 프로그램 인스트럭션들을 저장하는 펌웨어의 형태의 칩들, 디지털 신호 프로세서들 (DSP), ASIC (application specific integrated circuit) 으로서 규정되는 칩들 및/또는 프로그램 인스트럭션들 (예를 들어, 소프트웨어) 을 실행하는 하나 이상의 마이크로프로세서들, 또는 마이크로제어기들을 포함할 수도 있다. 프로그램 인스트럭션들은 반도체 웨이퍼 상에서 또는 반도체 웨이퍼에 대한 특정 프로세스를 실행하기 위한 동작 파라미터들을 규정하는, 다양한 개별 설정사항들 (또는 프로그램 파일들) 의 형태로 제어기로 또는 시스템으로 전달되는 인스트럭션들일 수도 있다. 일부 실시예들에서, 동작 파라미터들은 하나 이상의 층들, 재료들, 금속들, 산화물들, 실리콘, 이산화 실리콘, 표면들, 회로들, 및/또는 웨이퍼의 다이들의 제조 동안에 하나 이상의 프로세싱 단계들을 달성하도록 프로세스 엔지니어에 의해서 규정된 레시피의 일부일 수도 있다.
제어기는, 일부 구현예들에서, 시스템에 통합되거나, 시스템에 커플링되거나, 이와 달리 시스템에 네트워킹되거나, 또는 이들의 조합으로 될 수 있는 컴퓨터에 커플링되거나 이의 일부일 수도 있다. 예를 들어, 제어기는 웨이퍼 프로세싱의 원격 액세스를 가능하게 할 수 있는 공장 (fab) 호스트 컴퓨터 시스템의 전부 또는 일부이거나 "클라우드" 내에 있을 수도 있다. 컴퓨터는 제조 동작들의 현 진행을 모니터링하고, 과거 제조 동작들의 이력을 조사하고, 복수의 제조 동작들로부터 경향들 또는 성능 계측치들을 조사하고, 현 프로세싱의 파라미터들을 변경하고, 현 프로세싱을 따르는 프로세싱 단계들을 설정하고, 또는 새로운 프로세스를 시작하기 위해서 시스템으로의 원격 액세스를 인에이블할 수도 있다. 일부 예들에서, 원격 컴퓨터 (예를 들어, 서버) 는 로컬 네트워크 또는 인터넷을 포함할 수도 있는 네트워크를 통해서 프로세스 레시피들을 시스템에 제공할 수 있다. 원격 컴퓨터는 차후에 원격 컴퓨터로부터 시스템으로 전달될 파라미터들 및/또는 설정사항들의 입력 또는 프로그래밍을 인에이블하는 사용자 인터페이스를 포함할 수도 있다. 일부 예들에서, 제어기는 하나 이상의 동작들 동안에 수행될 프로세스 단계들 각각에 대한 파라미터들을 특정한, 데이터의 형태의 인스트럭션들을 수신한다. 이 파라미터들은 제어기가 제어하거나 인터페이싱하도록 구성된 툴의 타입 및 수행될 프로세스의 타입에 특정적일 수도 있다는 것이 이해되어야 한다. 따라서, 상술한 바와 같이, 제어기는 예를 들어 서로 네트워킹되어서 함께 공통 목적을 위해서, 예를 들어 본 명세서에 기술된 프로세스들 및 제어들을 위해서 협력하는 하나 이상의 개별 제어기들을 포함함으로써 분산될 수도 있다. 이러한 목적을 위한 분산형 제어기의 예는 챔버 상의 프로세스를 제어하도록 조합되는, (예를 들어, 플랫폼 레벨에서 또는 원격 컴퓨터의 일부로서) 원격으로 위치한 하나 이상의 집적 회로들과 통신하는 챔버 상의 하나 이상의 집적 회로들일 수 있다.
비한정적으로, 예시적인 시스템들은 플라즈마 에칭 챔버 또는 모듈, 증착 챔버 또는 모듈, 스핀-린스 챔버 또는 모듈, 금속 도금 챔버 또는 모듈, 세정 챔버 또는 모듈, 베벨 에지 에칭 챔버 또는 모듈, PVD (physical vapor deposition) 챔버 또는 모듈, CVD (chemical vapor deposition) 챔버 또는 모듈, ALD (atomic layer deposition) 챔버 또는 모듈, ALE (atomic layer etch) 챔버 또는 모듈, 이온 주입 챔버 또는 모듈, 트랙 (track) 챔버 또는 모듈, 및 반도체 웨이퍼들의 제조 및/또는 제작 시에 사용되거나 연관될 수도 있는 임의의 다른 반도체 프로세싱 시스템들을 포함할 수도 있다.
상술한 바와 같이, 툴에 의해서 수행될 프로세스 단계 또는 단계들에 따라서, 제어기는, 반도체 제작 공장 내의 툴 위치들 및/또는 로드 포트들로부터/로 웨이퍼들의 컨테이너들을 이동시키는 재료 이송 시에 사용되는, 다른 툴 회로들 또는 모듈들, 다른 툴 컴포넌트들, 클러스터 툴들, 다른 툴 인터페이스들, 인접 툴들, 이웃하는 툴들, 공장 도처에 위치한 툴들, 메인 컴퓨터, 또 다른 제어기 또는 툴들 중 하나 이상과 통신할 수도 있다.

Claims (14)

  1. 기판 프로세싱 시스템을 위한 기판 지지부에 있어서,
    복수의 환형 히팅 존들;
    베이스플레이트;
    상기 베이스플레이트 상에 배열된 히팅층 및 세라믹층 중 적어도 하나;
    상기 히팅층 및 상기 세라믹층 중 상기 적어도 하나 내에 제공된 복수의 히팅 엘리먼트들로서, 상기 복수의 히팅 엘리먼트들은 제 1 전기적 레지스턴스를 갖는 제 1 재료를 포함하는, 상기 복수의 히팅 엘리먼트들;
    상기 복수의 히팅존들 중 제 1 존 내의 상기 베이스플레이트를 관통하여 제공된 배선; 및
    상기 제 1 존의 상기 배선으로부터 상기 복수의 히팅 엘리먼트들 중 제 1 히팅 엘리먼트로 라우팅된 전기적 연결부로서, 상기 제 1 히팅 엘리먼트는 상기 복수의 히팅존들 중 제 2 존 내에 배열되고, 상기 전기적 연결부는 상기 제 2 존 내에 배열된 상기 제 1 히팅 엘리먼트에 연결하도록 상기 제 1 존으로부터 상기 제 2 존으로 가로지르고 상기 제 1 존 내에 배열된 임의의 히팅 엘리먼트들에는 연결하지 않고, 그리고 상기 전기적 연결부는 상기 제 1 전기적 레지스턴스보다 작은 제 2 전기적 레지스턴스를 갖는 제 2 재료를 포함하는, 상기 전기적 연결부를 포함하는, 기판 지지부.
  2. 제 1 항에 있어서,
    상기 전기적 연결부의 열 출력은 동일한 전압 입력에 대한 상기 제 1 히팅 엘리먼트의 열 출력보다 작은, 기판 지지부.
  3. 제 1 항에 있어서,
    (i) 상기 복수의 히팅 엘리먼트들 각각은 상기 제 1 전기적 레지스턴스를 갖는 제 1 전기적 트레이스에 대응하고, 그리고 (ii) 상기 전기적 연결부는 상기 제 2 전기적 레지스턴스를 갖는 제 2 전기적 트레이스에 대응하는, 기판 지지부.
  4. 제 1 항에 있어서,
    상기 전기적 연결부는 버스 트레이스에 대응하는, 기판 지지부.
  5. 제 1 항에 있어서,
    상기 전기적 연결부의 폭은 상기 제 1 히팅 엘리먼트의 폭과 거의 같은, 기판 지지부.
  6. 제 1 항에 있어서,
    상기 전기적 연결부의 높이는 상기 제 1 히팅 엘리먼트의 높이와 거의 같은, 기판 지지부.
  7. 제 1 항에 있어서,
    상기 제 2 존은 상기 제 1 존의 방사상 외측에 위치되는, 기판 지지부.
  8. 제 1 항에 있어서,
    상기 베이스플레이트를 통하여 상기 제 1 존 내의 상기 히팅층 및 상기 세라믹층 중 상기 적어도 하나 내로 제공된 비아를 더 포함하고, 상기 배선은 상기 비아를 통해 라우팅되는, 기판 지지부.
  9. 제 1 항에 있어서,
    상기 복수의 히팅 엘리먼트들은 상기 세라믹층 내에 제공되고, 그리고 상기 전기적 연결부는 상기 세라믹층을 통해 라우팅되는, 기판 지지부.
  10. 제 1 항에 있어서,
    상기 복수의 히팅 엘리먼트들은 상기 히팅층 내에 제공되고, 그리고 상기 전기적 연결부는 상기 히팅층을 통해 라우팅되는, 기판 지지부.
  11. 제 1 항에 있어서,
    상기 전기적 연결부 및 상기 제 1 히팅 엘리먼트는 동일 평면 상에 있는, 기판 지지부.
  12. 제 1 항에 있어서,
    상기 베이스플레이트 상에 배열된 도전체 층을 더 포함하고, 상기 전기적 연결부는 상기 도전체 층을 통해 라우팅되는, 기판 지지부.
  13. 제 12 항에 있어서,
    상기 도전체 층은 폴리머를 포함하고, 그리고 상기 전기적 연결부는 상기 폴리머 내에 임베딩되는, 기판 지지부.
  14. 제 1 항에 있어서,
    상기 제 1 재료는 콘스탄탄 (constantan), 니켈 합금, 철 합금 및 텅스텐 합금 중 적어도 하나를 포함하고, 그리고 상기 제 2 재료는 구리, 텅스텐, 은 및 팔라듐 중 적어도 하나를 포함하는, 기판 지지부.
KR1020170057515A 2016-05-10 2017-05-08 상이한 히터 트레이스 재료를 사용한 적층된 히터 KR102360248B1 (ko)

Applications Claiming Priority (6)

Application Number Priority Date Filing Date Title
US201662334097P 2016-05-10 2016-05-10
US201662334084P 2016-05-10 2016-05-10
US62/334,097 2016-05-10
US62/334,084 2016-05-10
US15/586,178 US10764966B2 (en) 2016-05-10 2017-05-03 Laminated heater with different heater trace materials
US15/586,178 2017-05-03

Publications (2)

Publication Number Publication Date
KR20170126803A KR20170126803A (ko) 2017-11-20
KR102360248B1 true KR102360248B1 (ko) 2022-02-07

Family

ID=60338892

Family Applications (2)

Application Number Title Priority Date Filing Date
KR1020170057507A KR102329513B1 (ko) 2016-05-10 2017-05-08 적층된 히터와 히터 전압 입력부들 사이의 연결부들
KR1020170057515A KR102360248B1 (ko) 2016-05-10 2017-05-08 상이한 히터 트레이스 재료를 사용한 적층된 히터

Family Applications Before (1)

Application Number Title Priority Date Filing Date
KR1020170057507A KR102329513B1 (ko) 2016-05-10 2017-05-08 적층된 히터와 히터 전압 입력부들 사이의 연결부들

Country Status (4)

Country Link
JP (2) JP6907018B2 (ko)
KR (2) KR102329513B1 (ko)
CN (2) CN107426837B (ko)
TW (2) TW201806441A (ko)

Families Citing this family (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP7017957B2 (ja) * 2018-03-14 2022-02-09 日本特殊陶業株式会社 保持装置
KR20210019573A (ko) * 2018-07-05 2021-02-22 램 리써치 코포레이션 기판 프로세싱 시스템에서 기판 지지부의 동적 온도 제어
US20200253409A1 (en) * 2019-02-08 2020-08-13 Lexmark International, Inc. Cooking device having a cooking vessel and a ceramic heater
KR102639158B1 (ko) * 2019-07-23 2024-02-22 삼성전자주식회사 웨이퍼 처리 장치 및 이를 이용한 웨이퍼 처리 방법
TWI710298B (zh) * 2019-11-06 2020-11-11 台灣愛司帝科技股份有限公司 具有加熱功能的轉接板以及電子裝置
CN114423101B (zh) * 2020-10-28 2024-01-09 汉达精密电子(昆山)有限公司 一种具有光罩板的红外加热装置

Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2002373862A (ja) 2001-06-15 2002-12-26 Ngk Spark Plug Co Ltd セラミックヒータ

Family Cites Families (27)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH03240961A (ja) * 1990-02-19 1991-10-28 Toshiba Corp 基板加熱装置
JP3172327B2 (ja) 1993-05-12 2001-06-04 アルパイン株式会社 車載ナビゲーション装置
US6469283B1 (en) * 1999-03-04 2002-10-22 Applied Materials, Inc. Method and apparatus for reducing thermal gradients within a substrate support
WO2004030411A1 (ja) * 2002-09-27 2004-04-08 Sumitomo Electric Industries, Ltd. ウエハー保持体及び半導体製造装置
JP3918806B2 (ja) * 2003-11-20 2007-05-23 住友電気工業株式会社 被加熱物載置用ヒータ部材及び加熱処理装置
KR100782395B1 (ko) * 2004-02-23 2007-12-07 쿄세라 코포레이션 세라믹 히터, 그것을 이용한 웨이퍼 가열장치 및 반도체 기판 제조방법
TWI281833B (en) * 2004-10-28 2007-05-21 Kyocera Corp Heater, wafer heating apparatus and method for manufacturing heater
DE102006002636B4 (de) * 2006-01-19 2009-10-22 Saint-Gobain Sekurit Deutschland Gmbh & Co. Kg Tansparente Scheibe mit einem beheizbaren Schichtsystem
KR20080037879A (ko) * 2006-10-27 2008-05-02 주식회사 코미코 히터 및 이의 제조방법
JP5294570B2 (ja) * 2007-04-26 2013-09-18 株式会社小松製作所 基板温度制御装置用ステージ
JP4353289B2 (ja) * 2007-08-20 2009-10-28 セイコーエプソン株式会社 電子デバイス及び電子機器
JP2009087928A (ja) * 2007-09-13 2009-04-23 Semiconductor Energy Lab Co Ltd 半導体装置およびその作製方法
JP5368708B2 (ja) * 2008-01-18 2013-12-18 株式会社小松製作所 基板温度制御装置用ステージ
JP5163349B2 (ja) * 2008-08-01 2013-03-13 住友大阪セメント株式会社 静電チャック装置
KR101120599B1 (ko) * 2008-08-20 2012-03-09 주식회사 코미코 세라믹 히터, 이의 제조 방법 및 이를 포함하는 박막 증착 장치
US8637794B2 (en) * 2009-10-21 2014-01-28 Lam Research Corporation Heating plate with planar heating zones for semiconductor processing
JP5855402B2 (ja) * 2010-09-24 2016-02-09 日本碍子株式会社 サセプター及びその製法
JP3172327U (ja) * 2010-10-07 2011-12-15 日本碍子株式会社 半導体製造装置用部材
US8791392B2 (en) * 2010-10-22 2014-07-29 Lam Research Corporation Methods of fault detection for multiplexed heater array
US8546732B2 (en) * 2010-11-10 2013-10-01 Lam Research Corporation Heating plate with planar heater zones for semiconductor processing
US9307578B2 (en) * 2011-08-17 2016-04-05 Lam Research Corporation System and method for monitoring temperatures of and controlling multiplexed heater array
WO2013033402A1 (en) * 2011-08-30 2013-03-07 Watlow Electric Manufacturing Company Method of manufacturing a high definition heater system
US9012811B2 (en) * 2012-01-13 2015-04-21 Viasystems Technologies Corp. L.L.C. Printed circuit board with embedded heater
JP6049509B2 (ja) * 2012-03-28 2016-12-21 日本碍子株式会社 セラミックヒーター、ヒーター電極及びセラミックヒーターの製法
JP6081292B2 (ja) * 2012-10-19 2017-02-15 東京エレクトロン株式会社 プラズマ処理装置
EP2770442A3 (en) * 2013-02-20 2014-09-17 Hartford Steam Boiler Inspection and Insurance Company Dynamic outlier bias reduction system and method
KR20180110213A (ko) * 2013-08-06 2018-10-08 어플라이드 머티어리얼스, 인코포레이티드 국부적으로 가열되는 다-구역 기판 지지부

Patent Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2002373862A (ja) 2001-06-15 2002-12-26 Ngk Spark Plug Co Ltd セラミックヒータ

Also Published As

Publication number Publication date
JP2017216440A (ja) 2017-12-07
CN107426837A (zh) 2017-12-01
JP6907018B2 (ja) 2021-07-21
KR102329513B1 (ko) 2021-11-23
CN107426837B (zh) 2021-09-21
TW201802947A (zh) 2018-01-16
JP2017216439A (ja) 2017-12-07
CN107393847B (zh) 2024-02-02
JP6960763B2 (ja) 2021-11-05
KR20170126802A (ko) 2017-11-20
CN107393847A (zh) 2017-11-24
KR20170126803A (ko) 2017-11-20
TWI744323B (zh) 2021-11-01
TW201806441A (zh) 2018-02-16

Similar Documents

Publication Publication Date Title
US11848177B2 (en) Multi-plate electrostatic chucks with ceramic baseplates
KR102360248B1 (ko) 상이한 히터 트레이스 재료를 사용한 적층된 히터
TWI783960B (zh) 具有改良的處理均勻性之基板支撐件
KR102537055B1 (ko) 정전 척 내에서 온도 전이를 감소시키기 위한 시스템 및 방법
KR102627019B1 (ko) 작은 갭을 갖는 핀 리프터 어셈블리
KR102521717B1 (ko) 아킹 (arcing) 을 감소시키기 위한 헬륨 플러그 설계
US10381248B2 (en) Auto-correction of electrostatic chuck temperature non-uniformity
US10667379B2 (en) Connections between laminated heater and heater voltage inputs
US11133211B2 (en) Ceramic baseplate with channels having non-square corners
KR20210038993A (ko) 연장된 수명을 갖는 한정 링
US10764966B2 (en) Laminated heater with different heater trace materials
US20230253193A1 (en) Substrate support with uniform temperature across a substrate
US20230087913A1 (en) Coolant channel with internal fins for substrate processing pedestals
US20220235459A1 (en) Reduced diameter carrier ring hardware for substrate processing systems
WO2023239531A1 (en) Liquid cooling plate for cooling of dielectric window of a substrate processing system
WO2024010887A1 (en) Improved pedestals for substrate processing systems

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant