WO2010035385A1 - 真空処理装置及び真空搬送装置 - Google Patents

真空処理装置及び真空搬送装置 Download PDF

Info

Publication number
WO2010035385A1
WO2010035385A1 PCT/JP2009/003749 JP2009003749W WO2010035385A1 WO 2010035385 A1 WO2010035385 A1 WO 2010035385A1 JP 2009003749 W JP2009003749 W JP 2009003749W WO 2010035385 A1 WO2010035385 A1 WO 2010035385A1
Authority
WO
WIPO (PCT)
Prior art keywords
vacuum
chamber
box
vacuum transfer
vacuum processing
Prior art date
Application number
PCT/JP2009/003749
Other languages
English (en)
French (fr)
Japanese (ja)
Inventor
広木勤
Original Assignee
東京エレクトロン株式会社
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 東京エレクトロン株式会社 filed Critical 東京エレクトロン株式会社
Publication of WO2010035385A1 publication Critical patent/WO2010035385A1/ja

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67161Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers
    • H01L21/67167Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers surrounding a central transfer chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67196Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the transfer chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67739Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber
    • H01L21/67742Mechanical parts of transfer devices

Definitions

  • the present invention relates to a vacuum processing apparatus having a vacuum transfer chamber and a vacuum transfer apparatus provided in the vacuum transfer chamber.
  • the cluster tool method is a method in which a plurality of process chambers that perform predetermined processing under reduced pressure are arranged around a vacuum platform in order to achieve consistency, connection, or combination of processes. Typically, it is employed in a semiconductor manufacturing apparatus (see, for example, Patent Document 1).
  • a single object to be processed is sequentially transferred so as to move over a plurality of process chambers, so that the same kind or different kinds of vacuum processing can be continuously received.
  • CVD chemical vapor deposition
  • sputtering sputtering
  • dry etching dry cleaning
  • dry cleaning and the like are typical vacuum processes performed in a cluster tool.
  • the interior of the platform is always kept in a decompressed state.
  • the platform In order to carry an untreated object to be processed into the platform from the atmospheric space, and in order to carry out the object to be processed after a series of vacuum treatments from the platform to the atmospheric space, the platform is provided with a gate valve. An air / vacuum interface load lock chamber is also connected.
  • a vacuum transfer device Inside the platform, a vacuum transfer device is provided for transferring the substrate to / from each process chamber or load lock chamber under reduced pressure. This type of vacuum transfer device has an extendable transfer arm for loading and unloading an object to / from each process chamber or load lock chamber, and the transfer arm can be turned according to the access destination. It is like that.
  • the vacuum transfer device in the platform needs to perform not only a turning operation but also a sliding operation in the longitudinal direction.
  • a slide mechanism typically a ball screw mechanism, a guide rail, etc.
  • the moving range or distance of the transfer device in the longitudinal direction of the platform is increased, so that the slide mechanism is advantageous.
  • the present invention has been made in view of the above-described problems of the prior art, and a vacuum processing apparatus that protects an object to be processed from unwanted gas contamination in a vacuum transfer chamber and improves the yield of a vacuum process. And a vacuum transfer device.
  • the vacuum processing apparatus includes a vacuum transfer chamber in which a chamber is kept in a reduced pressure state, and a vacuum processing chamber that is provided adjacent to the vacuum transfer chamber and that performs a predetermined process on an object to be processed in a reduced pressure chamber
  • a plurality of vacuum processing chambers and an object to be processed which are provided adjacent to the vacuum transfer chamber, are selectively switched to an atmospheric state or a reduced pressure state, and are transferred between the atmospheric space and the vacuum transfer chamber.
  • a transfer arm configured to be extendable between an original position in the box and a forward movement position outside the box to carry in and out the body, and the object to be processed is located in the original position in the box. Before retreating to Supported by the transfer arm and a vacuum transfer device for transferring the vacuum transfer chamber.
  • the vacuum transfer apparatus of the present invention is provided adjacent to the vacuum transfer chamber around the vacuum transfer chamber where the chamber is kept in a reduced pressure state, and performs a predetermined process on the object to be processed in the reduced pressure chamber.
  • One or a plurality of vacuum processing chambers adjacent to the transfer chamber, and the chamber is selectively switched to an atmospheric state or a reduced pressure state and transferred between the atmospheric space and the vacuum transfer chamber.
  • the processing target is transferred between the vacuum transfer chamber and the vacuum processing chamber or the load lock chamber.
  • a vacuum transfer device provided in the vacuum transfer chamber for transporting a workpiece to and from a box having a gas filter for trapping a specific gas, and the vacuum processing chamber or the load lock chamber.
  • it has a transfer arm configured to be extendable between an original position in the box and a forward movement position outside the box, and the object to be processed is retracted to the original position in the box. It is supported by the transfer arm and transferred in the vacuum transfer chamber.
  • the object to be processed when the object to be processed is transferred in the vacuum transfer chamber, the object to be processed is supported by the transfer arm in the box, so that undesired contamination in the vacuum transfer chamber. Even if gas (for example, gas released from grease) is floating, there is no possibility of affecting the object to be processed.
  • gas for example, gas released from grease
  • a gas filter for trapping such a contaminated gas is attached to the box, the object to be processed is protected from the contaminated gas, or the contaminated gas in the room is removed, and further the pressure in the box is changed to the vacuum transfer chamber. Can be kept at the same pressure.
  • any method or type of gas filter for selectively removing unwanted pollutant gases can be used.
  • a gas filter of a type that adsorbs, reacts, or immobilizes gas, or A gas filter or the like that is decomposed and removed by a catalyst can be suitably used.
  • the box is provided with an opening through which the transfer arm passes and the object to be processed enters and exits, and an opening / closing door is attached to the opening.
  • the transport mechanism includes a guide rail laid in the vacuum transport chamber, and a slider portion that slides on the guide rail to move the box along the guide rail.
  • the vacuum transfer chamber may be formed in a polygon having a pair of sides that are longest in one horizontal direction, and the long side and the guide rail may extend in parallel, and further, vacuum processing may be performed along one long side.
  • a plurality of chambers may be arranged side by side.
  • the slider section may typically have a ball screw mechanism or a linear motor.
  • the object to be processed can be protected from the grease release gas as described above, so that the grease can be applied to the slider portion and the guide rail in order to increase the speed of the transport operation of the vacuum transport device and improve the transport accuracy. Can be used.
  • the vacuum transfer device may have a turning drive unit for turning the box, and the turning drive unit can use grease without hesitation.
  • a gate valve may be provided between the vacuum transfer chamber and the vacuum processing chamber, and between the vacuum transfer chamber and the load lock chamber.
  • the present invention is particularly advantageous when a thin film is formed on a target object by chemical vapor deposition in at least one vacuum processing chamber (to protect the target object from contamination of the vacuum transfer chamber atmosphere). To improve the vacuum process yield).
  • the structure and operation as described above can protect the target object from unwanted gas contamination in the vacuum transfer chamber and improve the yield of the vacuum process. it can.
  • FIG. 1 shows a configuration of a cluster tool type vacuum processing apparatus according to an embodiment of the present invention.
  • This vacuum processing apparatus is installed in a clean room, and is provided around six vacuum platforms (vacuum transfer chambers) PH having a hexagonal shape in which a pair of sides extending in the apparatus depth direction is approximately twice as long as the other sides.
  • Vacuum process chambers (vacuum processing chambers) PC 1 , PC 2 , PC 3 , PC 4 , PC 5 , PC 6 and two load lock chambers (load lock chambers) LLC a and LLC b are arranged in a cluster. ing.
  • two process chambers PC 1 and PC 2 are connected to the platform PH via the gate valves GV 1 and GV 2 on the first long side in the clockwise order of the figure
  • One process chamber PC 3 , PC 4 is connected to each of the first and second short sides via gate valves GV 3 , GV 4
  • two process chambers PC 5 , PC are connected to the second long side.
  • 6 is connected through gate valves GV 5 and GV 6
  • load lock chambers LLC a and LLC b are connected to the third and fourth short sides one by one through gate valves GV a and GV b , respectively. ing.
  • Each of the process chambers PC 1 to PC 6 is connected to a dedicated evacuation device (not shown), and the inside of the chamber is always kept in a reduced pressure state with a variable pressure.
  • an object to be processed such as a semiconductor wafer W
  • a predetermined single wafer process such as CVD, for example, is performed using a predetermined working force (processing gas, high frequency, etc.).
  • Vacuum film formation processing such as ALD (Atomic Layer Deposition) or sputtering, heat treatment, semiconductor wafer surface cleaning processing, dry etching processing, and the like are performed.
  • the platform PH is connected to a dedicated evacuation device 10 (FIG. 2), and the interior of the room is normally kept at a constant pressure at a constant pressure.
  • a vacuum transfer robot (vacuum transfer device) 16 having a pair of extendable transfer arms 12 and 14 and capable of performing a slide operation, a turning operation, a lifting operation and the like. The configuration and operation of the platform PH and the vacuum transfer robot 16 will be described in detail later.
  • the load lock chambers LLC a and LLC b are each connected to a dedicated evacuation device (not shown) via an on-off valve so that the room can be switched to either an atmospheric pressure state or a vacuum state at any time. It has become.
  • the load lock chambers LLC a and LLC b are connected to a loader transfer chamber LM under atmospheric pressure via gate valves GV c and GV d , respectively.
  • a delivery table 18 on which the semiconductor wafer W being placed is placed is placed in the center of the interior of the load lock chambers LLC a and LLC b .
  • a load port LP and an orientation flat alignment mechanism ORT are provided adjacent to the loader transfer chamber LM.
  • the load port LP is used for loading and unloading a wafer cassette CR that can store, for example, 25 batches of semiconductor wafers W with an external transfer vehicle.
  • the wafer cassette CR is configured as a SMIF (Standard Mechanical Interface) box or pod.
  • the orientation flat alignment mechanism ORT is used to align the orientation or notch of the semiconductor wafer W with a predetermined position or orientation.
  • the atmospheric transfer robot 20 provided in the loader transfer chamber LM has a pair of extendable transfer arms 22 and 24, and can move in the horizontal direction on the linear guide 28 of the linear motor 26.
  • the semiconductor wafer W can be swiveled and transferred between the load port LP, the orientation flat aligning mechanism ORT, and the load lock chambers LLM a and LLM b , and the semiconductor wafers W are transferred in single wafer units (or batch units).
  • the atmospheric transfer robot 20 loads the semiconductor wafer W into the loader transfer chamber LM when the LP door 25 provided on the front surface of each wafer cassette CR is open.
  • the linear guide 28 includes, for example, a permanent magnet, a driving magnetic coil, a scale head, and the like, and performs linear motion control of the atmospheric transfer robot 20 in accordance with a command from the control unit 30.
  • the atmospheric transfer robot 20 in the loader transfer chamber LM takes out one semiconductor wafer W from the wafer cassette CR on the load port LP, transfers the semiconductor wafer W to the orientation flat alignment mechanism ORT, and receives orientation flat alignment. Is transferred to one of the load lock chambers LLM a and LLM b (for example, LLM a ).
  • Load lock chambers LLM a transfer destination receives the semiconductor wafer W in atmospheric pressure, the chamber is evacuated after loading, it passes the semiconductive wafer W in the vacuum transfer robot 16 of the platform PH under a reduced pressure.
  • Vacuum transfer robot 16 using one of the transfer arms 12, 14 carries the semiconductor wafer W taken out from the load lock chamber LLM a to the first process chamber (e.g., PM 1).
  • the process chamber PM within 1, a predetermined process conditions (gas pressure, power, time, etc.) of single wafer processing of the first step in carried out in accordance with a preset recipe.
  • the vacuum transfer robot 16 carries the semiconductor wafer W from the process chamber PM 1, then the second process chamber and the unloading the semiconductor wafer W (e.g. PM 2 ) Carry it in.
  • This second process even chamber PM 2 single wafer processing in the second step at a predetermined process condition is performed in accordance with a preset recipe.
  • the vacuum transfer robot 16 When single-wafer processing of the second step is completed, the vacuum transfer robot 16 carries the semiconductor wafer W from the second process chamber PM 2, the carry-out the semiconductor wafer W, 3-th when there is a next step In the process chamber (for example, PM 3 ), and when there is no next process, it is transported to one of the load lock chambers LLM a and LLM b . Even if processing is performed in the third and subsequent process chambers (for example, PM 5 ), when there is a next process after that, it is carried into the subsequent process chamber (for example, PM 6 ), and loaded when there is no next process. Return to one of the lock chambers LLM a and LLM b .
  • the vacuum transfer robot 16 of the platform PH alternately turns the pair of transfer arms 12 and 14 by one access to the process chambers PM 1 to PM 6 or the load lock chambers LLM a and LLM b around the platform PH.
  • a semiconductor wafer W is unloaded from the module, and a pick-and-place operation for loading another semiconductor wafer W into the module is performed.
  • the semiconductor wafer W that has undergone a series of processes in the plurality of process chambers PM 1 , PM 2 ... In the cluster tool as described above is loaded into one of the load lock chambers (for example, LLM b ), interior of the load lock chamber LLM b is switched from the vacuum state to the atmospheric pressure state. Thereafter, the atmospheric transfer robot 20 of the loader transfer chamber LM is returned to the corresponding wafer cassette CR from the load lock chamber LLM b of atmospheric pressure is taken out of the semiconductor wafer W.
  • the semiconductor wafer W staying in the load lock chambers LLM a and LLM b can be heated or cooled in a desired atmosphere.
  • the cluster tool type vacuum processing apparatus sequentially transfers one semiconductor wafer W to a plurality of process chambers via the platform PH under reduced pressure, thereby performing a series of vacuum processing on the semiconductor wafer W.
  • different film forming processes can be continuously performed in a plurality of process chambers to form a desired thin film in a line.
  • a pair of guide rails 32 and a feed screw 36 of a ball screw mechanism 34 are laid in parallel in the longitudinal direction in the platform PH, and the vacuum transfer robot 16 is guided by a straight drive of the ball screw mechanism 34. It can slide on the rail 32.
  • the feed screw 36 is coupled to a motor 38 (FIG. 1).
  • the vacuum transfer robot 16 includes a base unit 40 that performs a sliding operation, an arm drive unit 42 that is rotatably mounted on the base unit 40 and drives the transfer arms 12 and 14, an arm drive unit 42, and the transfer arms 12 and 14. And a box 44 for housing the container.
  • the base portion 40 includes a guide portion 46 that is slidably engaged with the guide rail 32, a ball screw (not shown) that is screwed to the feed screw 36, an arm drive portion 42, and a box 44. And a turning drive unit (not shown) for turning at a rotation angle.
  • the arm driving unit 42 extends and retracts the transfer arms 12 and 14 formed of a horizontal articulated robot to perform the loading / unloading or pick-and-place operation of the semiconductor wafer W as described above.
  • the operations of the arm drive unit 42, the turning drive unit in the base unit 40, and the ball screw mechanism 34 (motor 38) are controlled by the control unit 30.
  • An opening 48 through which the semiconductor wafer W is held while the transfer arms 12 and 14 extend and contract is formed on the side wall of the box 44, and a door 50 for opening and closing the opening 48 is attached.
  • the opening / closing door 50 is also driven to open and close by an actuator (not shown) under the control of the control unit 30.
  • the box 44 has a space for completely holding the semiconductor wafer W when the transfer arms 12 and 14 hold the semiconductor wafer W and retract to the original position of the expansion and contraction motion set in the box 44. ing.
  • the window of the gas filter 52 is attached to the side wall of the box 44 on at least one wall surface in the circumferential direction, preferably on all wall surfaces except the front surface with the opening 48.
  • the gas filter 52 is made of, for example, a hollow fiber membrane, and adsorbs and traps a specific gas, typically a gas (especially an organic gas) released by vaporizing grease.
  • the platform PH grease is used for almost all movable parts (particularly bearings, sliding contact parts, etc.) of the vacuum transfer robot 16, and in particular, the feed screw 36 and the guide rail 32 of the ball screw mechanism 34 are exposed. Therefore, the gas released from the grease applied to them tends to diffuse into the platform PH. Unlike particles, the gas diffuses or floats vertically and horizontally, so that even if the semiconductor wafer W is covered with a cover or the like, if there is a minute gap, it can easily pass through and adhere to the wafer W.
  • part of the gas released from the grease used in the platform PH in this way passes through the exhaust pipe 56 from the exhaust port 54 provided at the bottom of the platform PH, and is on the vacuum exhaust device 10 side.
  • the rest is floated in the platform PH, and the matter adhering to the gas filter 52 in the box 44 is trapped there.
  • the gas released from the grease in the platform PH adheres to the semiconductor wafer W being transferred, which is accommodated in the box 44, to a substantial extent (so as to adversely affect the process). .
  • the gas filter 52 passes an atmosphere gas other than the grease discharge gas such as an inert gas, the pressure difference between the inside and outside of the box 44 is substantially zero even while the open / close door 50 is closed during the transfer of the semiconductor wafer W. .
  • pressure resistance does not act on the opening / closing operation of the opening / closing door 50, and when the opening / closing door 50 is opened, no airflow is generated through the opening 48 or particles are not rolled up.
  • the transfer arms 12 and 14 of the vacuum transfer robot 16 operating in the platform PH are located at the original position in the box 44 attached to the robot body and outside the box 44.
  • the semiconductor wafer W is held at the original position, the semiconductor wafer W is also accommodated in the box 44.
  • the box 44 having the gas filter 52 allows the semiconductor wafer. Since W can be isolated from the atmosphere in which undesired gases float and gas contamination to the semiconductor wafer W transferred by the vacuum transfer robot 16 can be prevented, the yield of the vacuum process in the cluster tool, particularly the vacuum thin film formation process, is greatly improved. be able to.
  • a configuration in which the opening / closing door 50 is omitted in the box 44 that is, a configuration in which the opening 48 is kept open is also possible.
  • the position, shape, and size of the window of the gas filter 52 attached to the box 44 can be arbitrarily selected.
  • the material of the gas filter 52 and the trap method may be arbitrarily selected.
  • a configuration in which the gas filter 52 is attached to the inner wall of the box 44 for example, is also possible.
  • the object to be processed in the present invention is not limited to a semiconductor wafer, but may be another object to be processed such as an FPD substrate that is concerned about gas contamination.
  • FIG. 1 is a schematic plan view illustrating a configuration of a cluster tool type vacuum processing apparatus according to an embodiment of the present invention. It is sectional drawing which shows typically the structure in the platform (vacuum conveyance chamber) in the said vacuum processing apparatus. It is a perspective view which shows the structure of the principal part of the vacuum transfer robot in the said vacuum processing apparatus.
  • Vacuum exhaust device 12 Vacuum exhaust device 12, 14 Transfer arm 16 Vacuum transfer robot 32 Guide rail 34 Ball screw mechanism 36 Feed screw 40 Base part 42 Arm drive unit 44 Box 46 Guide unit 48 Opening 50 Opening / closing door 52
  • Gas filter window PH platform vacuum transfer chamber
  • PC 1 to PC 6 process chamber vacuum processing chamber
  • LLM a , LLM b load lock chamber load lock chamber

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Robotics (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)
PCT/JP2009/003749 2008-09-24 2009-08-05 真空処理装置及び真空搬送装置 WO2010035385A1 (ja)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
JP2008243713A JP2010080469A (ja) 2008-09-24 2008-09-24 真空処理装置及び真空搬送装置
JP2008-243713 2008-09-24

Publications (1)

Publication Number Publication Date
WO2010035385A1 true WO2010035385A1 (ja) 2010-04-01

Family

ID=42059400

Family Applications (1)

Application Number Title Priority Date Filing Date
PCT/JP2009/003749 WO2010035385A1 (ja) 2008-09-24 2009-08-05 真空処理装置及び真空搬送装置

Country Status (3)

Country Link
JP (1) JP2010080469A (zh)
TW (1) TW201025486A (zh)
WO (1) WO2010035385A1 (zh)

Cited By (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2013107766A1 (en) * 2012-01-16 2013-07-25 Tel Solar Ag Vacuum deposition system
US20150318197A1 (en) * 2012-12-13 2015-11-05 Tokyo Electron Limited Conveyance base and conveyance system
CN106239562A (zh) * 2016-08-24 2016-12-21 合肥凌翔信息科技有限公司 一种机器人竞赛训练台
JP2018190776A (ja) * 2017-04-28 2018-11-29 トヨタ自動車株式会社 ウエハの真空加工装置
US11315816B2 (en) * 2020-06-10 2022-04-26 Kla Corporation Localized purge module for substrate handling

Families Citing this family (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP5688838B2 (ja) * 2010-10-29 2015-03-25 株式会社アルバック 基板処理装置
TWI460810B (zh) * 2012-08-07 2014-11-11 Univ Nat Taiwan 晶圓傳送裝置
JP6201877B2 (ja) * 2014-04-28 2017-09-27 日新イオン機器株式会社 真空処理システム、真空処理装置、潤滑剤供給装置および潤滑剤供給方法
JP6553388B2 (ja) 2015-03-31 2019-07-31 株式会社Screenホールディングス 基板搬送装置、基板処理装置および基板搬送方法
JP7307240B1 (ja) * 2022-06-30 2023-07-11 蘇州芯慧聯半導体科技有限公司 真空ウエーハ搬送システム
JP7307241B1 (ja) * 2022-06-30 2023-07-11 蘇州芯慧聯半導体科技有限公司 基板自動搬送装置

Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS63133643A (ja) * 1986-11-26 1988-06-06 Shimizu Constr Co Ltd クリ−ンル−ム内工作物移送装置
JPH1067429A (ja) * 1996-08-27 1998-03-10 Dainippon Screen Mfg Co Ltd 基板搬送装置
JP2001284427A (ja) * 2000-03-30 2001-10-12 Tokyo Electron Ltd 基板保持機構、基板搬送方法および処理装置
JP2003007799A (ja) * 2001-06-21 2003-01-10 Tokyo Electron Ltd 処理システム
JP2007012720A (ja) * 2005-06-28 2007-01-18 Tokyo Electron Ltd 基板処理装置,搬送装置,搬送装置の制御方法

Patent Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS63133643A (ja) * 1986-11-26 1988-06-06 Shimizu Constr Co Ltd クリ−ンル−ム内工作物移送装置
JPH1067429A (ja) * 1996-08-27 1998-03-10 Dainippon Screen Mfg Co Ltd 基板搬送装置
JP2001284427A (ja) * 2000-03-30 2001-10-12 Tokyo Electron Ltd 基板保持機構、基板搬送方法および処理装置
JP2003007799A (ja) * 2001-06-21 2003-01-10 Tokyo Electron Ltd 処理システム
JP2007012720A (ja) * 2005-06-28 2007-01-18 Tokyo Electron Ltd 基板処理装置,搬送装置,搬送装置の制御方法

Cited By (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2013107766A1 (en) * 2012-01-16 2013-07-25 Tel Solar Ag Vacuum deposition system
US9947564B2 (en) * 2012-12-12 2018-04-17 Tokyo Electron Limited Conveyance base and conveyance system
US20150318197A1 (en) * 2012-12-13 2015-11-05 Tokyo Electron Limited Conveyance base and conveyance system
CN106239562A (zh) * 2016-08-24 2016-12-21 合肥凌翔信息科技有限公司 一种机器人竞赛训练台
JP2018190776A (ja) * 2017-04-28 2018-11-29 トヨタ自動車株式会社 ウエハの真空加工装置
US11315816B2 (en) * 2020-06-10 2022-04-26 Kla Corporation Localized purge module for substrate handling
CN115768604A (zh) * 2020-06-10 2023-03-07 科磊股份有限公司 用于衬底处置的局部清洗模块

Also Published As

Publication number Publication date
TW201025486A (en) 2010-07-01
JP2010080469A (ja) 2010-04-08

Similar Documents

Publication Publication Date Title
WO2010035385A1 (ja) 真空処理装置及び真空搬送装置
JP7165216B2 (ja) 基板キャリア及びパージチャンバの環境制御を伴う基板処理のシステム、装置、及び方法
JP4916140B2 (ja) 真空処理システム
JP5139253B2 (ja) 真空処理装置及び真空搬送装置
JP4912253B2 (ja) 基板搬送装置、基板処理装置及び基板搬送方法
KR100572910B1 (ko) 반도체 처리 시스템에 있어서의 포트 구조
TWI408766B (zh) Vacuum processing device
JP2010192855A (ja) 基板処理装置
JP4584821B2 (ja) 真空処理装置及び帯状気流形成装置
WO2018207599A1 (ja) 薄板状基板保持フィンガ、及びこのフィンガを備える搬送ロボット
KR101166109B1 (ko) 기판 처리 설비
JP4634918B2 (ja) 真空処理装置
JP5729148B2 (ja) 基板搬送容器の開閉装置、蓋体の開閉装置及び半導体製造装置
TW201937645A (zh) 薄板狀基板保持裝置及具備保持裝置之搬送機器人
JP2011124565A (ja) 半導体被処理基板の真空処理システム及び半導体被処理基板の真空処理方法
CN109314071B (zh) 十二边形传送腔室和具有十二边形传送腔室的处理系统
JP4005609B2 (ja) 基板処理装置及び基板処理方法並びに基板の製造方法
WO2012039426A1 (ja) 基板処理装置
JP2004282024A (ja) 基板処理装置
JP2020013814A (ja) 局所パージ機能を有する搬送装置
JP2010239023A (ja) 基板搬送装置及び基板処理装置
KR101956879B1 (ko) 기판 핸들러 진공 챔버에서의 산화물 성장을 억제하기 위한 시스템 및 방법
JP3605692B2 (ja) 搬送処理方法及び搬送処理装置
JP3611568B2 (ja) 基板処理装置及び基板処理方法及び基板の製造方法
JP2008166820A (ja) 基板処理装置、基板処理方法、基板の製造方法及び電子機器

Legal Events

Date Code Title Description
121 Ep: the epo has been informed by wipo that ep was designated in this application

Ref document number: 09815807

Country of ref document: EP

Kind code of ref document: A1

NENP Non-entry into the national phase

Ref country code: DE

122 Ep: pct application non-entry in european phase

Ref document number: 09815807

Country of ref document: EP

Kind code of ref document: A1