WO2004109793A1 - 試料検査装置及び方法並びに該試料検査装置及び方法を用いたデバイス製造方法 - Google Patents

試料検査装置及び方法並びに該試料検査装置及び方法を用いたデバイス製造方法 Download PDF

Info

Publication number
WO2004109793A1
WO2004109793A1 PCT/JP2004/007351 JP2004007351W WO2004109793A1 WO 2004109793 A1 WO2004109793 A1 WO 2004109793A1 JP 2004007351 W JP2004007351 W JP 2004007351W WO 2004109793 A1 WO2004109793 A1 WO 2004109793A1
Authority
WO
WIPO (PCT)
Prior art keywords
sample
image
inspection
substrate
die
Prior art date
Application number
PCT/JP2004/007351
Other languages
English (en)
French (fr)
Inventor
Toshifumi Kimba
Mamoru Nakasuji
Tohru Satake
Original Assignee
Ebara Corporation
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from JP2003153902A external-priority patent/JP4230280B2/ja
Priority claimed from JP2004046868A external-priority patent/JP4642362B2/ja
Priority claimed from JP2004056134A external-priority patent/JP2005091342A/ja
Application filed by Ebara Corporation filed Critical Ebara Corporation
Priority to EP04745387.3A priority Critical patent/EP1630862B1/en
Publication of WO2004109793A1 publication Critical patent/WO2004109793A1/ja

Links

Classifications

    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06TIMAGE DATA PROCESSING OR GENERATION, IN GENERAL
    • G06T7/00Image analysis
    • G06T7/0002Inspection of images, e.g. flaw detection
    • G06T7/0004Industrial image inspection
    • G06T7/001Industrial image inspection using an image reference approach
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/26Electron or ion microscopes; Electron or ion diffraction tubes
    • H01J37/29Reflection microscopes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/30Electron-beam or ion-beam tubes for localised treatment of objects
    • H01J37/304Controlling tubes by information coming from the objects or from the beam, e.g. correction signals
    • H01J37/3045Object or beam position registration
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06TIMAGE DATA PROCESSING OR GENERATION, IN GENERAL
    • G06T2207/00Indexing scheme for image analysis or image enhancement
    • G06T2207/30Subject of image; Context of image processing
    • G06T2207/30108Industrial image inspection
    • G06T2207/30148Semiconductor; IC; Wafer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/26Electron or ion microscopes
    • H01J2237/28Scanning microscopes
    • H01J2237/2813Scanning microscopes characterised by the application
    • H01J2237/2817Pattern inspection
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/26Electron or ion microscopes
    • H01J2237/282Determination of microscope properties
    • H01J2237/2826Calibration
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/30Electron or ion beam tubes for processing objects
    • H01J2237/304Controlling tubes
    • H01J2237/30433System calibration
    • H01J2237/30438Registration

Definitions

  • the present invention relates to a chip alignment method, a sample inspection apparatus and method using the method, and a device manufacturing method using the apparatus and method. More specifically, the present invention relates to a stencil having a pattern of 0.1 lzm or less. An alignment method that performs high-throughput, high-accuracy, high-reliability, high-resolution, and high-throughput inspection of device patterns formed on the surface of samples such as masks and wafers, and samples using the alignment method. The present invention relates to an inspection apparatus and method, and a device manufacturing method including a step of inspecting a sample using the sample inspection apparatus and method.
  • An apparatus for detecting a defect of a sample has information related to a device pattern formed on a surface to be inspected of the sample by irradiating the sample such as a wafer to be inspected with an electron beam. Electrons are generated, device information is imaged using the generated electrons, and the obtained image is inspected according to a predetermined inspection program. In order to increase the reliability of the results of this test, it is necessary to obtain highly accurate information from the device pattern on the sample surface by electron irradiation.
  • One means for this purpose is to place the sample and move it in the X-axis direction and the Y-axis direction orthogonal to it, in the X-axis direction and Y-axis direction of the stage, and in the axial direction of the secondary electron optical system. Focus adjustment in the parallel z-axis direction.
  • images of two mutually corresponding regions are generated from a sample, for example, a surface to be inspected of a wafer, and when a defect is inspected from one and the other two corresponding images, one image is used.
  • +1 pixel, +2 pixel, _1 pixel, and _2 pixel are displaced in the X-axis direction and Y-axis direction, respectively, and 24 displaced images and images without displacement 1
  • a method is used in which a total of 25 images are compared with the other image.
  • Patent Document 1 In order to improve the throughput, an inspection system for performing a defect inspection of a sample using a multi-beam has also been proposed (for example, see Patent Document 1 and Non-Patent Document 1). Furthermore, a method of irradiating a rectangular beam and expanding and detecting an electron beam emitted from an irradiation point by a mapping optical system is known (for example, Patent Document 2 below).
  • Patent Document 2 Japanese Patent Application Laid-Open No. 7-24939
  • Non-Patent Document 1 B. Lischke, Japanese Journal of Applied Physics, Vol. 28, No. 10, p2058.
  • the above-described conventional pattern defect inspection apparatus includes:
  • the stage guide that guides this stage is distorted or the X-axis direction and the Y-axis direction.
  • Stage guides may not be exactly orthogonal, so that the stage does not move on an ideal path,
  • the X-Y coordinates of the sample and the X-Y coordinates of the stage may not match, resulting in an error in the rotation direction and an error.
  • Some of the samples may have dies that deviate from the design position during the lithographic process.
  • the sample may be charged up by irradiation with the electron beam, and the resulting image may be distorted.
  • mapping optical system which is known as a device for acquiring a two-dimensional image for detecting a defect of a sample such as a sample
  • magnification of a secondary electron image is increased.
  • it fluctuated greatly with time or with changes in the environment such as temperature is a problem that the resolution of a two-dimensional image is reduced if the sample surface has irregularities due to a small depth of focus.
  • the number of beams is one and the number of detectors is one. Since the signal density contains 100% of the information of the sample, if only pattern matching can be performed, defect detection is possible.
  • the beam current value varies by some% between each beam of the multi-beam, the detection sensitivity also differs between each beam, and the signal density is not necessarily Information on the sample is not power.
  • a difference occurs in the density between the end of the visual field and the vicinity of the optical axis even in the pattern portion of the same sample. There were frequent problems.
  • the present invention has been proposed in view of the above problems, and a first object of the present invention is to provide a photo It is an object of the present invention to provide a positioning method for performing an alignment after measuring a magnification when a rectangular beam is used in an image projection type sample inspection apparatus.
  • a second object of the present invention is to provide a positioning method for performing an alignment after measuring a scanning sensitivity when a multi-beam is used in a multi-beam type sample inspection apparatus.
  • a third object of the present invention is to provide a defect inspection method for performing a defect inspection using such an alignment method.
  • a fourth object of the present invention is to solve the problems related to manufacturing errors (stage guide distortion, stage guide orthogonality errors) of the defect inspection device, positioning errors when moving the stage, and dies on the sample. It is an object of the present invention to provide a pattern inspection method and apparatus capable of performing a defect inspection with high accuracy even when the coordinates are not formed in ideal coordinates according to the values, and even when the speed of the sample during movement is uneven. is there.
  • a fifth object of the present invention is to provide a high-precision, high-reliability, high-resolution two-dimensional image even if the magnification of the imaging optical system changes or if the sample surface has a concave or convex surface.
  • An object of the present invention is to provide an inspection method and apparatus that can be acquired.
  • a sixth object of the present invention is to provide a defect inspection method in which a pseudo defect does not occur in a multi-beam or mapping optical system.
  • a seventh object of the present invention is to provide a device manufacturing method that can expect a high yield by performing a defect inspection using the above-described inspection method and apparatus.
  • a chip alignment method comprising:
  • step of measuring a detection magnification when the chip is located in the visual field to be inspected includes:
  • a substrate surface inspection method for inspecting a surface of a substrate on which a chip is formed using a beam is provided.
  • An image of the surface of the substrate is taken from the detected reflected beam.
  • the substrate surface inspection method according to claim 4, wherein the step of measuring a detection magnification when the chip is located in the inspection visual field includes:
  • a method for aligning a chip in a sample surface inspection for detecting a surface of a sample on which the chip is formed comprising:
  • step b (e) performing the same operation as in step b to obtain a two-dimensional image of the characteristic pattern at the moved position;
  • Pattern matching between a part of the image obtained in step b and the image obtained in step e is performed to shift the positions of the two images in the X or Y direction ( ⁇ ⁇ pixels, ⁇ ⁇ pixels).
  • Calculate- (h) calculating a difference between the coordinates (Xc, Yc) stored in the step c and the coordinates (Xf, Yf) stored in the step f, (Xf-Xc) or (Yf-Yc),
  • the beam in step (b) has a shape having a long axis in one axial direction, scans the field of view with a deflector in another axial direction, and synchronizes with the scanning.
  • An alignment method characterized by changing an optical parameter of a secondary optical system is provided.
  • a board surface inspection method that uses a beam to inspect the surface of the substrate on which the chips are formed.
  • step c Store the coordinates (Xc, Yc) of the stage when the two-dimensional image was obtained in step c.
  • step f performing the same operation as in step c to obtain a two-dimensional image of the characteristic pattern at the moved position; (g) storing the coordinates (Xf, Yf) of the stage when the image was obtained in step f,
  • Pattern matching is performed between a part of the image obtained in step c and the image obtained in step f to shift the positions of the two images in the X or Y direction ( ⁇ ⁇ pixels, ⁇ ⁇ pixels).
  • a substrate surface inspection apparatus for inspecting a surface of a substrate on which a chip is formed using a beam, for positioning the chip
  • a correction device that corrects the position of the chip based on the calculated positional deviation.
  • a substrate surface inspection apparatus for inspecting a surface of a substrate on which a chip is formed by using a beam, wherein the chip is placed on the inspection target in order to dispose the substrate so that the chip is located within a visual field to be inspected.
  • a measuring device for measuring a detection magnification when positioned in a field of view; and a calculation device for calculating a distance of the chip displacement based on the measured detection magnification;
  • a correction device for correcting the position of the chip based on the calculated distance; and obtaining information on the surface of the substrate emitted from the substrate irradiated by the beam after the position of the chip is corrected.
  • a substrate surface inspection apparatus is provided.
  • An apparatus for inspecting a pattern in a plurality of dies which is arranged on a substrate in a direction substantially parallel to two axes that are not parallel to each other.
  • Means for correcting a difference between the target grid and the position of the die on the substrate are provided.
  • the means for correcting a difference between the target grid and the position of the die on the substrate includes: means for calculating a position error of the die on the substrate with respect to the target grid; and a correction signal for eliminating the position error.
  • a sample surface inspection apparatus comprising:
  • An inspection apparatus for inspecting a surface of a sample having a plurality of dies on which a pattern is formed
  • a beam irradiation source that irradiates a beam toward the surface of the sample
  • a lens that can be adjusted to satisfy a focusing condition of the sample surface when the beam relatively moves through the inspection area
  • the inspection apparatus further comprising: a deflector that corrects a displacement of the die when the beam relatively moves in the inspection area. Is provided.
  • the layers are arranged almost regularly in two axial directions that are not parallel to each other.
  • the target grid is configured such that, from a plurality of dies on the substrate, dies that are arranged in the two non-parallel axial directions are arranged. Select at least two each in the axial direction, find the virtual pitch per die for each of the two axial directions from the pitch between the selected dies, and generate them based on this virtual pitch.
  • the target grid is generated based on position information included in CAD data. Is done.
  • step (e) the inspection method is generated based on information of a pattern to be inspected and CAD data corresponding thereto. It is characterized by comparing a pattern and detecting a defect based on the difference. Inspection method is provided.
  • step (a) two dies on the sample are selected, a pitch between the dies is detected, and this is detected.
  • the second pitch is obtained by multiplying the first pitch by a predetermined multiple, and the actual pitch of two dies separated by a distance close to the second pitch is detected.
  • An inspection method is provided in which this is set as a third pitch, and a value obtained by dividing the third pitch by the multiple is set as the virtual pitch.
  • a virtual pitch of the die is obtained by using a dicing line parallel to the X axis and the y axis or a predetermined pattern in the die. Inspection method is provided.
  • a method for detecting a surface of a sample comprising:
  • the moving distance of the stage is calculated using the dimensions, and based on the calculation result, the sample is irradiated with a beam, whereby the secondary is emitted from the surface of the sample and includes information on the surface of the sample. Step of detecting the beam and detecting the surface of the sample And
  • a method for detecting a surface of a sample comprising:
  • the inspection method according to any one of claims 26 to 28, wherein the step of inspecting the surface of the sample includes a plurality of pixels using a CCD or a CCD-TDI.
  • step (c) forming a two-dimensional image having density information of a second region, which is predicted to have the same pattern as the region input in step (b), and inputting it to another memory;
  • step (f) obtaining a two-dimensional image of a third region predicted to have the same pattern as the first and second regions, and obtaining a two-dimensional image of the third region and the first and second regions; Performing density matching with one of them, comparing with the defect candidate obtained in step (e), and determining a defect from the defect candidate;
  • the electron beam is a multi-beam that scans the plurality of beams in a direction perpendicular to the one axis, with a beam interval projected in one axial direction being equal intervals.
  • a defect detection method wherein the two-dimensional image is formed while the sample table is continuously moved in a direction parallel to one axis by electrically scanning the sample.
  • the electron beam is a beam having a rectangular shape that is long in one axis direction, and scans the beam in a short side direction of the rectangle, and a long side direction of the rectangle. Irradiation is performed while continuously moving the sample stage
  • a defect inspection method characterized by detecting secondary electrons or reflected electrons as an image by a mapping optical system.
  • the density matching is performed by matching offset values so that the lowest densities of both images match, and thereafter, the densities of the maximum values match.
  • a defect inspection method characterized in that the gain is adjusted so as to perform the inspection.
  • a device manufacturing method comprising performing a defect inspection of a sample during or after a process using the defect inspection device according to any one of claims 10, 11, 12 to 16, Is provided.
  • the defect inspection of the sample during or after the process is performed by using the inspection method according to any one of claims 1 to 9, 17, and 34.
  • a device manufacturing method is provided.
  • FIG. 1 is an elevational view showing main components of a defect inspection device according to the present invention.
  • FIG. 2 is a front view showing main components of the defect inspection apparatus according to the present invention.
  • FIG. 3 is a diagram showing a configuration of a mini-environment device of the defect inspection device according to the present invention.
  • FIG. 4 is a diagram showing a configuration of a loader housing of the defect inspection device according to the present invention.
  • FIG. 5 is a view showing a potential application mechanism in the defect inspection device according to the present invention.
  • FIG. 6 is a diagram schematically showing a configuration of an electron beam calibration mechanism in the defect inspection device shown in FIG. 1.
  • FIG. 7 (a) is a view schematically showing a projection type electron optical apparatus of a sample inspection apparatus capable of performing a position alignment method according to the present invention and a defect inspection method using the method.
  • b) A schematic diagram showing a mesh for making the electric field uniform.
  • FIG. 8 (a) is a diagram showing the field of view of the electron optical system and the characteristic pattern on the wafer in the electron optical device of FIG. 7, and FIG. 8 (b) shows the field of view of the electron optical system by a predetermined distance.
  • Move FIG. 7 is a diagram showing a state in which a characteristic pattern on the wafer is moved in the field of view by
  • FIG. 9 (a) is a diagram showing one method for obtaining a two-dimensional image of a wafer surface pattern in the electro-optical device of FIG. 7, and FIG. 9 (b) is a diagram showing a wafer surface in the electro-optical device of FIG.
  • FIG. 10 is a diagram showing another method for obtaining a two-dimensional image of the pattern.
  • FIG. 10 (a) schematically shows a multi-beam electron optical device in the semiconductor inspection apparatus of FIG. 1 which can execute the alignment method according to the present invention and the defect inspection method using the method. It is a figure, (b) is a top view of the multi-aperture used there.
  • FIG. 11 is a diagram schematically showing a multi-anode used in the electron optical device shown in FIG.
  • FIG. 12 is a diagram illustrating density adjustment of a two-dimensional image.
  • FIG. 13] (a) is a diagram showing the range of the field of view of the electron optical system, the arrangement of a plurality of electron beams, and the characteristic pattern on the wafer when performing a defect inspection using the electron optical device of FIG. (B) is a diagram showing a state in which the characteristic pattern on the wafer is moved in the field of view by moving the field of view of the electron optical system by a predetermined distance.
  • FIG. 14 is a diagram schematically showing a third embodiment of the defect inspection apparatus according to the present invention, which employs a single-beam type electron optical device.
  • FIG. 15 is a view for explaining the inspection of the wafer by the defect inspection apparatus shown in FIGS. 10 and 14, wherein (A) is an overall view of the wafer, and (B) is a part of a die on the wafer; The enlarged view is shown.
  • FIG. 16 is a plan view for explaining a virtual equally-spaced grid and a die displacement that occurs during the lithographic process of the die.
  • FIG. 17 is a plan view for explaining another example of a virtual equally-spaced grid and a die displacement.
  • FIG. 18 is a diagram for explaining interpolation of position coordinates of a die.
  • FIG. 19 (A) is a diagram schematically showing a fourth embodiment of the sample inspection apparatus according to the present invention, which employs a multi-optical-axis, multi-beam type electron optical device.
  • FIG. 2 is a plan view of the magnifying lens shown in FIG. 3A
  • FIG. 3C is a plan view of the anode shown in FIG.
  • FIG. 20 is a drawing schematically showing a fifth embodiment of the defect inspection apparatus according to the present invention when a sample transmits an electron beam.
  • FIG. 21 is a view schematically showing a sixth embodiment of the defect inspection apparatus according to the present invention when a sample does not transmit an electron beam.
  • FIG. 22 (A)-(D) are diagrams for explaining the operation of the defect inspection apparatus shown in FIG. 21.
  • FIG. 23 is a diagram illustrating an inspection procedure of the semiconductor device manufacturing method.
  • FIG. 24 is a diagram illustrating a basic flow of an inspection procedure in a semiconductor device manufacturing method.
  • FIG. 25 is a diagram showing settings of a die to be inspected.
  • FIG. 26 is a diagram illustrating the setting of a detection area inside a die.
  • FIG. 27 is a diagram illustrating an inspection procedure of the semiconductor device manufacturing method.
  • FIGS. 28 (A) and (B) are diagrams illustrating an inspection procedure of a semiconductor device manufacturing method.
  • FIG. 29 is a diagram showing a running example in the case of a detection die force of S1 in the detection procedure of the semiconductor device manufacturing method.
  • FIG. 30 is a diagram illustrating a method of generating a reference image in an inspection procedure of a semiconductor device manufacturing method.
  • FIG. 31 is a diagram illustrating an adjacent die comparison method in an inspection procedure of a semiconductor device manufacturing method.
  • FIG. 32 is a diagram illustrating a system configuration for performing an adjacent die comparison method in an inspection procedure of a semiconductor device manufacturing method.
  • FIG. 33 is a diagram illustrating a reference die comparison method in an inspection procedure of a semiconductor device manufacturing method.
  • FIG. 34 is a diagram illustrating a reference die comparison method in an inspection procedure of a semiconductor device manufacturing method.
  • FIG. 35 is a diagram illustrating a reference die comparison method in an inspection procedure of a semiconductor device manufacturing method.
  • FIG. 36 is a diagram illustrating focus mapping in a detection procedure of a semiconductor device manufacturing method.
  • FIG. 37 illustrates focus mapping in a detection procedure of a semiconductor device manufacturing method.
  • FIG. 38 is a diagram illustrating focus mapping in an inspection procedure of a semiconductor device manufacturing method.
  • FIG. 39 is a diagram illustrating focus mapping in a detection procedure of a semiconductor device manufacturing method.
  • FIG. 40 (A)-(C) are diagrams illustrating focus matching in a detection procedure of a semiconductor device manufacturing method.
  • FIG. 41 is a diagram showing an embodiment in which the defect inspection apparatus according to the present invention is connected to a production line.
  • FIG. 42 is a flowchart showing a semiconductor device manufacturing process using the defect inspection apparatus according to the present invention.
  • FIG. 43 is a flowchart showing a lithography step in FIG. 42.
  • FIGS. 1 to 5 a sample inspection for inspecting a substrate or a wafer as a sample having a pattern formed on its surface as an object to be inspected for defects or the like, for performing the method according to the present invention.
  • the overall configuration of the device will be described.
  • An example in which a wafer is used as a sample will be described below.
  • a sample detection device 1 for detecting a defect or the like of a sample includes a cassette holder 10 for holding a cassette containing a plurality of wafers W, a mini-environment device 20, A main housing 30 defining one king chamber 31, a loader housing 40 provided between the mini-environment device 20 and the main housing 30 and having two loading chambers, and a wafer W from the cassette holder 10 to the main housing. It has a loader 60 to be loaded on a stage device 50 arranged in 30 and an electro-optical device 70 attached to a vacuum housing, which are arranged in a positional relationship as shown in FIGS.
  • the sample inspection apparatus 1 further includes a precharge unit 81 disposed in the main housing 30 in a vacuum state, a potential applying mechanism 83 for applying a potential to the wafer W (see FIG. 5), and an electron beam calibration.
  • the mechanism 87 see Fig. 8) and the positioning of the wafer W on the stage device 50 And an optical microscope 871 that constitutes an alignment control device for performing the operation.
  • the cassette holder 10 holds a cassette c (for example, a closed force set such as FOUP manufactured by Assist Corporation) in which a plurality of (for example, 25) wafers W are arranged in parallel in the vertical direction. A plurality (two in the figure) is held.
  • the cassette holder 10 has a structure suitable for carrying a cassette by a robot or the like and automatically loading the cassette into the cassette holder 10, and has an open cassette structure suitable for the case where the cassette is manually loaded. Items can be arbitrarily selected and installed.
  • the cassette holder 10 has a configuration in which the cassette c is automatically loaded in the illustrated configuration, and includes, for example, an elevating table 11 and an elevating mechanism 12 for moving the elevating table 11 up and down.
  • c is automatically set on the elevating table 12 in a state shown by a chain line in FIG. 2, and after setting, it is automatically rotated to a state shown by a solid line in FIG. 2 to be the first transfer unit in the mini-environment device 20. Then, the lifting table 11 is lowered to the state shown by the chain line in FIG.
  • the cassette holder used for automatic loading or manual loading may be of a known structure, and a detailed description of its structure and function is omitted.
  • the substrate or wafer W stored in the cassette c is a wafer to be inspected, and such an inspection is performed after a wafer processing process in a semiconductor manufacturing process or in the middle of the process. Be done.
  • the wafer is housed in a wafer force cassette c having a wiring pattern formed or not formed on the surface of the wafer that has been subjected to a film forming process, CMP, ion implantation, or the like.
  • a large number of wafers W accommodated in the cassette c are arranged side by side vertically in parallel with each other. For this reason, the arm of the first transfer unit can be moved up and down so that the wafer W at an arbitrary position can be held by the first transfer unit (described later).
  • the mini-environment device 20 includes a housing 22 forming a mini-environment space 21 whose atmosphere is controlled, and a gas such as clean air circulated in the mini-environment space 21.
  • a briar liner 25 for coarsely positioning the wafer W is provided.
  • the housing 22 has a top wall 221, a bottom wall 222, and a peripheral wall 223 surrounding four circumferences, and has a structure for blocking the mini-environment space 21 from external force.
  • a gas circulation device 23 is attached to the top wall 221 in the mini-environment space 21 as shown in FIG.
  • a collection duct 232 for collecting the air flowing down, and a conduit 233 connecting the collection duct 232 and the gas supply unit 231 to return the collected air to the gas supply unit 231 are provided.
  • the laminar downward flow of clean air that is, the downflow
  • the laminar downward flow of clean air is mainly supplied so as to flow through the transfer surface of the first transfer unit 61 disposed in the mini-environment space 21, and is supplied to the downflow. This prevents dust that might be generated by the transfer unit 61 from adhering to the wafer W.
  • An entrance 225 is formed in a portion of the peripheral wall 223 of the housing 22 adjacent to the cassette holder 10.
  • the discharge device 24 is disposed at a position below the wafer transfer surface of the transfer unit 61 and below the transfer unit and a suction duct 241 disposed outside the housing 22. It has a blower 242 and a conduit 243 connecting the suction duct 241 and the blower 242.
  • the discharge device 24 sucks air containing dust that may flow down around the transfer unit and is generated by the transfer unit by the suction duct 241 and discharges the air to the outside of the housing 22 through the conduit 243 and the blower 242.
  • the discharge device 24 sucks air containing dust that may flow down around the transfer unit and is generated by the transfer unit by the suction duct 241 and discharges the air to the outside of the housing 22 through the conduit 243 and the blower 242.
  • the pre-aligner 25 disposed in the mini-environment space 21 is provided with an orientation flat (referred to as a flat portion formed on the outer periphery of a circular wafer and called an orientation flat) formed on the wafer W or a wafer W.
  • an orientation flat referred to as a flat portion formed on the outer periphery of a circular wafer and called an orientation flat
  • One or more V-shaped notches or notches formed on the outer peripheral edge are optically or mechanically detected to detect the axis ⁇ -0 of the transport unit 61.
  • the main housing 30 forming the working chamber 31 includes a housing body 32.
  • the housing main body 32 is supported by a housing support device 33 mounted on a vibration isolator or vibration isolator 37 arranged on a base frame 36, and the housing support device 33 is a rectangular frame structure 331. It has.
  • the housing main body 32 is disposed and fixed on the frame structure 331.
  • the housing body 32 includes a bottom wall 321 mounted on a frame structure 331, a top wall 322, and a peripheral wall 323 connected to the bottom wall 321 and the top wall 322 and surrounding four circumferences. Isolate from
  • the housing body 32 and the housing support device 33 are assembled in a rigid structure, and the vibration from the floor on which the base frame 36 is installed is prevented from being transmitted to the rigid structure by the vibration isolator 37. It has become.
  • An entrance / exit 325 for taking in / out a wafer is formed on a peripheral wall of the peripheral wall 323 of the housing body 32 adjacent to the loader housing 40.
  • the working chamber 31 is maintained in a vacuum atmosphere by a vacuum device (not shown) having a known structure.
  • the control device 2 for controlling the operation of the entire device is disposed below the base frame 36.
  • Working chamber 31 is normally 10 4 - is kept at a pressure of 10 6 Pa.
  • the loader housing 40 includes a housing main body 43 that forms a first loading chamber 41 and a second loading chamber 42.
  • the housing body 43 has a bottom wall 431, a top wall 432, a peripheral wall 433 surrounding four circumferences, and a partition wall 434 for partitioning the first loading chamber 41 and the second loading chamber 42.
  • the mouth chambers 41 and 42 are structured to be isolated from the outside.
  • the partition wall 434 is formed with an opening, ie, an entrance 435, through which the wafer W is put in and taken out between the opening chambers 41 and 42. Entrance ports 436 and 437 are formed in a portion of the peripheral wall 433 adjacent to the mini-environment device 20 and the main housing 30.
  • the housing main body 43 of the loader housing 40 is placed and supported on the frame structure 331 of the housing support device 33, so that the transmission of floor vibration to the loader housing 40 is achieved. Is prevented.
  • the entrance 436 of the loader housing 40 and the entrance 226 of the mini-environment device 20 housing 22 are aligned and selectively prevent communication between the mini-environment space 21 and the first loading chamber 41.
  • a shirt device 27 is provided.
  • the entrance 437 of the loader housing 40 and the entrance 325 of the housing body 32 are aligned and selectively prevent the communication between the second loading chamber 42 and the working chamber 31 from being sealed.
  • a shirt device 45 is provided.
  • an opening 435 formed in the partition wall 434 is provided with a shutter device 46 for selectively blocking communication between the first and second loading chambers 41 and 42 by opening and closing a door 461.
  • a wafer rack 47 that supports a plurality of, for example, two wafers W in a horizontal state with a vertical space therebetween is provided.
  • the atmosphere can be controlled in a short time.
  • the first loading chamber 41 is maintained in a low vacuum atmosphere as a low vacuum chamber
  • the second loading chamber 42 is maintained in a high vacuum atmosphere as a high vacuum chamber, thereby effectively preventing contamination of the wafer W. You can also.
  • a defect inspection that can be carried out by force if the wafer W accommodated in the loading chamber and subsequently subjected to defect inspection can be transferred into the single king chamber 31 without delay.
  • the throughput can be improved, and the degree of vacuum around the electron source required to be kept in a high vacuum state can be made as high as possible.
  • a vacuum exhaust pipe (not shown) and a vent pipe (not shown) for an inert gas are connected to the first and second loading chambers 41 and 42, respectively.
  • an inert gas for example, dry pure nitrogen
  • the inert gas vent prevents oxygen gas other than the inert gas from adhering to the surface of each loading chamber.
  • a typical lanthanum hexaboride (LB) used as an electron source of an electron optical apparatus has a degree to emit thermoelectrons.
  • LB lanthanum hexaboride
  • the stage device 50 includes a fixed table 51 disposed on the bottom wall 321 of the main housing 30, and a Y table hole 52 moving on the fixed table 51 in the Y direction (the direction perpendicular to the plane of FIG. 1). , An X-table holder 53 that moves in the X direction (horizontal direction in FIG. 1) on the fixed table 51, a rotary table 54 that can be rotated on the X table 53, and a holder 55 that is disposed on the rotary table 54. ing.
  • the wafer W is releasably held on the wafer mounting surface 551 of the holder 55.
  • the holder 55 may have a known structure capable of releasably holding the wafer W mechanically or by an electrostatic chuck method.
  • the stage device 50 holds the holder 55 on the mounting surface 551 by operating the plurality of tables 51 to 54 using a servomotor, an encoder, and various sensors (not shown).
  • the wafer W is moved in the X, Y, and Z directions (up and down directions in FIG. 1) with respect to the electron beam emitted from the electron optical device, and further around the axis perpendicular to the support surface of the wafer W ((). Direction) with high accuracy.
  • the positioning of the wafer W in the Z direction can be performed, for example, by making the position of the mounting surface 551 on the holder 55 finely adjustable in the Z direction.
  • the reference position of the mounting surface 551 is detected by a position measuring device (a laser interferometer that uses the principle of an interferometer) using a fine-diameter laser, and the position is controlled by a feedback circuit (not shown).
  • the position of the wafer notch or the orientation flat is measured to detect the plane position and the rotation position of the wafer with respect to the electron beam, and the rotary table is rotated by a stepping motor or the like capable of controlling a small angle. And control.
  • Servo motors 521, 531 and encoders 522, 532 for the stage device 50 are arranged outside the main housing 30 in order to minimize the generation of dust in the parking chamber 31. It is to be noted that the rotation position and the X and Y positions of the wafer W with respect to the electron beam are input to a signal detection system or an image processing system, which will be described later, to standardize a signal obtained.
  • the loader 60 includes a first robot-type transfer unit 61 disposed in the housing 22 of the mini-environment device 20, and a robot disposed in the second loading chamber 42. And a second transfer unit 63 of a type.
  • the first transport unit 61 has a multi-articulated arm 612 rotatable about an axis O- ⁇ with respect to the drive 611. As a multi-section arm
  • the arm 612 in the figure has three parts that are pivotally attached to each other.
  • a first portion of the arm 612 of the first transfer unit 61 which is closest to the drive portion 611 is attached to a rotatable shaft 613 by a drive mechanism (not shown) having a known structure provided in the drive portion 611. ing.
  • the arm 612 has an axis O
  • a holding device 616 for holding a wafer W such as a mechanical chuck or an electrostatic chuck having a known structure is provided.
  • the drive unit 611 can be moved up and down by a lifting mechanism 615
  • the arm 612 of the first transfer unit 61 extends in one direction Ml or M2 of one of the two cassettes c held in the cassette holder 10 and is housed in the cassette c.
  • One of the wafers W thus placed is placed on the arm 612, or is gripped and taken out by a chuck (not shown) attached to the tip of the arm 612.
  • the arm 612 contracts as shown in FIG. 2, and then rotates to a position where the pre-aligner 25 extends in the direction M3 and stops.
  • the arm 612 extends again, and places the wafer W held by the arm 612 on the pre-aligner 25.
  • the arm 612 After finely adjusting the orientation of the wafer W by the pre-aligner 25, the arm 612 receives the wafer W from the pre-aligner 25, and then rotates to a position where it can extend in the direction M4 toward the first loading chamber 41. Then, the wafer W is transferred to the wafer receiver 47 in the first loading chamber 41.
  • the wafer W When the wafer W is mechanically gripped by the arm, it is preferable to grip the peripheral portion of the wafer W in a range of about 5 mm from the peripheral edge. This is because devices such as circuit wiring are formed on the entire inner surface of the wafer W except for the peripheral portion, and if this portion is grasped, the devices will be destroyed and defects will be generated. .
  • the second transfer unit 63 has basically the same structure as the first transfer unit 61, and transfers the wafer W between the wafer rack 47 and the mounting surface 551 of the stage device 50. Work to do You.
  • the first and second transfer units 61 and 63 transfer the wafer W from the cassette c held in the cassette holder 10 to the stage device 50 arranged in the working chamber 31 and vice versa. It is carried out with the transport kept almost horizontal.
  • the vertical movements of the arms 612 and 632 of the transfer units 61 and 63 simply mean that the wafer W is taken out of the cassette c and inserted into the cassette c, the wafer W is placed on the wafer rack 47 and the wafer rack 47 is moved. This is only when the force is taken out, and when the wafer W is placed on the stage device 50 and taken out from the stage device 50. Therefore, a large wafer W, for example, a wafer having a diameter of 30 cm, can be moved smoothly.
  • the cassette holder 10 has a structure suitable for manually setting the cassette c, and a structure suitable for automatically setting the cassette c.
  • the elevating table 11 is lowered by the elevating mechanism 12, and the cassette c is aligned with the entrance 225.
  • the cassette c When the cassette c is aligned with the entrance 225, a cover (not shown) provided on the cassette c is opened, and a cylindrical cover is arranged between the cassette c and the entrance 225, so that the cassette c The interior and the mini-environment space 21 are shielded from the outside.
  • the mini-environment device 20 When the mini-environment device 20 is provided with a shirt device that opens and closes the entrance 225, the shirt device operates to open and close the entrance 225.
  • the arm 612 of the first transport unit 61 is stopped in a state facing the direction Ml or the direction M2. Therefore, assuming that the vehicle is stopped in the direction of Ml, when the entrance 225 is opened, the arm 612 extends through the entrance 225, and receives one of the wafers W in the cassette c at the tip thereof. When the reception of the wafer W by the arm 612 is completed, the arm 612 contracts, and if the above-mentioned shutter device is provided, the shutter device is operated to close the entrance 225 when the shutter device is provided. The arm 612 then pivots about axis O_ ⁇ in direction M3.
  • Arm 612 is extended to a position where it can be extended.
  • the wafer W held or held by the chuck is placed on the pre-aligner 25, and the orientation of the wafer W in the rotation direction, that is, the direction around the central axis perpendicular to the wafer plane, is set within a predetermined range by the pre-aligner 25. Position.
  • the first transfer unit 61 receives the wafer W from the pre-aligner 25 at the tip of the arm 612, and then contracts the arm 612 to move the arm 612 in the direction M4. The posture to extend. Then, the door 272 of the shirting device 27 moves to open the entrances 226 and 436 forces S, so that the arm 612 extends into the first loading chamber 42 and places the wafer W on the upper or lower side of the wafer rack 47. Before opening the shutter device 27 and transferring the wafer W to the wafer rack 47 as described above, the opening 435 formed in the partition wall 434 is closed by the door 461 of the shutter device 46 in an airtight state.
  • the shutter unit 27 closes and the inside of the loading chamber 41 is sealed.
  • the first loading chamber 41 is filled with an inert gas to expel air, and then the inert gas is also discharged, so that the loading chamber 41 is evacuated.
  • the vacuum atmosphere of the first loading chamber 41 may be a low vacuum.
  • the shirting device 46 When a certain degree of vacuum in the loading chamber 41 is obtained, the shirting device 46 is operated to open the entrance 435 sealed by the door 461, and then the arm 632 of the second transport unit 63 is moved to the second position.
  • One wafer W extending from the wafer receiver 47 extending into the loading chamber 41 is placed on the tip of the arm 632, or a chip attached to the tip of the arm 632. It is gripped and received by a gripping device such as a jack.
  • the arm 6 is retracted by 32 force S, the shutter device 46 is operated again, and the door 435 is closed by the door 461.
  • the arm 632 Before the shutter device 46 is opened, the arm 632 is in a posture in which it can be extended in the direction NI of the wafer rack 47 in advance, and the entrances 437 and 325 are closed by the door 452 of the shirt device 45.
  • the communication between the second loading chamber 42 and the working chamber 31 is airtightly prevented.
  • the entrance 435 and the entrance 437, 325 force are closed, the inside of the second loading chamber 42 is evacuated to a higher vacuum than the inside of the first loading chamber 42.
  • the arm 632 of the second transfer unit 63 is rotated to a position where the arm 632 can extend toward the stage device 50 in the working chamber 31.
  • the center line X—X of the Y table hole 52 force X table 53 passes through the rotation axis of the second transfer unit 63.
  • the stage device 50 has a mechanism for applying a reverse bias potential (retarding potential) to the wafer W. This is because, when the arm 632 goes or puts the wafer W on the stage device 50, by setting the arm 632 to the same or close potential as the stage device 50 or the floating potential, the discharge due to the short-circuit is caused. This is a mechanism that avoids the problems described above. When the wafer W is transferred onto the stage device 50, the bias potential applied to the wafer W may be turned off.
  • a reverse bias potential (retarding potential)
  • the potential When controlling the bias potential, the potential is turned off until the wafer is transferred to the stage, and after the wafer is transferred and placed on the stage, it is turned on to apply the bias potential. You can do it.
  • the tact time may be set in advance and applied in accordance therewith, or the sensor detects that the wafer is mounted on the stage, and the detection signal is used as a trigger. You may make it. Alternatively, it may be detected that the shutter S 4 45 S exits 437 and 325 are closed, and the detection signal may be applied as a trigger. Further, when an electrostatic chuck is used, it may be confirmed that the electrostatic chuck is attracted to the electrostatic chuck, and a bias potential may be applied using the trigger as a trigger.
  • FIG. 5 shows a mechanism 83 provided in the stage device 50 for applying a reverse bias potential (retarding potential) to the wafer W.
  • a reverse bias potential (retarding potential)
  • the potential applying mechanism 83 is attached to the stage mounting table 551 on which the wafer W is mounted.
  • the generation of secondary electrons is controlled by applying a potential of ⁇ 3 ⁇ 4V.
  • the potential applying mechanism 83 also serves to reduce the energy originally possessed by the irradiation electrons and to copy the wafer W with the irradiation electron energy of about 100 to 500 eV.
  • the potential applying mechanism 83 includes a voltage application device 831 electrically connected to the mounting surface 551 of the stage device 50, a charge-up investigation and voltage determination system (hereinafter referred to as an investigation and determination system). 832).
  • the investigation and determination system 832 includes a monitor 833 electrically connected to an image forming unit 765 described later of the detection system of the electro-optical device 70, an operator 834 connected to the monitor 833, and a CPU connected to the operator 834. 835 and.
  • the CPU 835 supplies a signal to the voltage application device 831.
  • the potential applying mechanism 83 is designed to search for a potential at which the wafer to be inspected is unlikely to be charged, and apply the potential.
  • the operation reverse to the above is performed.
  • the second transfer unit 63 transfers a wafer between the wafer rack 47 and the stage device 50 so that a plurality of wafers are placed on the wafer rack 47
  • the first transfer unit 61 The wafer W can be transferred between the cassette c and the wafer rack 47, and the inspection process can be performed efficiently.
  • the precharge unit 81 is disposed adjacent to the lens barrel 71 of the electron optical device 70 in the working chamber 31, as shown in FIG.
  • the present inspection apparatus is an apparatus of a type that detects a device pattern or the like formed on the surface of the wafer W by irradiating the wafer W to be a sensor target with an electron beam and running. Therefore, the surface of the wafer may be charged, that is, charged up, depending on conditions such as the material of the wafer, the energy of the irradiated electrons, and the like, in which information such as secondary electrons generated by electron beam irradiation is used as information on the wafer surface. Further, even on the wafer surface, there is a possibility that a strongly charged portion and a weakly charged portion may occur.
  • a precharge unit 81 having a charged particle irradiation unit 811 is provided. Before irradiating inspection electrons on a predetermined portion of the wafer W to be inspected, charged particles are irradiated from the charged particle irradiation section 811 of the precharge unit 81 in order to eliminate charging unevenness.
  • the charge up on the wafer surface can be detected by forming an image of the wafer surface to be detected in advance and evaluating the image, and operating the precharge unit 81 based on the detection result. .
  • the primary electron beam may be irradiated with low pressure.
  • the defect inspection device 1 shown in Fig. 1 includes an alignment control device 87.
  • the alignment control device 87 is a device for positioning the wafer W with respect to the electron optical device 70 using the stage device 50, and as shown in FIG. Approximate alignment of wafer W by wide-field observation at lower magnification than with device 70, alignment of wafer W with high magnification using electron optics of electron optics device 70, focus adjustment, detection area setting , Pattern 'alignment and the like.
  • the reason for inspecting the wafer W at a low magnification using an optical system in this manner is that, in order to automatically inspect the pattern of the wafer W, the wafer W pattern is observed by using an electron beam. This is because when performing the alignment, it is necessary to easily detect the alignment mark using an electron beam.
  • the optical microscope 871 is preferably provided movably in the main housing 32, and a light source (not shown) for operating the optical microscope 871 is also provided in the main housing 32.
  • the electron optical system for performing high-magnification observation shares the electron optical system of the electron optical device 70, that is, the primary optical system 701 and the secondary optical system 702.
  • the observation point on the wafer is moved into the field of view of the optical microscope 871 by moving the X stage 53 of the stage device 50 in the X direction.
  • the wafer W is visually recognized in a wide field with the optical microscope 871, and the position to be observed on the wafer W is displayed on the monitor 873 via the CCD 872, and the observation position is roughly determined.
  • the magnification of the optical microscope 871 may be changed from a low magnification to a high magnification.
  • stage device 50 is connected to the optical axis ⁇ -0 of the electron optical device 70 and the optical axis of the optical microscope 871.
  • the observation point on the wafer W is moved to the visual field position of the electron optical device 70.
  • the distance ⁇ X between the axis ⁇ ⁇ of the electron optical device 70 and the optical axis ⁇ ⁇ ⁇ ⁇ is known in advance.
  • the force S for moving the observation point to the viewing position of the electron optical device 70 can be obtained.
  • the electron optical device 70 and the optical microscope 871 are assumed to be displaced only in the direction along the X-axis.
  • the force S, the X-axis direction, and the Y-axis direction The position may be shifted.
  • the electron optical system of the electron optical device 70 images the observation point at a high magnification by SEM and stores the image, or stores the image in the imaging device. Displayed via monitor.
  • FIG. 7 schematically shows a configuration of the electron optical device 70 in the wafer, ie, the semiconductor inspection device 1 of FIG. 1, and the wafer alignment method and the wafer alignment method according to the present invention using the electron optical device 70.
  • a sample inspection method for detecting a defect or the like of a wafer or the like is performed.
  • the electron optical device 70 is of a projection type, in which an electron beam emitted from an electron gun is shaped into an ellipse or a rectangle (for example, a rectangle), and the shaped electron beam is to be inspected.
  • a primary electron optical system (hereinafter referred to as a primary optical system) 72 that irradiates the surface of the wafer W such as chips onto the surface of the wafer W, and an optical axis different from the optical axis A of the primary optical system 72 for secondary electrons or reflected electrons emitted from the wafer W.
  • a secondary electron optical system (hereinafter referred to as a secondary optical system) 74 for guiding along B and receiving secondary electrons and reflected electrons from the secondary optical system 74 to form an optical image of the wafer W,
  • a detection system 76 for converting an image into an electric signal.
  • the primary optical system 72 has a thermionic emission output sword (LaB force sword) and emits an electron beam.
  • Laser force sword thermionic emission output sword
  • An electron gun 721 for emitting light, lenses 722 and 723 for converging the electron beam, a forming aperture 724 for forming the converged electron beam into an electron beam having a predetermined cross-sectional shape, and deflectors 725 and 726 are provided. 7, are arranged in order with the electron gun 721 at the top along the optical axis OA1 having a certain angle with respect to the direction perpendicular to the surface of the wafer W.
  • the primary optical system 72 further deflects the electron beam in a direction perpendicular to the wafer W by a field in which an electric field and a magnetic field are orthogonal to each other, and an EXB separator 727 for separating secondary electrons or reflected electrons generated from the sample.
  • two doublet-type objective lenses 728 and 729 which are sequentially arranged along a direction perpendicular to the surface of the sample.
  • the secondary optical system 74 guides secondary electrons or reflected electrons from the wafer W separated by the EXB separator 727 to the detection system 76 along the optical axis OA2 perpendicular to the wafer W.
  • Secondary power It has a doublet type lens 741 for enlarging electrons or reflected electrons, magnifying lenses 742 and 743, and deflectors 744 and 745.
  • the detection system 76 includes an MCP (micro channel plate) 761, a scintillator coated on the lower surface, and an F ⁇ P (fiber optic lens) that converts secondary electrons or reflected electrons into a light image. Plate) 762, an optical lens 763, and a TDI detector 784.
  • reference numeral 766 denotes a mesh for making the electric field on the front surface of the MCP7761 uniform, as shown in FIG. 7 (b).
  • Symbols 767 and 768 are meshes for equalizing the electric field between the MCP 761 and the FOP 762, respectively.
  • the configuration and operation of each component of the detection system 76 are known, and a detailed description thereof is omitted here.
  • the MCP 761 and the TDI detector 763 constitute the aforementioned image forming unit 765.
  • the electron beam emitted from the electron gun 721 is focused by the lenses 722 and 723, and is uniformly irradiated on the forming aperture 724.
  • the shaped aperture 724 has a rectangular (eg, rectangular) cross section perpendicular to the optical axis OA1 of the electron beam from the electron gun 721, and has 512 pixels in the Y direction and the X direction shown in FIG. It is shaped so that the illuminance intensity becomes constant within the field of view V having 2048 pixels.
  • the rectangular shaped electron beam passes through a deflector 724, is deflected in a direction perpendicular to the surface of the wafer W by an EXB separator 727, and is deflected by a field of view V on the wafer W by objective lenses 728 and 729. Is irradiated. Secondary electrons or reflected electrons emitted from the wafer W by the irradiation of the rectangular electron beam are focused by the objective lenses 729 and 728 and are imaged on the deflection main surface of the EXB separator 727 to form an enlarged image. The formed secondary electrons or reflected electrons are magnified in order by a doublet lens 741 and magnifying lenses 742 and 743 and enter the detection system 76.
  • Secondary electrons or reflected electrons incident on the detection system 76 are imaged on the MCP 761, amplified, converted into light signals by a scintillator, and form an image of the wafer W. This image is transmitted via the FOP 762, reduced by the optical lens 763, and detected by the TDI detector 764 as a two-dimensional image.
  • the first method for measuring the magnification is to use a Faraday cup, which is a stage device 50 on which a wafer W is placed.
  • the magnification is calculated as R / r.
  • an image of a structure whose actual size is known in advance, such as a Faraday cup is acquired, and if the number of pixels of the structure is determined, the actual size per pixel can be determined. Is obtained as
  • Another method of measuring the magnification is to measure the actual dimension of the moving distance of the stage using a laser interferometer, and is performed in the following procedure a1.
  • the apparatus including the tables 52, 53, 54 and the holder 55 in FIG. 1 is called a stage.
  • the characteristic pattern R on the wafer W is irradiated with a rectangular beam (in this example, a rectangular beam), and reflected electrons or secondary electrons from the wafer W are detected to obtain a two-dimensional image.
  • a rectangular beam in this example, a rectangular beam
  • step b (e) Perform the same operation as in step b at the position where the stage has been moved to obtain a two-dimensional image of the characteristic pattern R at the moved position.
  • the alignment of the pattern, the rotation of the stage coordinates, the pattern coordinates, the distance between the patterns, and the relationship with the field of view of the electron optical system are clarified in pixel units and actual size units, and alignment is performed.
  • the X-axis and Y-axis directions of the wafer W and the electron beam are made to coincide with each other.
  • a defect detection result by image comparison is derived with reference to the dimension per pixel stored in the memory in the above step j.
  • FIGS. 9A and 9B the run of the wafer surface in the above procedure m will be described with reference to FIGS. 9A and 9B.
  • a method of running on the inspection surface of the wafer W is as shown in FIG.
  • the stage to be inspected is moved continuously in the Y direction so that the long side of the rectangular electron beam is equal to the width of the stripe ST (for example, the long side of the electron beam is aligned in the X direction of the stripe ST).
  • the method of obtaining a two-dimensional image of the surface pattern and, as shown in FIG.
  • the long side of the electron beam is made to coincide with the direction of continuous movement of the stage (Y direction), and the stripe ST is deflected.
  • step 726 there is a method of obtaining a two-dimensional image by running in the X direction.
  • the beam current density can be increased as much as the cross-sectional area of the electron beam can be reduced, and the S / N ratio of the signal can be increased.
  • the optical parameters of the secondary optical system such as the lens excitation conditions in synchronization with the scanning of the electron beam, the trajectory of the secondary or reflected electrons is brought close to the optical axis B by the deflector. It is possible to reduce the aberration by controlling to aim.
  • FIG. 10 (a) schematically shows the configuration of this multi-beam type electron optical device 70a
  • FIG. 10 (b) is a plan view of a multi-aperture used in the device.
  • the multi-beam type electron optical device 70a includes a primary optical system 72a, a secondary optical system 74a, and a detection system 76a.
  • the primary optical system 72a is an optical system that irradiates an electron beam onto a pattern such as a chip on a wafer W, and is formed by two-dimensionally arraying an electron gun 721a that emits an electron beam and a plurality of small holes.
  • a multi-aperture plate 722a that separates the electron beam emitted from the electron gun 721a into multiple electron beams (multi-beams), an electrostatic lens 723a that focuses the multiple electron beams, and an NA aperture NA aperture member 724a, electrostatic lens 725a for reducing the electron beam passing through NA aperture member 724a, electrostatic deflector 726a, EXB separator 727a, first electrostatic objective lens 728a, and deflector 729a , 730a and a second electrostatic objective lens 731a.
  • These elements are arranged such that the optical axis P of the electron beam emitted from the electron gun 721a is perpendicular to the surface of the wafer W in order with the electron gun 721a at the top as shown in FIG. They are arranged as follows.
  • a plurality of small holes are formed in the multi-aperture plate 722a in a straight line so that the intervals in the Y direction are equal to each other.
  • Plate 722a keeps the minimum distance between two or more electron beams at a distance greater than the resolution of the secondary optics Is generated.
  • the secondary optical system 84a includes an electrostatic magnifying lens 741a and a deflector 742a arranged along the optical axis OA2 forming a predetermined angle with respect to the optical axis OA1 near the EXB separator 727a.
  • the secondary electrons or reflected electrons that have passed through the EXB separator 727a are guided to the detection system 76a.
  • the detection system 76a includes a micro channel plate (MCP) 761a having a channel corresponding to each small hole of the multi-aperture plate 722a, a manoreti anode 762a, a resistor 763a, and an image forming circuit 765a including an A / D converter. , Memory 766a.
  • MCP micro channel plate
  • the multi-anode 762a has an elongated structure, and the gas released from the MCP 761a is quickly exhausted.
  • One end 7621 of each multi-anode 762a is fixed to a ceramic substrate 7620, and is connected to a resistor 763a and an image forming circuit 765a by a lead wire 764a.
  • the operation of the multi-beam type electron optical device 70a configured as described above will be described.
  • the electron beam emitted from the single electron gun 721a irradiates the multi-aperture plate 722a.
  • the electron beam passes through a plurality of small holes formed in the multi-aperture plate 722a and is converted into a plurality of electron beams (multi-beams) M.
  • the plurality of electron beams are focused by the electrostatic lens 723a and form a crossover at the NA aperture 724a.
  • the crossed-over electron beam is reduced by the electrostatic lens 725a, the first electrostatic objective lens 728a, and the second electrostatic objective lens 731a, and a plurality of electrons of 0.1 to 0.05 ⁇ ⁇ are placed on the sample.
  • the beam is irradiated.
  • each electron beam was slightly deflected by the EXB separator 727a, deflected by the first electrostatic objective lens 728a so as to pass through the center of the lens, deflected by the deflector 729a, and indicated by the symbol L1. Take orbit.
  • the electrostatic deflectors 729a and 730a simultaneously scan the electron beam in the X direction.
  • the secondary electrons or reflected electrons emitted from the wafer W take an orbit represented by a symbol L2, are deflected by an EXB separator 727a, enter the secondary optical system 74a, and travel along the optical axis ⁇ A1. move on.
  • the secondary electron group is focused for each electron beam, expanded by the second electrostatic objective lens 731a and the first electrostatic objective lens 728a, then deflected by the EXB separator 727a, and The beam is incident on 74a, and the magnification is adjusted by the electrostatic lens 741a so that the interval between each electron beam matches the interval between the multi-anode 762a placed behind the MCP7 61a. Adjusted.
  • correction is performed by the deflector 742a so that an image is always formed on the front surface of the multi-anode 762a. )).
  • the secondary electrons absorbed by the multi-anode 762a are converted into a voltage signal by the resistor 763a, amplified by the image forming circuit 765a and A / D converted to form a two-dimensional image, and the two-dimensional image power S memory 766a Stored in
  • This two-dimensional image has density information obtained in the first region (for example, the left end of the field of view), and has the density powers Si. 3, 0.1 1 B
  • step c having density information of a second region (for example, near the optical axis) predicted to have the same pattern as the region input in step (b), for example, shown on the right side of FIG. 12, A two-dimensional image is formed and input to another memory (step c).
  • symbols R1, R2, R3, and R4 indicate portions having concentrations of 1.2, 0.9, 0.5, and 0.3.
  • the image obtained in the step (b) and the image obtained in the step (c) are called from the memory, and density matching 7001 between them is performed so that the average densities of the two images match.
  • the densities of Rl, R2, R3, and R4 the powers that were 1.2, 0.9, 0.5, and 0.3 before the matching were 1.0 , 0.7, 0.3, and 0.1, enabling pattern matching 7002.
  • pattern matching 7002 it can be seen that the image on the left is shifted in the X direction by 5 addresses.
  • step e pattern matching is performed between the images having the same average density, and the difference between the images subjected to the pattern matching is calculated, and the location having the difference is determined as a defect candidate (step e).
  • Defects fl and f2 are detected by comparing the density of all addresses after pattern matching.
  • the electron beam is a multi-beam that scans the plurality of beams in a direction perpendicular to the one axis at equal beam intervals projected in one axis direction.
  • the two-dimensional image is formed while scanning the sample stage continuously in a direction parallel to one axis.
  • the density matching may be such that the offset values are matched so that the lowest densities of both images match, and then the gain is adjusted so that the maximum densities match.
  • the force S for measuring and adjusting the running sensitivity can be obtained.
  • this procedure will be described with reference to FIG.
  • the stage is moved so that a dicing line at one corner of the wafer W or a characteristic pattern on the wafer W falls within the field of view 8200 of the electron optical system of the electron optical device 70a.
  • the field of view 8200 of the electron optical system has a range of 2048 pixels in the X direction and 50 pixels in the Y direction.
  • a plurality of electron beams M (for example, five electron beams) are circled around the optical axis P of the primary optical system in the field of view 8200 (shown by a dashed line in FIG. 10B). And their electron beams project in the Y direction at equal intervals.
  • Each electron beam can scan a small field of view of 2048 pixels ⁇ 10 pixels, indicated by reference numerals 8201 or 8202.
  • the wafer W is provided with a characteristic pattern 8204 having a point 8203 at which a pattern position in the X direction and a pattern position in the Y direction can be designated. Move the stage so that it exists.
  • the characteristic pattern 8204 on the wafer W is irradiated with a plurality of electron beams to detect secondary electrons or reflected electrons from the wafer W, thereby obtaining a two-dimensional image.
  • step b Store the coordinates (Xc, Yc) of the stage at the time when the two-dimensional image was obtained in step b in the memory.
  • step f The characteristic pattern of the two-dimensional image obtained in step b and the two-dimensional image obtained in step e Then, pattern matching is performed on the two-dimensional image portion including the pattern 8204, and the displacement ( ⁇ X pixel, ⁇ Y pixel) of the position of the two images in the X direction or the Y direction is calculated.
  • the running sensitivity is a value indicating how long one pixel of the displayed image is on the wafer W.
  • step h Store the running sensitivity calculated in step h in the memory.
  • This running sensitivity is a value required for the alignment performed later. In other words, in order to eliminate the positional deviation of the wafer W by performing the alignment, it is necessary to convert the displayed pixel distance representing the positional deviation into the actual distance on the wafer W using the running sensitivity. It is.
  • the defect inspection of the wafer W is performed in the following steps.
  • At least two points in the inspection surface of the wafer W are subjected to the procedures a to e, respectively, and pattern matching is performed on the acquired images to determine the relationship between the stage coordinates, the pattern coordinates, and the field of view of the electron optical system. Match. At this time, an accurate value of the scanning sensitivity is used. After the alignment is performed, a defect inspection is performed.
  • step k The two-dimensional image obtained in step k is divided into predetermined regions and stored.
  • step k Repeat step k and step 1.
  • step j-1 n by referring to the running sensitivity stored in the memory in step i, Perform image comparison.
  • FIG. 14 schematically shows the configuration of a third embodiment of the electron optical device used in the defect inspection device according to the present invention at 70b.
  • the third embodiment is a single-beam scanning electron optical device similar to the first embodiment of FIG. 7, and is different from the second embodiment of FIG. And the need for the multi-anode 762a (Fig. 11).
  • the single-beam scanning electron optical device is different in that the detection system 76b of the multi-beam device is replaced by a detector 767b composed of a PIN diode or a scintillator and a photomultiplier.
  • the same components as those shown in FIG. 10 are denoted by the same reference numerals, and description thereof will be omitted.
  • a substrate such as a wafer on which a plurality of dies are formed is irradiated with an electron beam to acquire an image of a pattern on the surface to be inspected.
  • the dies should be arranged as designed. However, as described above, actually, the arrangement of the dies on the formed image is caused by distortion of the stage guide for moving the stage or exposure error in the lithography process. The rows may be different from the arrangement of the dies on the wafer. In such a case, detection of defects by image comparison may be hindered.
  • an electron beam is deflected by an electron optical deflector. It is desirable to correct the deflection direction and the deflection amount.
  • the target grid may be CAD data on the design, or the actual die position on the board May be measured and calculated. In the latter case, where the die on the actual substrate is used, in order to generate the target grid, the pitch of the die on the sample in the X-axis and Y-axis directions is detected, and the average value of the detected pitch is calculated. A virtual goal grid. Also, when using CAD data, similar calculations are performed as necessary. The defect detection is performed using the virtual target grid thus obtained.
  • each die forms an evenly spaced grid.
  • FIG. 15 showing a plan view of a wafer 1001 in a case where each die forms an equally-spaced grid, that is, a case where a target grid is an equally-spaced grid.
  • a plurality of dies 1004 are regularly arranged along the X-axis and the Y-axis orthogonal to each other on the surface of the wafer 1001. Have been.
  • the coordinate system in which the dies 1004 are arranged and the coordinate system of the defect inspection device exactly match.
  • a deviation (error) in the rotation direction occurs between the coordinate system in which the dies 1004 are arranged and the coordinate system of the defect inspection apparatus.
  • a displacement of about several tens to several 100 nm may occur as compared with the design value.
  • the pattern defect inspection method according to the present invention enables accurate defect inspection even in such a case.
  • a specific procedure of the pattern defect inspection method according to the present invention will be described.
  • a step of detecting a virtual pitch using an optical microscope or an electron microscope is executed.
  • the pitch between adjacent dies on the enlarged image of the sample is determined by the die size.
  • the actual pitch may differ greatly only from the pitch of the dies that are in contact with the P. Therefore, in order to improve the accuracy, the pitch between dies that are separated from each other is also detected. May be calculated. This averaging process will be described later. Instead of detecting the actual pitch between adjacent dies, the pitch may be obtained using reference data such as CAD data for design.
  • the die pitch of the die was used to determine the pitch of the die, but it is not limited to this. For example, if a specific pattern in the die is selected such that there is no similar pattern in the vicinity that would cause pattern matching in the same field of view by mistake, the dicing line As in the case, the pitch of the die can be detected. The selection of the characteristic pattern is performed based on, for example, the pattern data of the die.
  • “virtual equally-spaced grids” are generated.
  • the dies are arranged at equal intervals in both the X-axis and Y-axis directions.
  • the X-axis and the Y-axis of the equidistant grid are generally perpendicular to each other.
  • the “virtual equally-spaced daid” is generated using the virtual pitches in the X-axis direction and the Y-axis direction detected as described above. In this embodiment, as shown in FIG.
  • the “virtual equally-spaced grid” has a longer pitch in the Y-axis direction than in the X-axis direction. Become a grid.
  • the “virtual equally-spaced grid” formed in this way is used to arrange dies that are actually formed at unequally spaced intervals at equal intervals, or to perform inspections due to manufacturing errors of the defect inspection device. This is a virtual grid that serves as a target for correcting die misalignment that occurs in images. Therefore, a slight deviation may occur between the actual die position and the die position in the “virtual equidistant grid”.
  • FIG. 16 illustrates a “virtual equally-spaced grid” in which the pitch in the X-axis direction is P and the pitch in the Y-axis direction is P.
  • the “virtual equally-spaced grid” may be a parallelogram as shown in FIG.
  • the position coordinates of the die are detected by using an electron beam to detect the marks on the straight lines of the die schedline 1005 and 1006 parallel to the X-axis and the Y-axis, respectively.
  • the dicing lines 1005 and 1006f to be detected are shown on the left and lower sides of each of the dicing lines 1005 and 1006f in FIG.
  • a straight line 1005a in the X-axis direction of the die 1004 and a straight line 1005 in the Y-axis direction are obtained.
  • the position coordinate of the corner of the die 1004 (for example, the lower left corner of the die 1004) is detected from the intersection force of these straight lines 1005a and 1006a.
  • the position coordinate value of the corner of each die 1004 detected in this way is stored in a predetermined memory.
  • the position coordinates of the corners of each die 1004 may be obtained for all the dies on the wafer 1001, or about half of the dies are detected, and the remaining dies are calculated using the position coordinates of nearby dies. May be used for interpolation.
  • variables a, b, and c can be obtained.
  • An interpolation equation can be derived.
  • FIG. 16 is a conceptual diagram illustrating a case in which dies are formed with misalignment in the course of lithography, in which “virtual equidistant grids” are indicated by dotted lines, and each die is indicated by a solid rectangle. I have.
  • the coordinate system of the generated “virtual equally-spaced grid” must correspond to the coordinate system of the die on the wafer at any position.
  • the lower left die 1004a corresponds to the “virtual equidistant grid”
  • the center die 1004b of the nine dies corresponds to the “virtual equidistant grid” in the X-axis direction.
  • the position error is the difference between the “virtual equally-spaced grid” and the corresponding position coordinates of the displaced die, and in FIG. 16, the reference coordinates (X, y) and the center Die 1004b seat
  • the position error ⁇ and Ay are calculated with respect to the standard (X, y ).
  • the calculated position errors ⁇ and Ay are stored in a predetermined memory.
  • an actual defect inspection is performed.
  • the surface of the die 1004 is irradiated with an electron beam and scanned, and secondary electrons emitted from the die 1004 are detected and imaged to perform defect inspection.
  • the wafer 1001 is placed on the stage and moves along a preset path, if no correction is performed, when the die 1004b having the positional deviation is run, the obtained image is also obtained. A position shift occurs.
  • the deflection direction and the deflection amount of the electron beam are corrected in such a manner as to perform the above.
  • die position correction ( ⁇ , ⁇ ), ( ⁇ , ⁇ ) ( ⁇ , ⁇ )
  • Such deflection correction is performed using a deflector of an electron optical system.
  • the irradiation position of the electron beam is corrected by the deflectors 729a and 730a, and the position of the electrons incident on the MCP 762a is corrected by the deflector 742a.
  • the deflector 742a is corrected by the deflector 742a.
  • the wafer 1001 As the displacement in the X-axis direction, in addition to the fixed one generated during the lithographic process as described above, if there is distortion in the stage guide (not shown), the wafer 1001 is placed. There may be a displacement that occurs when the stage moves. If the stage guide is distorted, the movement of the stage may not be exactly parallel to the Y axis and may slightly shift in the X axis direction. Therefore, since the actual position of the stage is constantly monitored by the laser interferometer, the difference between this actual stage position and the set stage position is detected, and a deflector is used to reduce this difference to zero. It is desirable to correct the deflection amount of the electron beam.
  • the displacement of the die caused during the lithographic process can be corrected in the same manner as described above for the displacement in the X-axis direction.
  • the deviation from the theoretical value that occurs when the stage moves can be corrected as follows. For example, assuming that the electron optics operates at a clock frequency of 100 MHz per pixel, the wafer can be run at a rate of 100 pixels Z xs. Actually, when irradiating an electron beam to a wafer, the die that does not irradiate the entire die with an electron beam is divided into a plurality of elongated regions, and scanning is performed with this region as a unit.
  • the average speed of the stage in the Y-axis direction is set to this value, the relationship between the time and the theoretical position of the stage is calculated, and the calculation result is stored in the memory.
  • the actual position of the stage is constantly monitored using a laser interferometer. For this reason, by comparing the calculated stage position with the actual stage position, the stage speed unevenness can be detected, so that the position shift due to the stage ⁇ -axis direction speed unevenness is detected. In such a case, feedback or feed forward is performed to the deflector of the electron optical system so as to eliminate the positional deviation.
  • a "virtual equally-spaced grid" is obtained, and after the position coordinates of each die on the wafer are corrected, defect determination is performed.
  • images of a plurality of regions predicted to contain the same pattern are extracted from the obtained images. For example, an image of a plurality of stripes 1002 shown in FIG.
  • the extracted images are compared with each other, and if the images do not match exactly, it is determined that there is a defect. The specific determination method will be described later.
  • the pitch of the dies 1004 in the central region of the wafer 1001 is detected.
  • the interval between the angles a and b in (A) of FIG. 10 is detected. This interval is referred to as a first pitch P. continue,
  • the first pitch P is integer-multiplied by a predetermined integer, and the result is set as a second pitch P. For example,
  • P 4P.
  • the pitch between the dies is detected, and this is set as a third pitch P.
  • the distance between points c and d is the third pitch P.
  • the virtual die pitch can be obtained.
  • each die 1004 is virtually divided into a plurality of stripes 1002 and 1003 parallel to the Y axis.
  • This stripe is a unit of scanning in the X-axis direction by the electron beam E when performing a defect inspection.
  • the width of one stripe in the X-axis direction is set to, for example, 2048 pixels, and if one pixel corresponds to 100 nm (0.11 ⁇ ) on the wafer, the width of the stripe is 204 ⁇ . / im.
  • the dimension of the die 1004 in the X-axis direction is not necessarily an integral multiple of the stripe width 204.8 ⁇ m, but the width of the stripe 1002 is set so that the integral multiple of the stripe width is the width of the die 1004 in the X-axis direction. May be set, or the last stripe 1003 may be narrow. However, when the die is divided into stripes, the pattern at the same position of all the dies 1004 is included in the corresponding same stripe.
  • the defect determination described above will be described in detail here.
  • the images of the mutually corresponding stripes 1002 of different dies 1004 are compared. This is because if there is no defect, the corresponding stripes are expected to contain the same pattern as each other, and if there is a defect, the comparison results will be inconsistent.
  • FIG. 15A when performing defect detection by comparing patterns in stripes 1002 of adjacent dies on the same wafer, the stage is moved continuously in the Y-axis direction. Observe the two patterns to be compared continuously, and complete the inspection of the entire wafer in a short time.
  • CA information may be used. This is because the same pattern as that included in the stripe 1002 is generated from the CAD information that generates the pattern included in the stripe 1002 as a reference image on the memory by calculation, and this reference image and the pattern on the wafer (Fig. In (A) of Fig. 15, the defect is detected by comparing with the image of the stripe 1002) and calculating the difference.
  • the method of comparing with the reference image from the CA weather report includes a step of converting the vector data of the CA news into raster data as image data, storing the raster data in a memory, and generating a reference image;
  • a part that is expected to be different from the reference image but is not a defect, for example, a corner portion of the pattern is converted into an image in order to prevent erroneous detection and is reflected on the reference image.
  • the method includes a step of converting the density to an expected density when an inspection image is acquired from a wafer, and a step of matching the position of the reference image with the inspection image acquired from the wafer.
  • Either defect detection method can detect pattern shape defects and particles, but since images on the wafer are acquired using electron beams, voltage contrast information can also be obtained, and electrical defects can also be detected. be able to.
  • the pattern defect inspection method described above uses the electro-optical device 70 according to the embodiment shown in Fig. 7 already described, or the electro-optical device employing another type of electro-optical system shown in Fig. 19.
  • the present invention can also be executed in a defect inspection apparatus including the apparatus.
  • FIG. 19A is a diagram schematically showing a configuration of a fourth embodiment of the electron optical device used in the defect inspection device according to the present invention at 70c. It uses a multi-optical axis multi-beam electron optical system.
  • the electron optical device 70c is composed of an electron gun 1061, a Manolech aperture plate 1062, a condenser lens 1063, an objective lens 1065, and an EXB component.
  • a separator 1064, a secondary electron image magnifying lens 14067, an MCP 1068, and a multi-anode 1069 are provided, and a plurality of these components are arranged on a straight line so as to be arranged with respect to the wafer 1066.
  • the optical axis of each set of primary optics will be set to the same position on the corresponding stripe on a different die.
  • the optical components of the primary optical system represented by the objective lens 1065 and the anode 1061a are, as shown in Fig. 19B, an optical element on a single ceramic substrate having a thermal expansion coefficient of almost zero.
  • a plurality of optical elements are configured by providing a plurality of holes serving as axes and performing alignment with the knock holes 1071.
  • the inside of the electrode hole 1072 and the vicinity of the optical axis are selectively coated with metal to prevent static electricity, and an independent voltage can be applied around each electrode hole 1072.
  • the anode 1061a is also coated with a metal coating around each anode hole 1074 so that a voltage can be applied independently.
  • the anode current can be adjusted for each anode hole.
  • the spacing between these anode holes 1074 is set to exactly correspond to an integer multiple of the pitch of the die on the wafer 1066 in the X-axis direction, so that the electron beam passing through each anode hole corresponds to a different die.
  • the same position of the stripe to be inspected can be inspected.
  • the position of the anode 1061a can be adjusted by rotating the anode 1061a around an axis passing through the center of the wafer 1066.
  • the deflector Feedforward correction is performed on the 1075 and the electrostatic deflecting unit 1076 in the EXB separator 1064, and as a result, a two-dimensional image of the area where the same pattern is formed on different dies is always obtained. Even if other factors such as beam position drift occur, even if the previously acquired image is displaced up to ⁇ 2 pixels in the X-axis direction and Y-axis direction, there are 24 images with no displacement : 25 images are compared with the acquired images one after another, so there is no problem.
  • FIG. 20 schematically shows the configuration of a fifth embodiment of the electron optical device used in the defect inspection device according to the present invention at 70d, and the sample to be inspected contains electrons. A stencil mask that transmits.
  • FIG. 20 an electron beam is emitted along an axis Z from an electron gun 1084 provided with a force sword 1081, a Wehnelt 1082, and an anode 1083 made of LaB6.
  • the emitted electron beam is irradiated to a rectangular forming opening 1085, and the opening 1085 is formed so that the shape in a cross section perpendicular to the axis Z becomes rectangular.
  • the electron beam formed into a rectangle after passing through the forming opening 1085 is focused by the condenser lens 1086 to form a crossover at the NA opening 1087.
  • the electron beam having passed through the NA aperture 1087 is irradiated with an irradiation lens 1088 by forming a rectangular image on a stencil 'mask 1089 which is a test mask.
  • the stencil mask 1089 is fixed to the stage 1091 by chucking the periphery thereof by an electrostatic chuck 1090.
  • a laser length measuring machine equipped with a fixed mirror 1092, a moving mirror 1093, a fixed half mirror 1094, a laser oscillator 1095, and a laser receiver 1096 is provided. Move with 1091.
  • the laser length measuring device determines the time required for the laser light emitted from the laser oscillator 1095 to be reflected by the fixed mirror 1092 and returned to the laser receiver 1096, and for the laser light emitted from the laser oscillator 1095 to be a moving mirror.
  • the position of the stage 1091 is determined based on the difference from the time when the light is reflected by 1093 and returns to the laser receiver 1096. Using this measurement result, the position of the stage 1091 is accurately measured, and the stencil mask 1089 is registered. This will be described later.
  • the electron beam emitted from the electron gun 1084 passes through the stencil mask 1089, forms an image on the main surface of the objective lens 1097, is enlarged, and is further enlarged by the two-stage magnifying lenses 1098, 1099. It is enlarged and enters the scintillator 1100.
  • the scintillator 1100 converts the incident electron beam into a corresponding light image.
  • the converted light image is formed on the optical lens 1101, and then converted into an electric signal by the TDI detector 1102. By processing this electric signal, it is possible to acquire a two-dimensional image of one inspection region of the stencil 'mask 1089.
  • the above processing is performed on the test area in one row of the stencil 'mask 1089 by moving the stage 1091 in one direction while emitting an electron beam from the electron gun 1084. Then The stage 1091 is moved, and the area to be inspected in the next row is irradiated with an electron beam to acquire a two-dimensional image from the TDI detector 1102. Thereafter, the same procedure is repeated to acquire a two-dimensional image for the entire region to be inspected, and the acquired two-dimensional images are sequentially processed, whereby defect inspection of the stencil 'mask 1089 can be performed.
  • a two-dimensional image is first acquired by putting two clearly spaced patterns on the stencil 'mask 1089 into one field of view. After obtaining the two-dimensional image in this way, the magnification at which the test area of the stencil's mask 1089 appears in the two-dimensional image is measured and stored. Using the stored magnification, the above interval, and the number of pixels existing in this interval, a dimension (nmZ pixel) of one pixel in the stencil 'mask 1089 is calculated, and this is also stored. Keep it.
  • the stage 1091 is moved to acquire two-dimensional images of two different patterns on the stencil mask 1089, and the position of the stage 1091 at the time when each two-dimensional image is acquired is described above. Measured by the laser length measuring machine of the company and memorized. As a result, the posture of the stencil 'mask 1089 and its reference position are accurately determined from the acquired two-dimensional image, the position of each stage, and the above dimensions. Thus, the registration is completed.
  • the TDI detector 1102 moves the stage 1091 continuously in one direction along the pattern of the stencil mask 1089, and moves the stage 1091 along with the movement of the stage 1091.
  • a two-dimensional image with an improved S / N ratio is obtained.
  • the TDI detector 1102 compares the acquired two-dimensional image with a reference pattern stored in a memory (not shown) of the computer to detect a defect existing in the pattern of the stencil mask 1089. It can be carried out.
  • the magnification is out of tolerance.
  • the magnification may be adjusted to an allowable value by performing a zoom operation on the magnifying lenses 1098 and 1099.
  • FIG. 21 is a diagram schematically showing a configuration of a sixth embodiment of the electron optical device used in the defect inspection device according to the present invention at 70e.
  • the inspection target is a non-permeable wafer.
  • the configuration of the sixth embodiment will be described in combination with the detection procedure executed in this apparatus.
  • the electron beam emitted from the electron gun 111 operated by the thermoelectron emission output source under the space charge limiting condition is converted into a condenser lens 113 arranged along the optical axis 112 of the primary optical system.
  • An illumination lens 114, a beam shaping aperture (not shown), and an NA aperture (not shown) to form a rectangle and enter the EXB separator 1115.
  • the traveling direction of the electron beam is bent in the direction perpendicular to the wafer 1116 from the optical axis 1112 and is directed in the opposite direction, passes through the objective lens ⁇ ⁇ ⁇ ⁇ ⁇ tablet comprising the first objective lens 1117 and the second objective lens 1118 ⁇ ⁇ ⁇ the wafer, and Irradiate 1116.
  • the wafer 1116 is fixed to a stage (not shown), and the position of the stage is observed by a laser length measuring machine (not shown).
  • the secondary electrons generated from the wafer 1116 by the irradiation of the electron beam are converted into a projection optical system composed of a first objective lens 1117, a second objective lens 1118, and three magnifying lenses 1119, 1120, and 1121. It is expanded by.
  • the expanded electron beam is detected by a TDI detector 1122 having sensitivity to the electron beam, and is converted into a corresponding electric signal.
  • This electric signal is supplied to an image forming circuit 1123, and a two-dimensional image corresponding to the secondary electrons generated from the wafer 1116 is formed. This two-dimensional image is stored in the pattern 'memory 1124.
  • the coordinate system takes the optical axis 1125 of the secondary optical system on the z-axis, takes the X-axis perpendicular to the z-axis and parallel to the paper of Fig. 21, and takes the y-axis perpendicular to these z-axis and X-axis.
  • the electron beam emitted from the electron gun 1111 is formed into a rectangular shape as described above, and a rectangular region 1131 elongated in the y-axis direction on the surface of the wafer 1116 (shown by oblique lines in FIG. 22A). Part).
  • the area 1131 is moved in the X-axis direction by a distance corresponding to the stripe width 1132 of the pattern formed on the wafer 1116 as the deflectors 1126 and 1127 deflect the electron beam.
  • a long section (scanning) in the X-axis direction of the surface of the wafer 1116 is obtained.
  • 1133 is scanned while the wafer 1116 is continuously moved with the stage in the y-direction. In this manner, one stripe of the wafer 1116 is scanned in the x and y directions, and an image of secondary electrons generated from the wafer 1116 is acquired along with the scanning, and the scanning of the stripe is completed.
  • the stage is moved by one stripe width in the X direction, the next stripe is run, and an image is acquired.
  • the concentration distribution on the surface of the wafer 1116 is observed.
  • FIG. 22B an image of a scanning field of view 1135 including an appropriate pattern 1134 is acquired in the plane of the wafer 1116, and the density distribution in the X-axis direction is measured.
  • the concentration distribution 1136 shown in FIG. 22C is measured. Therefore, the distance ⁇ ⁇ ⁇ ⁇ ⁇ in the running visual field 1135 until the concentration rises from 12% to 88% is calculated. The calculation of the distance ⁇ is performed every time the voltage V applied to the objective lens 1118 is changed.
  • a two-dimensional image is acquired by putting two patterns with clear intervals on the sample into one field of view. After obtaining the two-dimensional image in this way, the magnification at which the inspected region of the wafer 1116 appears in the two-dimensional image is measured and stored. Using the stored magnification, the interval, and the number of pixels existing in the interval, a dimension (nm / pixel) of one pixel on the wafer 1116 is calculated and stored. .
  • the stage is moved to acquire two-dimensional images of two different patterns on the wafer 1116, and the position of the stage at the time when each two-dimensional image is acquired is measured by the laser measurement. Measure and store by long machine. As a result, the posture of the wafer 1116 and its reference position are accurately determined from the acquired two-dimensional image, the position of each stage, and the above dimensions. Registration is completed in this way. You.
  • the magnification may be adjusted to the allowable value by zooming the magnifying lenses 1120 and 1121.
  • the TDI detector 1122 inspects a defect in the pattern of the wafer 1116 by comparing the acquired two-dimensional image with a reference pattern stored in a computer memory (not shown).
  • a two-dimensional image of the wafer 1116 is obtained in this manner, in each scanning field, that is, at each position of the stage, the excitation voltage of the objective lens 1118 is set to the voltage value V (min) previously obtained for the position. Set.
  • V (min) the mapping optical system
  • the two-dimensional image can be acquired by matching the lens condition with the focusing condition.
  • the fifth and sixth embodiments of the present invention are not limited to those described above.
  • the force described in the procedure for setting the lens to match the focusing condition when acquiring a two-dimensional image from a non-transparent sample such as a wafer. S Even if the sample is transmissive like a stencil mask, the same procedure can be performed using the electro-optical device with the configuration shown in Fig. 20 to match the focusing conditions. It is possible to set the lens.
  • defect inspection equipment using an electron beam is expensive and has a lower throughput than other process equipment.
  • Film formation, or CMP (chemical mechanical polishing) flattening process, etc.) and in the wiring process, a finer wiring process portion, that is, one or two processes of the wiring process and before.
  • CMP chemical mechanical polishing
  • the wafer to be inspected is positioned on an ultra-precision XY stage through an atmospheric transfer system and a vacuum transfer system, and then fixed by an electrostatic chuck mechanism or the like. Thereafter, defect inspection is performed according to the procedure in FIG. Done.
  • the position of each die is checked and the height of each location is detected and stored as needed by an optical microscope (step 1141).
  • Optical microscopes are also used to acquire optical microscope images of places where defects are desired to be observed and to compare them with electron beam images.
  • input the recipe information according to the type of wafer (which post-process force, the wafer size is 200 mm, 300 mm force, etc.) into the equipment (step 1142).
  • defect detection is usually performed in real time while acquiring images. Inspection is performed by a high-speed information processing system equipped with a cell-to-cell comparison and die-comparison algorithm, and the results are output to a CRT or the like and stored in memory as needed.
  • Defects include particle defects, shape abnormalities (pattern defects), and electrical (disconnections and conduction defects such as wiring or vias) defects. These defects can be distinguished, the size of the defects, the killer defects, and the like. Automatic classification in real time (such as serious defects that make the chip unusable) is also possible. Detection of electrical defects is achieved by detecting contrast anomalies. For example, a place with poor conduction is usually charged positively by electron beam irradiation (about 500 eV), and the contrast is lowered, so that it can be distinguished from a normal place.
  • the electron beam irradiating means in this case is a low-potential (low-energy) electron beam generating means (thermo-electron generation, UV / Photoelectron).
  • This low potential (energy) electron beam is generated and irradiated before irradiating the inspection target area with the inspection electron beam.
  • defect detection can be performed by applying a positive or negative potential to a sample such as a wafer with respect to the reference potential (due to the difference in the ease of flow depending on the forward or reverse direction of the element). Can be done. It can also be used for line width measurement equipment and alignment accuracy measurement.
  • a wafer is loaded on a stage from a transfer mechanism.
  • a plurality of wafers for example, 25 wafers
  • one or more wafers are taken out one by one or at the same time and placed on a stage of a defect inspection apparatus. Since the defect inspection apparatus is installed in the housing in a vacuum state, the work of taking out the wafer to be tested from the cassette holder and mounting it on the stage and the work of taking out the inspected wafer from the housing must be performed under air. A device that interfaces between the vacuum and the vacuum is required.
  • the wafer taken out of the cassette holder 1 is transferred to the mini-environment device, cleaned and then loaded into the loading chamber 1. Since the loading chamber is connected to the housing via the shutter, when the wafer is loaded into the loading chamber, the inside of the loading chamber is evacuated. When the inside of the loading chamber is evacuated, the shutter is opened to connect the loading chamber and the housing, and the inspected wafer is removed from the stage, discharged from the housing, and inspected. The wafer is transferred from the loading chamber to the housing and placed on the stage.
  • step 1152 an alignment operation is performed, and wafer positioning is performed.
  • the X-axis or y- axis of the wafer dies usually does not coincide with the direction of movement of the stage or the scanning direction of the electron beam. Therefore, in order to perform an accurate inspection of the die of the wafer, the wafer is first rotated on the stage so that the axis constituting the die of the wafer coincides with the moving direction of the stage or the scanning direction of the electron beam. Correct the angle shift. This operation is called an alignment.
  • step 1153 for creating a recipe for setting conditions and the like related to inspection is performed. At least one type of recipe is required for each wafer to be inspected, but there may be multiple recipes for one wafer to be inspected to meet multiple inspection conditions. Also, when there are a plurality of wafers to be inspected having the same pattern, a plurality of wafers may be inspected by a kind of recipe. If you want to search with a recipe created in the past, you need to create a recipe before the detection operation. [0187]
  • step 1154 an inspection operation is executed in accordance with the conditions and sequence described in the recipe, and the wafer is inspected.
  • Defect extraction is performed immediately each time a defect is found during the inspection operation.
  • the extracted defects are classified in step 1155, and information such as the position of the extracted defects is stored together with the classification information and the image of the defect.
  • Defect information such as the position of the extracted defect is displayed on the operation screen (step 1156).
  • the wafer is ejected (step 1157), the next wafer is carried, and the above-described series of operations is repeated. It should be noted that a path 1158 indicates that it is not necessary to create a recipe immediately before the detection operation when detecting with a recipe created in the past.
  • the inspection operation (step 1154) inspects the wafer according to the conditions and sequence described in the recipe. Defect extraction is performed immediately every time a defect is found during the inspection operation, and the following operations a) to c) are executed almost in parallel.
  • step 1155 Perform defect classification (step 1155), and add the extracted defect information and defect classification information to the result output file.
  • the recipe is a setting file of conditions related to inspection and the like, and can be stored. Equipment settings are made using a recipe at the time of inspection or before inspection, but the conditions related to inspection described in the recipe are: a) Die to be inspected
  • the operator specifies the die to be detected on the die map screen displayed on the operation screen.
  • the die a on the wafer end face, the die b clearly determined to be defective in the previous process are grayed out and deleted from the inspection target, and the remaining die are the inspection target dies. It also has a function of automatically designating an inspection die based on the quality information of the die detected at a distance from the wafer end surface ⁇ in the previous step.
  • the inspection area was obtained by the operator using the optical microscope or the EB microscope with respect to the inspection area setting screen inside the die displayed on the operation screen. Specify with an input device such as a mouse based on the image. In the example of FIG. 26, an area 1161 indicated by a solid line and an area 1162 indicated by a broken line are set.
  • the inspection algorithm is the adjacent die comparison method (die-die inspection), and the details of the detection conditions and observation conditions for this area are set separately.
  • the inspection algorithm is set to the array inspection (inspection), and the details of the detection conditions and observation conditions for this area are set separately. That is, a plurality of inspection areas can be set, and each detection area can have its own detection algorithm and detection sensitivity. Further, the detection areas can be overlapped, and different detection algorithms can be simultaneously processed on the same area.
  • the wafer to be inspected is subdivided into a certain scanning width as shown in FIG.
  • the running width is set so that the ends of the force line sensor determined by the length of the line sensor slightly overlap. This is the continuity between lines when the detected defects are finally integrated. This is to ensure a margin for image alignment when performing a comparative inspection.
  • the overlap amount is about 16 dots for a 2048 dot line sensor.
  • FIGS. 28 (A) and (B) The scanning direction and sequence are schematically shown in FIGS. 28 (A) and (B).
  • the operator can select either the bidirectional operation A for shortening the detection time or the unidirectional operation B from the mechanical restriction. It also has a function to automatically calculate and detect the operation to reduce the running amount based on the setting of the die to be inspected in the recipe.
  • FIG. 29 shows a running example in which the number of the detection dies 1171 is one, and unnecessary running is not performed.
  • the random detection is further classified according to the comparison target as follows.
  • the method generally called the golden template method includes b) the reference die comparison method and c) the card / data comparison method.
  • the reference die comparison method is used, and the reference die is used as the golden template.
  • the cad data comparison method is used as the golden template.
  • Array inspection is applied to inspection of periodic structures.
  • DRAM cells are one example.
  • a reference image as a reference and an image to be inspected are compared, and the difference is extracted as a defect.
  • the reference image and the image to be inspected may be a binarized image or a multi-valued image for improving detection accuracy.
  • the difference between the reference image and the test image is treated as a detected defect.Furthermore, erroneous detection is performed based on difference information such as the difference amount of the detected difference and the total area of pixels having the difference. A secondary determination to prevent this may be made.
  • the comparison between the reference image and the image to be inspected is performed on a structural cycle basis. In other words, it is also possible to compare images in one structural period unit while reading out images acquired collectively by a CCD or the like. Can be compared.
  • FIG. 30 An example of a method of generating a reference image is shown in Fig. 30. Since an example in which comparison is performed in one structural period unit is described here, one structural period unit generation is shown. It is also possible to set the number of periods to n in the same way. As a premise, the detection direction in FIG. Also, the cycle t is tested.
  • the reference periodic image has a period t immediately before the inspection period in each pixel.
  • a defect is extracted by comparing the formed reference cycle image and the image of the inspection cycle t.
  • the inspection period image is generated from the image obtained before the inspection period image acquisition, and the inspection is continued.
  • the random inspection can be applied without being limited to the structure of the die.
  • a reference image serving as a reference is compared with an image to be inspected, and the difference is extracted as a defect.
  • the reference image and the image to be inspected may be a binarized image or a multi-valued image to improve detection accuracy.
  • the difference between the reference image and the image to be inspected is treated as a detected defect.Furthermore, erroneous detection is performed based on difference information such as the difference amount of the detected difference and the total area of pixels having the difference. To prevent this, a secondary determination may be made.
  • the random inspection can be classified according to a method of obtaining a reference image.
  • the operation in each of the obtaining methods will be described.
  • the reference image is a die adjacent to the test image.
  • the defect is determined by comparing two dice adjacent to the test image. This method is based on the following steps a) with the switch 1185 and switch 1186 set to connect to the memory 1181 and the memory 1182 of the image processing device 1183 and the path 1184 of the power shown in Fig. 31 and Fig. 32. — Having i).
  • the operator designates the reference die.
  • the reference die is the die existing on the wafer or
  • the die image is stored before the inspection.
  • the reference die is scanned or transferred, and the image is stored in the memory and used as a reference image.
  • steps a) to h) performed by this method will be described with reference to FIGS. 31 and 32.
  • the reference die is a die image stored before the inspection
  • at least one of the memory 1181 and the memory 1182 of the image processing apparatus stores a reference image which is a die image]; 1188 Set switch 1185 and switch 1186 to connect to power path 1189 d) when the reference die is present on the wafer to be inspected, scanning the reference die and transferring a reference image which is a reference die image to a memory of the image processing apparatus.
  • the reference die image stored in the memory of the image processing apparatus can be either a reference die or a part of the reference die.
  • the inspection is performed while updating a part of the reference die.
  • a reference image is created from the cad's data, which is the output of the semiconductor pattern design process using cad, and is used as a reference image.
  • the reference image may be the entire die or a partial image including the inspection part.
  • This card data is usually vector data, and cannot be used as a reference image unless it is converted into raster data equivalent to image data obtained by a running operation. Therefore, vector data, which is key data, is converted into raster data. This conversion is performed in units of an image scanning width obtained by scanning a die to be inspected at the time of inspection. At this time, conversion is performed on image data that is obtained by running the die to be inspected and has the same relative position on the die. The detection scan and the conversion work are performed in an overlapping manner. [0214] The work of converting vector data to raster data described above includes:
  • At least one of these functions may be added.
  • the detection step by the cad's data comparison method includes the following steps a) to f).
  • the scanning position of the reference die is used as a reference image, the same portion of the die to be inspected is inspected over the entire wafer, and the scanning position of the reference die is changed until the entire die is inspected. Repeating steps a) to e) above.
  • step d correction is made so that the position difference between the two images to be compared is eliminated (positional alignment). Or correct so that the density difference disappears (density alignment). Alternatively, both processes may be performed.
  • the reference die image stored in the memory of the image processing apparatus may be the entire reference die or a part of the reference die.
  • the inspection may be performed while updating a part of the reference die.
  • Focus mapping Figure 36 shows the basic flow of the focus function.
  • a wafer transfer step 1201 including an alignment operation (step 1202)
  • a recipe in which conditions related to inspection and the like are set is created (step 1203).
  • a focus map recipe is included as one of the recipes, and a detection operation and a review operation are performed in an autofocus state according to the focus information set here (step 1204).
  • the wafer is ejected (step 1205).
  • a procedure for creating a focus map recipe and an operation procedure for autofocus will be described.
  • the focus map recipe has an independent input screen, and the operator executes the following steps a) to c) to create a recipe.
  • Step b) a step of setting a die pattern necessary for automatically measuring a focus value (this step can be skipped if the focus value is not automatically measured); c) a coordinate of a focus map coordinate determined in a) above. Step to set the best focus value.
  • the operator can specify an arbitrary die, and it is also possible to make settings so that the operator can select all dies or every n dies. . Further, the operator can select, as an input screen, a diagram schematically representing the die arrangement in the wafer or an image using an actual image.
  • step c) above the operator sets the best focus value manually using the manual switch 1213 in FIG. 37, the focus switch 1212 linked to the voltage value of the focusing electrode, or the automatic focus value setting. You can set the 'automatically select with switch 1214' setting.
  • a line and a space as shown in FIG. 39 are selected as a die pattern necessary for automatically measuring a focus value, a good result can be obtained. Contrast can be measured regardless of the shape if there is a black and white pattern.
  • the best focus value of one point is obtained by performing the above steps a) force and d).
  • the data format at this time is (X, Y, Z), which is a set of the coordinates ⁇ ⁇ ⁇ ⁇ ⁇ for which the focus was obtained and the best focus value ⁇ , and the number of focus map coordinates ( ⁇ , ⁇ , ⁇ ) will exist. This is part of the focus map recipe and is called the force map file.
  • the method of setting the best focus based on the focus map recipe during the inspection operation and the review operation for acquiring an image is performed as follows.
  • position information is further subdivided based on the focus map file 1 created when the focus map recipe was created, and the best focus at this time is obtained by calculation, and a subdivided focus map file 2 is created.
  • This calculation is performed by an interpolation function, and the interpolation function is specified by an operator when a focus map recipe is created by linear interpolation, spline interpolation, or the like.
  • the ⁇ position of the stage is monitored, and the voltage of the focusing electrode is changed to a focus value described in the focus map file 2 that is suitable for the current ⁇ position.
  • FIG. 41 shows an example of a production line using the defect inspection device according to the present invention.
  • the ID number can be recognized by reading the ID number.
  • the defect inspection device 1221 can be connected to a production line network 'system. Through this network' system 1223, the production line control computer 1224 which controls the production line, Information such as the lot number of the wafer to be inspected and the inspection result can be sent to the manufacturing apparatus 1225 and another inspection apparatus.
  • the manufacturing equipment includes lithography-related equipment such as an exposure apparatus, a coater, a curing apparatus, a developer, etc., or an etching apparatus, a film forming apparatus such as a sputtering apparatus and a CVD apparatus, a CMP apparatus, various measuring apparatuses, and other inspection apparatuses. included.
  • any detected electron can be used as long as it has information on the surface of the substrate.For example, by forming a reverse electric field near the substrate, it does not directly collide with the substrate, but appreciates near the substrate. It may be a mirror electron (also called a reflected electron in a broad sense), or a transmitted electron transmitted through the substrate. In particular, when mirror electrons are used, since the electrons do not directly collide with the sample, there is an advantage that the effect of charge-up is extremely small.
  • a negative potential lower than the acceleration voltage is applied to the wafer, and a reverse electric field is formed near the wafer.
  • This negative potential is preferably set to a value at which most of the electron beam is returned near the wafer surface.
  • the potential may be set to be lower than 0.5 to 1.0 V or more than the acceleration voltage of the electron gun.
  • the accelerating voltage is ⁇ 4 kV
  • 0005 kV is preferably 40020 kV, and more desirably _4. 0005 kV 1-4.
  • the voltage applied to the wafer is 0—4 kV, preferably 0—3.9 kV, more preferably 0—3.9 kV. It is preferable to set to 3kV.
  • Light rays and X-rays may also be used. This can be sufficiently applied to alignment, secondary system, die comparison, and the like in the defect inspection apparatus according to the present invention.
  • the electron or secondary beam detected by the defect inspection device may be any type as long as information on the sample surface is obtained. Not only secondary electrons, reflected electrons (also called mirror electrons), and backscattered electrons, but also reflected electrons that are reflected near the sample without causing a primary electron beam to collide with the sample. It is.
  • the primary beam can be a light beam as well as an electron. If the primary beam is a ray, the secondary beam is also a ray, and if it is a UV ray, the secondary beam is an electron.
  • the defect inspection apparatus according to the present invention described above is effective when used in, for example, the inspection process in the semiconductor device manufacturing method shown in FIGS. 42 and 43.
  • a method for manufacturing a semiconductor device will be described with reference to FIGS.
  • the manufacturing method shown in FIG. 42 includes the following main steps, and each main step includes several sub-steps.
  • Wafer 'processing process PI 3 which performs the necessary processing for wafer PI 2
  • Chip assembling process P14 in which chips P15 formed on wafer P12 are cut out one by one to enable operation
  • Chip assembling process A chip inspection process P16 in which a chip P15 produced in P14 is inspected and a chip that passes the inspection is used as a product P17.
  • Processing step P13 includes the following steps.
  • a thin film forming step of forming a dielectric thin film to be an insulating layer or a metal thin film to form a wiring portion or an electrode portion using CVD, sputtering, or the like);
  • the wafer 'processing step P13 is repeatedly performed for the required number of layers, and a product (semiconductor device) P17 that operates as designed is manufactured.
  • the lithography step P23 is the core of the processing step P13 of Ueno in Fig. 42, and Fig. 43 shows the steps performed in the lithography step P23. That is, the lithography process P23
  • the defect inspection apparatus When the defect inspection apparatus according to the present invention is used for the chip inspection process P16 to perform the defect inspection, even a semiconductor device having a fine pattern can be inspected with high throughput, and 100% inspection can be performed. If possible, it will increase the yield of products that can be used, and will prevent the shipment of defective products. Industrial applicability
  • the electron beam emitted from the sample ie, secondary electrons, reflected electrons, backscattered electrons, transmitted electrons, etc.
  • the electron beam emitted from the sample is also corrected by the deflector at the appropriate position when It is possible to form an image of a desired area in a short time, and the generated images are arranged on a virtual equally-spaced grid, so that the accuracy of defect inspection can be improved.

Landscapes

  • Engineering & Computer Science (AREA)
  • Chemical & Material Sciences (AREA)
  • Analytical Chemistry (AREA)
  • Quality & Reliability (AREA)
  • Computer Vision & Pattern Recognition (AREA)
  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Theoretical Computer Science (AREA)
  • Testing Or Measuring Of Semiconductors Or The Like (AREA)
  • Analysing Materials By The Use Of Radiation (AREA)
  • Length-Measuring Devices Using Wave Or Particle Radiation (AREA)

Abstract

  チップが形成された基板の表面を、ビームを用いて検査する基板表面検査におけるチップの位置合わせ方法である。この方法は、前記チップが被検査視野内に位置するように前記基板を配置するステップと、前記チップが前記被検査視野内に位置するときの検出倍率を測定するステップと、測定された前記検出倍率に基づいて、前記チップの位置ずれの距離を算出するステップと、算出された位置ずれに基づいて、前記チップの位置を補正するステップと、を含むことを特徴とする。

Description

明 細 書
試料検査装置及び方法並びに該試料検査装置及び方法を用いたデバ イス製造方法
技術分野
[0001] 本発明は、チップの位置合わせ方法、その方法を用いた試料検查装置及び方法 並びに該装置及び方法を用いたデバイス製造方法に関し、詳しくは、 0. l z m以下 のパターンを有するステンシル'マスク、ウェハ等の試料の表面に形成されたデバイ スパターンの欠陥検査等を高精度、高信頼性且つ高解像度で、しかも高いスループ ットで行う位置合わせ方法、その位置合わせ方法を用いた試料検査装置及び方法 並びに該試料検査装置及び方法を用いて試料の検査を行う工程を含むデバイス製 造方法に関する。
背景技術
[0002] 試料の欠陥を検查する装置は、電子線を検查対象であるウェハ等の試料に照射 することにより、試料の被検查面に形成されたデバイスパターンに関連する情報を有 する電子を発生させ、この発生された電子を用いてデバイスパターンの情報を画像 化し、得られた画像を所定の検查プログラムにしたがって検査するものである。この検 查の結果の信頼度を高めるには、電子の照射により試料面のデバイスパターンから 高精度の情報が得られることが必要である。そのための一つの手段が、試料を載置 して X軸方向及びそれに直交する Y軸方向に移動させるステージの X軸方向及び Y 軸方向でのレジストレーシヨンと二次電子光学系の軸方向に平行な z軸方向でのフォ 一カス調整である。
[0003] 従来から、試料、例えばウェハの被検査面から相互に対応する 2つの領域の画像 を生成し、これら相互に対応する一方と他方の 2つの画像から欠陥を検査する場合、 一方の画像を + 1ピクセル, + 2ピクセル, _1ピクセル, _2ピクセルづっ X軸方向及 び Y軸方向にそれぞれ位置ずれさせた画像を生成し、これらの位置ずれさせた画像 24枚及び位置ずれ無しの画像 1枚の合計 25枚の画像と他方の画像とを比較する方 法が用いられており、これらの画像形成には、一本の電子線を用いた欠陥検查装置 が実用化されている。
[0004] また、スループットを向上させるために、マルチビームを用いて試料の欠陥検査を 行う検査システムも提案されている (例えば、特許文献 1、非特許文献 1参照)。更に また、長方形ビームを照射し、照射点から発せられた電子線を写像光学系で拡大し て検出する方法は公知である(例えば下記特許文献 2)。
これらのシステムは、複数の電子ビームで同時に試料の複数の領域を走査して欠陥 検查を行うので、理論的には電子ビームの数だけスループットが向上することになる 特許文献 1 :米国特許第 5, 892, 224号明細書
特許文献 2:特開平 7 - 24939号公報
非特許文献 1 : B. Lischke, Japanese Journal of Applied Physics, Vol.28, No. 10, p2 058。
発明の開示
発明が解決しょうとする課題
[0005] し力、しながら、上記の従来のパターン欠陥の検查装置には、
(1)試料を載置して X軸方向及びそれに直交する Y軸方向に移動させるステージが 設けられている力 このステージをガイドするステージガイドに歪みが生じていたり、 X 軸方向と Y軸方向のステージガイドが正確に直交していなかったりする場合があるた め、ステージが理想的な軌跡上を移動しないこと、
(2)試料をステージに載置する際に、試料の X— Y座標とステージの X— Y座標とがー 致せず、回転方向にぉレ、て誤差が生じてレ、る場合があること、
(3)試料の位置を検出するためのレーザ干渉計に誤差が生じる場合があること、
(4)試料の中には、リソグラフイエ程において設計上の位置からずれてダイが形成さ れてしまうものがあること、
(4)ステージの連続移動中に速度むらが生じる場合があること、
(5)電子線による照射によって試料がチャージアップを起こし、得られる画像に歪み などが生じる場合があること、
等のために正確な検査が行レ、得なレ、とレ、う問題が生じ得る。 [0006] 例えば、上記の種々の誤差を何ら補正しない場合には、得られる画像が理論値上 の位置から ± 2ピクセル以上ずれてしまうこともある。仮に、これらのずれが X軸方向 及び Y軸方向に ± 3ピクセルづっ生じる可能性があるとすると、欠陥検査の正確性を 確保するために、比較用に生成しなければならない画像数が 7 X 7 = 49枚も必要と なる。この結果、検査に必要なメモリや比較回路を増やさなければならないため、欠 陥検査の速度が画像取得に追いつかないば力、りでなぐ高スループットの欠陥検查 が行えないとレ、う不都合を生じてレ、た。
[0007] カロえて、従来からの試料等の試料の欠陥検查では、上記のように、パターン検査の ための二次元画像取得に先立って、 XY方向のレジストレーシヨンしか行われておら ず、試料面の凹凸を配慮することはなかった。この理由力 も、精度の良い画像信号 を得ることができなかった。
[0008] 例えば、試料等の試料の欠陥を検查するために二次元画像を取得する装置として 知られている、写像光学系を用いた欠陥検査装置においては、二次電子像の拡大 倍率が時間的に或いは温度等の環境の変化と共に大きく変動するという問題があつ た。更に、このような写像光学系においては、焦点深度が浅いために試料面に凹凸 があると、二次元画像の解像度が低下するという問題もあった。
[0009] また、従来の欠陥検査装置においては、写像投影光学系の拡大率がしばしば変動 するため、正確な欠陥検査を行うことができないという問題点があるばかりでなぐマ ルチビーム光学系の走査感度を正確に測定する必要性については、これまで注目さ れておらず、これについて開示した文献は見当たらない。
[0010] 更に、シングルビームを用いる SEMの場合は、ビームは 1本で検出器は 1個である 力、ら信号の濃度は試料の情報を 100%含んでいるのでパターンマッチングのみ行え ば欠陥検出が可能であが、マルチビームの場合は、マルチビームの各ビーム間でビ ーム電流値は何%かはばらつきがあり、更に検出感度も各ビーム間で差があり、信号 の濃度は必ずしも試料の情報ば力、りではない。また、写像光学系を用いた欠陥検出 方法にあっても視野の端と光軸近くとで、同じ試料のパターン部であっても濃度に差 が生じ、欠陥検出を行った場合に疑似欠陥が多発する問題があった。
[0011] 本発明は上記の課題に鑑みて提案されたものであり、本発明の第 1の目的は、写 像投影型の試料検査装置において矩形ビームを使用する場合の倍率の測定を行つ た後にァライメントを行う位置合わせ方法を提供することである。
[0012] 本発明の第 2の目的は、マルチビーム型の試料検査装置においてマルチビームを 使用する場合の走査感度の測定を行った後にァライメントを行う位置合わせ方法を 提供することである。
[0013] 本発明の第 3の目的は、こうした位置合わせ方法を用いて欠陥検査を行う欠陥検 查方法を提供することである。
[0014] 本発明の第 4の目的は、欠陥検查装置の製作誤差 (ステージガイドの歪み、ステー ジガイドの直交誤差)に関する問題、ステージの移動の際の位置決めに関する誤差 や試料上のダイが理論値に従った理想的な座標に形成されていない場合、更には 試料の移動中の速度むら等が生じる場合にも、精度よく欠陥検査を行うことができる パターン検査方法及び装置を提供することにある。
[0015] 本発明の第 5の目的は、写像光学系の拡大倍率が変動しても、また、試料面に凹 凸があっても、高精度、高信頼性且つ高解像度で二次元画像を取得することができ る検査方法及び装置を提供することにある。
[0016] 本発明の第 6の目的は、マルチビームや写像光学系で疑似欠陥が発生しない欠陥 検査方法を提供することにある。
[0017] 本発明の第 7の目的は、上記の検査方法及び装置を用いて欠陥検査を行うことに より、高い歩留まりが期待できるデバイス製造方法を提供することにある。
課題を解決するための手段
[0018] 上記の目的を達成するために、請求項 1の発明によれば、
チップが形成された基板の表面を、ビームを用いて検查する基板表面検查におけ るチップの位置合わせ方法であって、
前記チップが被検査視野内に位置するように前記基板を配置- 前記チップが前記被検査視野内に位置するときの検出倍率を測定す; 測定された前記検出倍率に基づいて、前記チップの位置ずれの距離を算出するス テツプと、
算出された位置ずれに基づいて、前記チップの位置を補正するステップと、 を含むことを特徴とする、チップの位置合わせ方法。
[0019] 請求項 2の発明によれば、
請求項 1に記載のチップの位置合わせ方法であって、前記のチップが前記被検査 視野内に位置するときの検出倍率を測定するステップは、
予め実際の大きさのわかってレ、る構造体の画像を取
前記構造体の画像の画素数を求 2
前記構造体の実際の大きさと前記画素数から検出倍率を測定- を含むことを特徴とする位置合わせ方法、が提供される。
[0020] 請求項 3の発明によれば、
請求項 1又は 2に記載のチップの位置合わせ方法であって、検出倍率を測定する 前記ステップ力 前記基板の位置を表す X座標、 y座標及び z座標のうちの任意の 2 つを同時に取得するステップを含むことを特徴とする位置合わせ方法が、提供される
[0021] 請求項 4の発明によれば、
チップが形成された基板の表面を、ビームを用いて検査する基板表面検査方法で あってヽ
前記チップが被検査視野内に位置するように前記基板を配置- 前記チップが前記被検査視野内に位置するときの検出倍率を測定す; 測定された前記検出倍率に基づいて、前記チップの位置ずれの距離を算出するス テツプと、
算出された前記距離に基づいて、前記チップの位置を補正するステップと、 前記チップの位置が補正された前記基板の表面に向けて前記ビームを照射するス テツプと、
前記基板の表面の情報を得た反射ビームを検出するステップと、
検出された前記反射ビームから前記基板の表面の画像を取
取得された前記画像を用いて前記基板の検査
を含むことを特徴とする基板表面検査方法、が提供される。
[0022] 請求項 5の発明によれば、 請求項 4に記載の基板表面検査方法であって、前記のチップが前記被検査視野 内に位置するときの検出倍率を測定するステップは、
予め実際の大きさのわかっている構造体の画像を取得するステップと、 前記構造体の画像の画素数を求めるステップと、
前記構造体の実際の大きさと前記画素数から検出倍率を測定するステップと、 を含むことを特徴とする基板表面検査方法、が提供される。
[0023] 請求項 6の発明によれば、
請求項 4又は 5に記載の基板表面検査方法であって、検出倍率を測定する前記ス テツプが、前記基板の位置を表す X座標、 y座標及び z座標のうちの任意の 2つを同 時に取得するステップを含むことを特徴とする検査方法、が提供される。
[0024] 請求項 7の発明によれば、
チップが形成された試料の表面を検查する試料表面検查におけるチップの位置合 わせ方法であって、
(a)試料の 1コーナーのダイシングライン若しくは試料上の特徴的なパターンが欠 陥検査装置の光学系の視野内に入るように、ステージを移動するステップと、
(b)前記試料上の特徴的なパターンにビームを照射し、試料からの反射電子或レ、 は二次電子を検出器で検出し、二次元画像を得るステップと、
(c)前記ステップ bで二次元画像を得たときのステージの座標 (Xc、 Yc)を記憶する
(d)前記視野内で、ステージを一定の距離だけ移動して、前記特徴的なパターンを 移動させるステップと、
(e)前記ステップ bと同じ操作を行い、前記移動した位置での前記特徴的なパター ンの二次元画像を得るステップと、
(f)前記ステップ eで画像を得たときのステージの座標 (Xf、 Yf)を記憶するステップ と、
(g)前記ステップ bで得た画像の一部と前記ステップ eで得た画像とをパターンマツ チングして、 2つの画像の X方向又は Y方向の位置のずれ(Δ Χピクセル、 Δ Υピクセ ノレ)を算出- (h)前記 cステップで記憶した座標 (Xc、 Yc)と前記ステップ fで記憶した座標 (Xf、 Yf)との差、 (Xf— Xc)又は (Yf— Yc)を算出するステップと、
(i)ピクセル当たりの寸法、(Xf— Xc) / Δ X又は (Yf— Yc) I Δ Y又は写像光学系の 拡大率を算出するステップと、
①ステップ iで算出したピクセル当たりの寸法又は前記写像光学系の拡大率をメモ リに格納するステップと、
(k)該ピクセル当たりの寸法を用いて、ステージの移動距離を算出し、ァライメントを を備えることを特徴とする位置合わせ方法、が提供される。
[0025] 請求項 8の発明によれば、
請求項 7に記載の位置合わせ方法であって、前記ステップ bのビームは一軸方向に 長軸を有した形状であり、他軸方向に偏向器で視野内を走査し、前記走査に同期し て二次光学系の光学パラメータを変化させることを特徴とする位置合わせ方法、が提 供される。
[0026] 請求項 9の発明によれば、
チップが形成された基板の表面を、ビームを用いて検査する基板表面検査方法で めってヽ
(a)前記基板をステージ上に載置するステップと、
(b)試料の 1コーナーのダイシングライン若しくは試料上の特徴的なパターンが欠 陥検査装置の光学系の視野内に入るように、ステージを移動するステップと、
(c)前記試料上の特徴的なパターンにビームを照射し、試料からの反射電子或い は二次電子を検出器で検出し、二次元画像を得るステップと、
(d)前記ステップ cで二次元画像を得たときのステージの座標 (Xc、 Yc)を記憶する
(e)前記視野内で、ステージを一定の距離だけ移動して、前記特徴的なパターンを 移動させるステップと、
(f)前記ステップ cと同じ操作を行い、前記移動した位置での前記特徴的なパター ンの二次元画像を得るステップと、 (g)前記ステップ fで画像を得たときのステージの座標 (Xf、 Yf)を記憶するステップ と、
(h)前記ステップ cで得た画像の一部と前記ステップ fで得た画像とをパターンマツ チングして、 2つの画像の X方向又は Y方向の位置のずれ(Δ Χピクセル、 Δ Υピクセ ノレ)を算出するステップと、
(i)前記 dステップで記憶した座標 (Xc、 Yc)と前記ステップ gで記憶した座標 (Xf、 Yf)との差、 (Xf— Xc)又は (Yf— Yc)を算出するステップと、
(j)ピクセル当たりの寸法、(Xf— Xc) / Δ X又は(Yf— Yc) / Δ Y又は写像光学系の 拡大率を算出するステップと、
(k)ステップ jで算出したピクセル当たりの寸法又は前記写像光学系の拡大率をメモ リに格納するステップと、
(1)該ピクセル当たりの寸法を用いて、ステージの移動距離を算出し、ァライメントを
(m)前記ビームを前記基板の表面に向けて照射するステップと、
(n)前記基板の情報を得た反射ビームを検出するステップと、
(o)検出された前記反射ビームから前記基板の画像を取得す
(P)取得した前記画像を用いて、前記基板の検査を行うステップと、
を備えることを特徴とする基板表面検査方法、が提供される。
請求項 10の発明によれば、
チップが形成された基板の表面を、ビームを用いて検査する基板表面検査装置に おいて、前記チップの位置合わせのために、
前記チップが被検査視野内に位置するように前記基板を配置する装置と、 前記チップが前記被検査視野内に位置するときの検出倍率を測定する測定装置と 測定された前記検出倍率に基づいて、前記チップの位置ずれの距離を算出する算 出装置と、
算出された位置ずれに基づいて、前記チップの位置を補正する補正装置と、 を備えることを特徴とする基板表面検査装置、が提供される。 [0028] 請求項 11の発明によれば、
チップが形成された基板の表面を、ビームを用いて検査する基板表面検査装置で あって、前記チップが被検査視野内に位置するように前記基板を配置するために、 前記チップが前記被検査視野内に位置するときの検出倍率を測定する測定装置と 測定された前記検出倍率に基づいて、前記チップの位置ずれの距離を算出する算 出装置と、
算出された前記距離に基づいて、前記チップの位置を補正する補正装置と、 前記チップの位置が補正された後に前記ビームによって照射された前記基板から 放出された、前記基板の表面の情報を得た反射ビームを検出する検出装置と、 検出された前記反射ビームから前記基板の表面の画像を取得する画像取得装置 と、
を備えてなり、取得された前記画像を用いて前記基板の検査を行うことを特徴とする 基板表面検査装置、が提供される。
[0029] 請求項 12の発明によれば、
基板上にぉレ、て互いに平行でない 2つの軸方向にほぼ規則的に配置されてレ、る複 数のダイ内のパターンを検査する装置であって、
前記基板上の前記ダイが仮想的に配置されるべき等間隔グリッドを生成するための 演算手段と、
前記目標グリッドと前記基板上の前記ダイの位置との差分を補正する手段と、 を具備することを特徴とするパターン欠陥検査装置、が提供される。
[0030] 請求項 13の発明によれば、
請求項 12に記載の検查装置であって、
前記目標グリッドと前記基板上の前記ダイの位置との差分を補正する前記手段は、 前記目標グリッドに対する前記基板上のダイの位置誤差を演算する手段と、 前記位置誤差を無くすための補正信号を偏向器にフィードバック又はフィードフォ ワードする制御手段と、
を備えることを特徴とするパターン欠陥検査装置、が提供される。 [0031] 請求項 14の発明によれば、
試料表面を検査する装置であって、
試料に向けてビームを照射するビーム照射源と、
前記ビーム照射源によるビーム照射領域内の 1画素当たりの前記試料上の寸法を 測定する手段と、
前記 1画素当たりの前記寸法を用いてステージの移動距離を算出し、この算出結 果に基づいて前記試料のァライメントを行う演算手段と、
前記ビームの照射によって前記試料から放出され且つ前記試料の表面の情報を 含む二次ビームを検出する検出器と、
前記検出器により検出された前記二次ビームから前記試料の表面の画像を取得し 、前記試料の検査を行う手段と、
を具備する試料表面検査装置、が提供される。
[0032] 請求項 15の発明によれば、
パターンが形成された複数のダイを有する試料の表面を検査する検査装置であつ て、
前記試料表面のダイの位置補正に必要な情報を取得する手段と、
前記情報の取得中に、前記試料表面の被検査領域の任意の個所において前記試 料表面の合焦条件を測定して記憶する手段と、
前記試料の表面に向けてビームを照射するビーム照射源と、
前記ビームが前記被検査領域を相対移動する際に、前記試料表面の合焦条件を 満たすように調整可能なレンズと、
を具備することを特徴とする検査装置、が提供される。
[0033] 請求項 16の発明によれば、
請求項 15に記載の検查装置であって、前記ビームが前記被検查領域を相対移動 する際に、前記ダイの位置ずれを補正する偏向器を更に備えることを特徴とする検 查装置、が提供される。
[0034] 請求項 17の発明によれば、
基板上にぉレ、て互いに平行でない 2つの軸方向にほぼ規則的に配置されてレ、る複 数のダイ内のパターンを検査する検査方法であって、
(a)前記基板上のダイが仮想的に配置されるべき目標グリッドを生成するステップと
(b)前記基板上の各ダイの実際の位置座標を求めるステップと、
(c)前記目標グリッドと前記各ダイの位置誤差を算出するステップと、
(d)取得する前記各ダイの画像が前記目標グリッドに沿って配置されるように、前記 各ダイの位置誤差の値に基づいて取得する各ダイの画像の位置補正をして画像を 取得するステップと、
(e)前記位置補正をして取得した画像に基づレ、てダイのパターンの検查を行うステ ップと、を含むことを特徴とする検査方法、が提供される。
[0035] 請求項 18の発明によれば、
請求項 17に記載の検查方法であって、前記ステップ(a)において、前記目標グリツ ドは、前記基板上の複数のダイから、前記互いに平行でない 2つの軸方向に並ぶダ ィを、各軸方向にそれぞれ少なくとも 2つづつ選択し、選択されたダイ間のピッチから ダイ 1つ当たりの仮想的なピッチをそれぞれ 2つの軸方向について求め、この仮想的 なピッチに基づレ、て生成することを特徴とする検査方法、が提供される。
[0036] 請求項 19の発明によれば、
請求項 17に記載の検査方法であって、前記ステップ(a)において、前記目標グリツ ドは、 CADデータに含まれている位置情報に基づいて生成することを特徴とする検 查方法、が提供される。
[0037] 請求項 20の発明によれば、
請求項 17ないし 19のいずれか一つに記載の検查方法であって、前記ステップ(e) において、相互に対応する異なる 2つのダイの画像を比較してその差異に基づいて 欠陥を検出することを特徴とする検査方法、が提供される。
[0038] 請求項 21の発明によれば、
請求項 17ないし 19のいずれか一つに記載の検查方法であって、前記ステップ(e) におレ、て、検査の対象となるパターンとこれに対応する CADデータの情報によって 生成されるパターンとを比較し、その差異に基づレ、て欠陥を検出することを特徴とす る検査方法、が提供される。
[0039] 請求項 22の発明によれば、
請求項 17、 20、 21のいずれか一つに記載の検査方法であって、前記ステップ(a) において、前記試料上の 2つのダイを選択してダイ間のピッチを検出してこれを第 1 のピッチとし、当該第 1のピッチを所定の倍数で複数倍してこれを第 2のピッチとし、当 該第 2のピッチに近い距離だけ離れた 2つのダイの実際のピッチを検出してこれを第 3のピッチとし、当該第 3のピッチを前記倍数で除した値を前記仮想的なピッチとする ことを特徴とする検査方法、が提供される。
[0040] 請求項 23の発明によれば、
請求項 17なレ、し 22のレ、ずれか一つに記載の検查方法であって、前記互いに平行 でない 2つの軸が、相互に直交する X軸と y軸であることを特徴とする検查方法、が提 供される。
[0041] 請求項 24の発明によれば、
請求項 23に記載の検査方法であって、前記ステップ(a)において、 X軸及び y軸に 平行なダイシングライン又はダイ内の所定パターンを用いてダイの仮想的なピッチを 求めることを特徴とする検査方法、が提供される。
[0042] 請求項 25の発明によれば、
請求項 17なレ、し 24のレ、ずれか一つに記載の検査方法であって、前記ステップ(d) において、前記画像の位置補正は前記電子線用の偏向器で行うことを特徴とする検 查方法、が提供される。
[0043] 請求項 26の発明によれば、
試料の表面を検查する方法であって、
前記試料の表面に対してビームを照射し、該ビームの照射領域内の 1画素当たり の前記試料の表面上の寸法を測定するステップと、
前記寸法を用いてステージの移動距離を算出し、この算出結果に基づいて前記試 前記試料にビームを照射し、それによつて前記試料の表面から放出され且つ前記 試料の表面の情報を含む二次ビームを検出して、前記試料の表面を検查するステツ プと、
を備えることを特徴とする試料表面検査方法、が提供される。
[0044] 請求項 27の発明によれば、
請求項 26に記載の検查方法であって、寸法を測定する前記ステップが、寸法が既 知のパターンの画素数を測定することにより行うことを特徴とする検査方法、が提供さ れる。
[0045] 請求項 28の発明によれば、
試料の表面を検查する方法であって、
前記試料の表面のダイの位置補正に必要な情報を取得するステップと、 前記情報の取得中に、被検査領域の任意の箇所において前記試料の表面の合焦 条件を測定して記憶するステップと、
前記試料にビームを照射するステップと、
前記試料の表面の合焦条件を満たすようにレンズを調整しながら、前記ビームが前 記試料の表面の被検査領域を相対移動するように前記ビームを走査し又はステージ を移動させるステップと、
前記ビームの照射により前記試料より放出され且つ前記被検査領域の情報を含む 二次ビームを検出して前記試料の表面を検査するステップと、
を備えることを特徴とする試料表面検査方法、が提供される。
[0046] 請求項 29の発明によれば、
請求項 26ないし 28のいずれか一つに記載の検査方法であって、前記試料の表面 を検査する前記ステップが、 CCD又は CCD— TDIを用いて、複数の画素を含む前 記被検查領域の画像を取得し、参照用の画像との比較により前記試料の表面の検 查を行うことを特徴とする記載の検査方法、が提供される。
[0047] 請求項 30の発明によれば、
請求項 29に記載の検查方法であって、表面の検查を行う前記ステップが、ダイ内 のパターンが周期構造をなす部分については、同一のダイ内の周期構造をなす部 分同士の比較により行われ、周期構造をなさない部分については前記参照用の画 像との比較により行われることを特徴とする検查方法、が提供される。 [0048] 請求項 31の発明によれば、
複数のピクセルを有する試料面に電子線を入射させて試料面の評価を行う方法で あってヽ
(a)電子線を試料に照射し、二次電子或いは反射電子を検出するステップと、
(b)検出した信号を増幅し、 AZD変換し、濃度情報を有する 2次元画像を作り、予 め指定された第 1の領域の上記画像をメモリーに入力するステップと、
(c)前記ステップ (b)で入力した領域と同じパターンがあると予測される第 2の領域の 濃度情報を有する 2次元画像を形成して別のメモリーに入力するステップと、
(d)前記ステップ (b)で入手した画像と前記ステップ (c)で入手した画像との間の濃 、、両画像の平均濃度が一致するように一方の画像の濃度を増減
(e)平均濃度が一致した画像間でパターンマッチングを行レ、、更にパタ
グを行った画像の差を算出し、差のある場所を欠陥候補とするステップと、
(f)前記第 1及び第 2の領域と同じパターンがあると予測される第 3の領域の 2次元像 を入手し、かつ前記第 3の領域の 2次元像と前記第 1及び第 2のいずれかとの濃度マ ツチングを行レ、、前記ステップ(e)で得た欠陥候補と比較し、欠陥候補から欠陥を決 めるステップと、
を有することを特徴とする欠陥検査方法、が提供される。
[0049] 請求項 32の発明によれば、
請求項 31に記載の欠陥検査方法において、前記電子線は、一軸方向へ投影した ビーム間隔が等間隔でかつ前記一軸と直角の方向に上記複数のビームを走査する マルチビームであり、前記マルチビームを電気的に走査し、一軸と平行な方向に試 料台を連続移動しながら上記 2次元画像を形成することを特徴とする欠陥検查方法 、が提供される。
[0050] 請求項 33の発明によれば、
請求項 31に記載の欠陥検査方法において、前記電子線は、一軸方向に長い長方 形の形状を有するビームであり、前記長方形の短辺方向にビームを走査しながら前 記長方形の長辺方向に試料台を連続移動させながら照射を行い、試料から発生し た二次電子或いは反射電子を写像光学系で像として検出することを特徴とする欠陥 検査方法、が提供される。
[0051] 請求項 34の発明によれば、
請求項 31に記載の欠陥検查方法において、前記ステップ(d)において、上記濃度 マッチングは両方の画像の最低の濃度が一致するようにオフセット値を一致させ、そ の後最大値の濃度が一致するようにゲインを調整することを特徴とする欠陥検査方 法、が提供される。
[0052] 請求項 35の発明によれば、
請求項 10、 11、 12ないし 16のいずれか一つに記載の欠陥検查装置を用いてプロ セスの途中又はプロセス終了後の試料の欠陥検查を行うことを特徴とするデバイス製 造方法、が提供される。
[0053] 請求項 36の発明によれば、
請求項 1なレ、し 9、 17なレ、し 34のレ、ずれか一つに記載の検査方法を用いてプロセ スの途中又はプロセス終了後の試料の欠陥検査を行うことを特徴とするデバイス製 造方法、が提供される。
図面の簡単な説明
[0054] [図 1]本発明に係る欠陥検查装置の主要構成要素を示す立面図である。
[図 2]本発明に係る欠陥検査装置の主要構成要素を示す正面図である。
[図 3]本発明に係る欠陥検査装置のミニエンバイロメント装置の構成を示す図である。
[図 4]本発明に係る欠陥検查装置のローダーハウジングの構成を示す図である。
[図 5]本発明に係る欠陥検査装置における電位印加機構を示す図である。
[図 6]図 1に示す欠陥検查装置における電子ビームキャリブレーション機構の構成を 概略的に示す図である。
[図 7] (a)は本発明に係る位置合わせ方法及び該方法を用いた欠陥検査方法を実施 することができる試料検査装置の写像投影型電子光学装置を概略的に示す図であり 、 (b)電界を一様にするメッシュを示す模式図である。
[図 8] (a)は、図 7の電子光学装置における電子光学系の視野とゥエーハ上の特徴的 なパターンとを示す図であり、(b)は、電子光学系の視野を所定距離だけ移動させる ことによりゥエーハ上の特徴的なパターンを視野内で移動させた状態を示す図である
[図 9] (a)は、図 7の電子光学装置においてゥエーハ面のパターンの二次元画像を得 る一つの方法を示す図であり、(b)は、図 7の電子光学装置においてゥヱーハ面のパ ターンの二次元画像を得る他の方法を示す図である。
[図 10] (a)は、本発明に係る位置合わせ方法及び該方法を用いた欠陥検査方法を 実施することのできる図 1の半導体検查装置におけるマルチビーム型電子光学装置 を概略的に示す図であり、(b)は、そこで使用されるマルチ開口の平面図である。
[図 11]図 10に示す電子光学装置で使用されるマルチアノードを概略的に示す図で める。
[図 12]二次元画像の濃度調整を説明する図である。
[図 13] (a)は、図 10の電子光学装置を用いて欠陥検査を行う際の電子光学系の視 野の範囲、複数の電子ビームの配置及びゥエーハ上の特徴的なパターンを示す図 であり、(b)は、電子光学系の視野を所定距離だけ移動させることによりゥエーハ上の 特徴的なパターンを視野内で移動させた状態を示す図である。
[図 14]シングルビーム方式の電子光学装置を採用した、本発明に係る欠陥検査装置 の第 3の実施の形態を概略的に示す図である。
[図 15]図 10及び図 14に示す欠陥検査装置によるウェハの検査を説明するための図 であって、(A)はウェハの全体図を、(B)はウェハ上のダイの一部の拡大図を示して いる。
[図 16]仮想的な等間隔グリッドと、ダイのリソグラフイエ程で生じたダイの位置ずれとを 説明するための平面図である。
[図 17]仮想的な等間隔グリッドとダイの位置ずれとの他の例を説明するための平面図 である。
[図 18]ダイの位置座標の補間を説明するための図である。
[図 19] (A)は、マルチ光軸マルチビーム方式の電子光学装置を採用した、本発明に 係る試料検查装置の第 4の実施の形態を概略的に示す図であり、 (B)は (A)に示す 拡大レンズの平面図であり、 (C)は (A)に示すアノードの平面図である。 [図 20]試料が電子線を透過する場合の、本発明に係る欠陥検査装置の第 5の実施 の形態を概略的に示す図である。
[図 21]試料が電子線を透過しない場合の、本発明に係る欠陥検査装置の第 6の実 施の形態を概略的に示す図である。
[図 22] (A)一 (D)は、図 21に示す欠陥検査装置の動作を説明するための図である。
[図 23]半導体デバイス製造方法の検査手順を説明する図である。
[図 24]半導体デバイス製造方法の検査手順の基本的な流れを説明する図である。
[図 25]検查対象ダイの設定を示す図である。
[図 26]ダイ内部の検查領域の設定を説明する図である。
[図 27]半導体デバイス製造方法の検査手順を説明する図である。
[図 28] (A)及び (B)は、半導体デバイス製造方法の検査手順を説明する図である。
[図 29]半導体デバイス製造方法の検查手順における、検查ダイ力 S1個の場合の走查 例を示す図である。
[図 30]半導体デバイス製造方法の検査手順における、参照画像の生成方法を説明 する図である。
[図 31]半導体デバイス製造方法の検査手順における隣接ダイ比較方法を説明する 図である。
[図 32]半導体デバイス製造方法の検査手順における隣接ダイ比較方法を実施する システム構成を説明する図である。
[図 33]半導体デバイス製造方法の検査手順における基準ダイ比較方法を説明する 図である。
[図 34]半導体デバイス製造方法の検査手順における基準ダイ比較方法を説明する 図である。
[図 35]半導体デバイス製造方法の検査手順における基準ダイ比較方法を説明する 図である。
[図 36]半導体デバイス製造方法の検查手順におけるフォーカスマッピングを説明す る図である。
[図 37]半導体デバイス製造方法の検查手順におけるフォーカスマッピングを説明す る図である。
[図 38]半導体デバイス製造方法の検査手順におけるフォーカスマッピングを説明す る図である。
[図 39]半導体デバイス製造方法の検查手順におけるフォーカスマッピングを説明す る図である。
[図 40] (A)一 (C)は半導体デバイス製造方法の検查手順におけるフォーカスマツピ ングを説明する図である。
[図 41]本発明に係る欠陥検査装置を製造ラインに接続した実施の形態を示す図であ る。
[図 42]本発明に係る欠陥検査装置を用いる半導体デバイス製造工程を示すフロー チャートである。
[図 43]図 42のリソグラフィー工程を示すフローチャートである。
発明を実施するための最良の形態
[0055] 以下、本発明に係る位置合わせ方法並びに該位置合わせ方法を用いた試料検査 方法について説明する。まず、図 1一図 5を参照して、本発明に係る前記方法を実施 するための、表面にパターンが形成された試料としての基板すなわちウェハを検查 対象として欠陥等の検査をする試料検査装置の全体の構成を説明する。なお、以下 におレ、ては、試料としてウェハを用いた例を説明する。
[0056] 図 1及び図 2において、試料の欠陥等を検查する試料検查装置 1は、複数枚のゥェ ハ Wを収納したカセットを保持するカセットホルダ 10、ミニエンバイロメント装置 20、ヮ 一キングチャンバ 31を画成する主ハウジング 30、ミニエンバイロメント装置 20と主ハ ウジング 30との間に配置され、 2つのローデイングチャンバを備えるローダーハウジン グ 40、ウェハ Wをカセットホルダ 10から主ハウジング 30内に配置されたステージ装 置 50上に装填するローダー 60、真空ハウジングに取り付けられた電子光学装置 70 を備え、それらは図 1及び図 2に示すような位置関係で配置されている。
[0057] 試料検査装置 1は、更に、真空状態の主ハウジング 30内に配置されたプレチヤ一 ジユニット 81と、ウェハ Wに電位を与える電位付与機構 83 (図 5参照)と、電子ビーム キャリブレーション機構 87 (図 8参照)と、ステージ装置 50上でのウェハ Wの位置決 めを行うためのァライメント制御装置を構成する光学顕微鏡 871とを備える。
[0058] カセットホルダ 10は複数枚(例えば 25枚)のウェハ Wが上下方向に平行に並べら れた状態で収納されたカセット c (例えば、アシスト社製の FOUPのようなクローズド力 セット)を複数個(図においては 2個)保持するようになっている。このカセットホルダ 1 0としては、カセットをロボット等により搬送してきて自動的にカセットホルダ 10に装填 する場合にはそれに適した構造のものを、人手により装填する場合にはそれに適し たオープンカセット構造のものを、それぞれ任意に選択して設置することができる。
[0059] カセットホルダ 10は、図示の構成においては、自動的にカセット cが装填される形式 であり、例えば昇降テーブル 11と、その昇降テーブル 11を上下に移動させる昇降機 構 12とを備え、カセット cは図 2に鎖線で示す状態で昇降テーブル 12の上に自動的 にセットされ、セット後に、図 2に実線で示す状態に自動的に回転されてミニエンバイ ロメント装置 20内の第 1の搬送ユニット(後述)の回動軸線に向けられ、その後、昇降 テーブル 11は図 1で鎖線で示す状態に降下される。なお、自動的に装填する場合 或いは人手により装填する場合に使用するカセットホルダは公知の構造のものを適 宜使用すればよぐその構造及び機能の詳細な説明は省略する。
[0060] カセット c内に収納される基板すなわちウェハ Wは、検査を受けるべきウェハであり 、そのような検査は半導体製造工程中でウェハを処理するプロセスの後、若しくはプ 口セスの途中で行われる。具体的には、成膜工程、 CMP、イオン注入等を受けたゥ ェハゃ表面に配線パターンが形成された又は形成されていないウェハ力 カセット c に収納される。カセット c内に収容されるウェハ Wは多数枚、上下方向に隔てて平行 に並べて配置される。このため、任意の位置のウェハ Wを第 1の搬送ユニット(後述) で保持できるように、第 1の搬送ユニットのアームは上下方向に移動可能である。
[0061] 図 1一図 3において、ミニエンバイロメント装置 20は、雰囲気制御されるミニエンバイ ロメント空間 21を形成するハウジング 22と、ミニエンバイロメント空間 21内で清浄空 気のような気体を循環させて雰囲気制御するための気体循環装置 23と、ミニエンバ イロメント空間 21内に供給された空気の一部を回収して排出する排出装置 24と、ミニ エンバイロメント空間 21内に配設されていて検查対象としてもウェハ Wの粗位置決め を行うブリアライナー 25とを備えてレ、る。 [0062] ハウジング 22は、頂壁 221、底壁 222及び四周を囲む周壁 223を有しており、ミニ エンバイロメント空間 21を外部力 遮断する構造になっている。ミニエンバイロメント 空間 21を雰囲気制御するために、気体循環装置 23は、図 3に示すように、ミニエン バイロメント空間 21内において頂壁 221に取り付けられており、空気を清浄にして 1 つ又はそれ以上の気体吹き出し口(図示せず)を通して清浄空気を真下に向かって 層流状に流す気体供給ユニット 231と、ミニエンバイロメント空間 21内において底壁 222の上に配置されていて、底に向かって流れ下った空気を回収する回収ダクト 23 2と、回収ダクト 232と気体供給ユニット 231とを接続して回収された空気を気体供給 ユニット 231に戻す導管 233とを備えている。
[0063] 層流状の下方向の清浄空気の流れ、すなわちダウンフローは、主に、ミニエンバイ ロメント空間 21内に配置された第 1の搬送ユニット 61による搬送面を通して流れるよ うに供給され、これによつて、搬送ユニット 61により発生する恐れのある塵埃がウェハ Wに付着するのが防止される。ハウジング 22の周壁 223のうち、カセットホルダ 10に 隣接する部分には、出入り口 225が形成される。
[0064] 図 3に示すように、排出装置 24は、搬送ユニット 61のウェハ搬送面より下側の位置 で搬送ユニットの下部に配置された吸入ダクト 241と、ハウジング 22の外側に配置さ れたブロワ一 242と、吸入ダクト 241とブロワ一 242とを接続する導管 243とを備えて いる。排出装置 24は、搬送ユニットの周囲を流れ下って搬送ユニットにより発生する 可能性のある塵埃を含む空気を吸入ダクト 241によって吸引し、導管 243及びブロワ 一 242を介してハウジング 22の外側へ排出するよう動作する。
[0065] ミニエンバイロメント空間 21内に配置されたプリアライナー 25は、ウェハ Wに形成さ れたオリエンテーションフラット(円形のウェハの外周に形成された平坦部分をいい、 オリフラと呼ばれる)やウェハ Wの外周縁に形成された 1つ以上の V型の切り欠き又 はノッチを光学的或いは機械的に検出して、搬送ユニット 61の軸線〇—0に関する
1 1 ウェハ wの回転方向位置を ± 1度の精度で予め位置決めしておくよう動作する。プリ ァライナー 25は検查対象の座標を決める機構の一部をなし、検查対象の粗位置決 めを担当する。ブリアライナー 25自体は公知の構造のものであり、その構造や動作 の説明は省略する。 [0066] 図 1及び図 2において、ワーキングチャンバ 31を形成する主ハウジング 30は、ハウ ジング本体 32を備える。ハウジング本体 32は、台フレーム 36上に配置された振動遮 断装置すなわち防振装置 37の上に載せられたハウジング支持装置 33によって支持 され、ハウジング支持装置 33は矩形に組まれたフレーム構造体 331を備えている。こ うして、ハウジング本体 32はフレーム構造体 331上に配設固定される。ハウジング本 体 32はフレーム構造体 331上に載せられた底壁 321と、頂壁 322と、底壁 321及び 頂壁 322に接続されて四周を囲む周壁 323とを備えていてワーキングチャンバ 31を 外部から隔離する。
[0067] ハウジング本体 32及びハウジング支持装置 33は剛構造に組み立てられていて、 台フレーム 36が設置されている床からの振動がこの剛構造に伝達されるのを防振装 置 37で阻止するようになっている。ハウジング本体 32の周壁 323のうちローダハウジ ング 40に隣接する周壁にはウェハ出し入れ用の出入り口 325が形成されている。
[0068] ワーキングチャンバ 31は公知の構造の真空装置(図示せず)により真空雰囲気に 保たれる。台フレーム 36の下には装置全体の動作を制御する制御装置 2が配置され ている。ワーキングチャンバ 31は、通常、 10— 4— 10— 6Paの圧力に保たれる。
[0069] 図 1、図 2及び図 4において、ローダハウジング 40は、第 1のローデイングチャンバ 4 1と第 2のローデイングチャンバ 42とを構成するハウジング本体 43を備えている。ハウ ジング本体 43は底壁 431と、頂壁 432と、四周を囲む周壁 433と、第 1のローデイン グチャンバ 41と第 2のローデイングチャンバ 42とを仕切る仕切壁 434とを有していて 、両口ーデイングチャンバ 41、 42を外部から隔離できる構造になっている。仕切壁 43 4には、両口ーデイングチャンバ 41、 42間でウェハ Wの出し入れを行うための開口す なわち出入り口 435が形成される。また、周壁 433のミニエンバイロメント装置 20及び 主ハウジング 30に隣接した部分には出入り口 436及び 437が形成されている。
[0070] 図 4に示すように、ローダハウジング 40のハウジング本体 43は、ハウジング支持装 置 33のフレーム構造体 331上に載置されて支持されるので、ローダハウジング 40に 対する床の振動の伝達が防止される。ローダハウジング 40の出入り口 436とミニエン バイロメント装置 20ハウジング 22の出入り口 226とは整合されていて、そこにはミニエ ンバイロメント空間 21と第 1のローデイングチャンバ 41との連通を選択的に阻止する シャツタ装置 27が設けられている。
[0071] ローダハウジング 40の出入り口 437とハウジング本体 32の出入り口 325とは整合さ れていて、そこには第 2のローデイングチャンバ 42とワーキンググチャンバ 31との連 通を選択的に密封阻止するシャツタ装置 45が設けられている。更に、仕切壁 434に 形成された開口 435には、扉 461の開閉により第 1及び第 2のローデイングチャンバ 4 1、 42間の連通を選択的に阻止するシャツタ装置 46が設けられている。これらのシャ ッタ装置 27、 45及び 46は、閉じた状態にあるとき、各ローデイングチャンバを気密シ ールする。
[0072] 第 1のローデイングチャンバ 41内には、複数、例えば 2枚のウェハ Wを上下に隔て て水平の状態で支持するウェハラック 47が配設されてレ、る。第 1及び第 2のローディ ングチャンバ 41、 42は、図示しない真空ポンプを含む公知の構造の真空排気装置( 図示せず)によって高真空状態 (真空度としては 10— 4— 10— 6Pa)に雰囲気制御され得 る。この場合、第 1のローデイングチャンバ 41を低真空チャンバとして低真空雰囲気 に保ち、第 2のローデイングチャンバ 42を高真空チャンバとして高真空雰囲気に保ち 、ウェハ Wの汚染防止を効果的に行うこともできる。このような構造を採用することに よって、ローデイングチャンバ内に収容されて次に欠陥検査されるべきウェハ Wをヮ 一キングチャンバ 31内に遅滞なく搬送することができるば力りでなぐ欠陥検査のス ループットを向上させ、更に、保管状態が高真空状態であることを要求される電子源 周辺の真空度を可能な限り高真空度状態にすることができる。
[0073] 第 1及び第 2のローデイングチャンバ 41、 42には、それぞれ真空排気配管(図示せ ず)と不活性ガス (例えば乾燥純窒素)用のベント配管(図示せず)が接続される。こう して、各ローデイングチャンバ内に不活性ガスを注入すると、不活性ガスベントにより 各ローデイングチャンバ表面に不活性ガス以外の酸素ガス等が付着するのが防止さ れる。
[0074] なお、電子線を使用する本発明の試料検查装置において、電子光学装置の電子 源として使用される代表的な六硼化ランタン (L B )等は、熱電子を放出する程度ま で高温状態に加熱された場合、その寿命を縮めないためには酸素に可能な限り接 触させないことが肝要である。そこで、電子光学装置が配置されているワーキングチ ヤンバ 31にウェハ Wを搬入する前段階で上記のように雰囲気制御を行うことにより、 電子源に酸素が接触するのを確実に防止することができる。
[0075] ステージ装置 50は、主ハウジング 30の底壁 321上に配置された固定テーブル 51 と、固定テーブル 51上で Y方向(図 1において紙面に垂直の方向)に移動する Yテー ブノレ 52と、固定テーブル 51上で X方向(図 1において左右方向)に移動する Xテー ブノレ 53と、 Xテーブル 53上で回転可能な回転テーブル 54と、回転テーブル 54上に 配置されたホルダ 55とを備えている。ホルダ 55のウェハ載置面 551上にはウェハ W が解放可能に保持される。ホルダ 55は、ウェハ Wを機械的に或いは静電チャック方 式で解放可能に把持できる公知の構造のものでよい。
[0076] ステージ装置 50は、サーボモータ、エンコーダ及び各種のセンサ(図示せず)を用 いて、上記の複数のテーブル 51— 54を動作させることにより、載置面 551上でホル ダ 55に保持されたウェハ Wを、電子光学装置から照射される電子ビームに対して X 方向、 Y方向及び Z方向(図 1において上下方向)に、更にウェハ Wの支持面に鉛直 な軸線の回り方向( Θ方向)に、高精度で位置決めする。
[0077] なお、ウェハ Wの Z方向の位置決めは、例えばホルダ 55上の載置面 551の位置を Z方向に微調整可能にすることによって行い得る。これは、載置面 551の基準位置を 微細径レーザによる位置測定装置 (干渉計の原理を使用したレーザ干渉測距装置) によって検知し、その位置をフィードバック回路(図示せず)によって制御したり、それ と共に或いはそれに代えて、ウェハのノッチ或る否オリフラの位置を測定してウェハ の電子ビームに対する平面位置、回転位置を検知し、回転テーブルを微小角度制 御可能なステッピングモータ等により回転させて制御することによって行い得る。ヮー キングチャンバ 31内での塵埃の発生を極力防止するために、ステージ装置 50のた めのサーボモータ 521、 531及びエンコーダ 522、 532は、主ハウジング 30の外側 に配置される。なお、電子ビームに対するウェハ Wの回転位置や X、 Y位置を、後述 する信号検出系或いは画像処理系に予め入力することで得られる信号の基準化を 図ることちでさる。
[0078] ローダー 60は、ミニエンバイロメント装置 20のハウジング 22内に配置されたロボット 式の第 1の搬送ユニット 61と、第 2のローデイングチャンバ 42内に配置されたロボット 式の第 2の搬送ユニット 63とを備える。第 1の搬送ユニット 61は駆動部 611に関して 軸線 O -〇の周りで回転可能な多節のアーム 612を有する。多節のアームとしては
1 1
任意の構造のものを使用できる力 図のアーム 612は互いに回動可能に取り付けら れた 3つの部分を有している。第 1の搬送ユニット 61のアーム 612の最も駆動部 611 に近い第 1の部分は、駆動部 611内に設けられた公知の構造の駆動機構(図示せず )により回転可能な軸 613に取り付けられている。アーム 612は、軸 613により軸線 O
1
_〇の周りで回動できるとともに、部分間の相対回転により、全体として軸線〇 _oに
1 1 1 関して半径方向に伸縮する。アーム 612の最上部にある第 3の部分の先端には、公 知の構造の機械式チャック又は静電チャック等のウェハ Wを把持する把持装置 616 が設けられてレ、る。駆動部 611は昇降機構 615によって上下方向に移動可能である
[0079] 動作時、第 1の搬送ユニット 61のアーム 612は、カセットホルダ 10に保持された 2つ のカセット cのうちのいずれか一方の方向 Ml又は M2に向かって伸び、カセット c内 に収容されたウェハ Wのうちの 1枚をアーム 612に載せ、或いはアーム 612の先端に 取り付けたチャック(図示せず)により把持して取り出す。その後、アーム 612は図 2に 示すように縮み、次いで、プリアライナー 25の方向 M3に向かって伸長する位置まで 回転して停止する。そこで、アーム 612は再び伸び、アーム 612に保持されたウェハ Wをプリアライナー 25に載せる。こうしてプリアライナー 25によってウェハ Wの向きを 微調整した後、アーム 612はプリアライナー 25からウェハ Wを受け取つてから、第 1 のローデイングチャンバ 41に向かって方向 M4の方に伸長できる位置まで回転して 停止し、次いで第 1のローデイングチャンバ 41内のウェハ受け 47にウェハ Wを受け 渡す。
[0080] なお、アームによって機械的にウェハ Wを把持する場合には、ウェハ Wの周縁から 約 5mmの範囲の周縁部を把持することが好ましレ、。これは、ウェハ Wには周縁部を 除いてその内側全面に回路配線等のデバイスが形成されているので、この部分を把 持すると、デバイスを破壊して欠陥を発生させることになるからである。
[0081] 第 2の搬送ユニット 63は、第 1の搬送ユニット 61と構造が基本的に同じであり、ゥェ ハ Wの搬送をウェハラック 47とステージ装置 50の載置面 551との間で行うよう動作す る。
[0082] ローダー 60において、第 1及び第 2の搬送ユニット 61、 63はカセットホルダ 10に保 持されたカセット cからワーキングチャンバ 31内に配置されたステージ装置 50への及 びその逆のウェハ Wの搬送をほぼ水平状態に保ったままで行う。搬送ユニット 61、 6 3のアーム 612、 632が上下動するのは、単に、ウェハ Wのカセット cからの取り出し 及びカセット cへの揷入、ウェハ Wのウェハラック 47への載置及びウェハラック 47力 らの取り出し、及び、ウェハ Wのステージ装置 50への載置及びステージ装置 50から の取り出しのときだけである。したがって、大型のウェハ W、例えば直径 30cmのゥェ ハの移動をスムーズに行うことができる。
[0083] ここで、カセットホルダ 10に支持されたカセット cからワーキングチャンバ 31内に配 置されたステージ装置 50までへのウェハ Wの搬送を、図 1一図 4を参照して順に説 明する。カセットホルダ 10は、前述のように人手によりカセット cをセットする場合には それに適した構造のもの力 また自動的にカセット cをセットする場合にはそれに適し た構造のものが使用される。カセット cがカセットホルダ 10の昇降テーブル 11の上に セットされると、昇降テーブル 11は昇降機構 12によって降下され、カセット cは出入り Π 225に整合される。
[0084] カセット cが出入り口 225に整合されると、カセット cに設けられたカバー(図示せず) が開き、カセット cと出入り口 225との間には筒状の覆いが配置されてカセット cの内部 とミニエンバイロメント空間 21とを外部から遮断する。なお、出入り口 225を開閉する シャツタ装置がミニエンバイロメント装置 20に設けられている場合には、そのシャツタ 装置が動作して出入り口 225を開閉する。
[0085] 第 1の搬送ユニット 61のアーム 612は方向 Ml又は方向 M2に向いた状態で停止し ている。そこで、 Mlの方向を向いて停止しているとすると、出入り口 225が開いたと き、アーム 612は出入り口 225を通って伸び、その先端でカセット c内のウェハ Wのう ちの 1枚を受け取る。アーム 612によるウェハ Wの受け取りが完了すると、アーム 612 は縮み、上記シャツタ装置が設けられてレ、る場合には該シャツタ装置を動作させて出 入り口 225を閉じる。次にアーム 612は軸線 O _〇の回りで回動して方向 M3に向け
1 1
て伸長できる位置まで来て停止し、その位置でアーム 612は伸び、その先端に載せ られた或いはチャックで把持されたウェハ Wをプリアライナー 25の上に載せ、プリァラ イナ一 25によってウェハ Wの回転方向の向き、すなわち、ウェハ平面に垂直な中心 軸線の回りの向きを所定の範囲内に位置決めする。
[0086] こうしてウェハ Wの位置決めが完了すると、第 1の搬送ユニット 61は、アーム 612の 先端にプリアライナー 25からウェハ Wを受け取つてからアーム 612を縮ませ、方向 M 4に向けてアーム 612を伸長させる姿勢になる。するとシャツタ装置 27の扉 272が動 レヽて出入り口 226及び 436力 S開くので、アーム 612が第 1のローデイングチャンバ 42 の内部へ伸びてウェハ Wをウェハラック 47の上段側又は下段側に載せる。なお、前 記のようにシャツタ装置 27を開いてウェハラック 47にウェハ Wを受け渡すよりも前に、 仕切壁 434に形成された開口 435がシャツタ装置 46の扉 461により気密状態で閉じ られる。
[0087] 第 1の搬送ユニット 61によるウェハ Wの搬送過程において、ミニエンバイロメント装 置 20のハウジング 22の上に設けられた気体供給ユニット 231から、清浄空気が層流 状に下向きに、つまりダウンフローとして流出され、搬送途中で塵埃がウェハ Wの上 面に付着するのを防止する。搬送ユニット 61の周辺の空気の一部は排出装置 24の 吸入ダクト 241から吸引されてハウジング 22外に排出される。これは、供給ユニット 2 31から供給される空気の例えば約 20%は主に汚れた空気だからである。残りの空気 はハウジング 22の底部に設けられた回収ダクト 232を介して回収され、再び気体供 給ユニット 231に戻される。
[0088] ウェハ Wが第 1のローデイングチャンバ 41内のウェハラック 47内に第 1の搬送ュニ ット 61により載せられると、シャツタ装置 27が閉じ、ローデイングチャンバ 41内を密閉 する。次いで、第 1のローデイングチャンバ 41内に不活性ガスが充填されて空気が追 い出され、その後、その不活性ガスも排出されてローデイングチャンバ 41内は真空雰 囲気にされる。第 1のローデイングチャンバ 41の真空雰囲気は低真空度でよい。
[0089] ローデイングチャンバ 41内の真空度がある程度得られると、シャツタ装置 46が動作 して扉 461で密閉していた出入り口 435を開き、次いで第 2の搬送ユニット 63のァー ム 632が第 1のローデイングチャンバ 41内に伸びてウェハ受け 47から 1枚のウェハ Wをアーム 632の先端の上に載せて、或いはアーム 632の先端に取り付けられたチ ャック等の把持装置で把持して受け取る。ウェハ Wの受け取りが完了すると、アーム 6 32力 S縮み、シャツタ装置 46が再び動作して扉 461によって出入り口 435を閉じる。
[0090] なお、シャツタ装置 46が開く前に、アーム 632は予めウェハラック 47の方向 NI 向けて伸長できる姿勢になり、出入り口 437、 325はシャツタ装置 45の扉 452によつ てを閉じられて第 2のローデイングチャンバ 42とワーキングチャンバ 31との連通を気 密に阻止する。出入り口 435と出入り口 437、 325力 S閉じられると、第 2のローデイン グチャンバ 42内は真空排気され、第 1のローデイングチャンバ 42内よりも高真空度の 真空にされる。
[0091] 第 2のローデイングチャンバ 42が真空排気される間に、第 2の搬送ユニット 63のァ ーム 632はワーキングチャンバ 31内のステージ装置 50の方向に向いて伸長できる 位置に回転される。一方、ワーキングチャンバ 31内のステージ装置 50では、 Yテー ブノレ 52力 Xテーブル 53の中心線 X— Xが第 2の搬送ユニット 63の回動軸を通る X
0 0
軸線 X— Xとほぼ一致する位置まで移動し、また、 Xテーブル 53はローダーハウジン
1 1
グ 40に最も接近する位置まで移動して待機する。第 2のローデイングチャンバ 42の 真空状態がワーキングチャンバ 31の真空状態と略同じになると、シャツタ装置 45の 扉 452力 S動いて出入り口 437、 325を開き、アーム 632がワーキングチャンバ 31内へ 伸びてウェハ Wを保持したアーム 632の先端をワーキングチャンバ 31内のステージ 装置 50に接近させてステージ装置 50の載置面 551上にウェハ Wを載置する。ゥェ ハ Wの載置が完了するとアーム 632が縮み、シャツタ装置 45力 S出入り口 437、 325を 閉じる。
[0092] ステージ装置 50には、ウェハ Wに逆バイアス電位(リターデイング電位)をかける機 構がある。これは、アーム 632がステージ装置 50へウェハ Wを置きに行く又は取りに 行くとき、アーム 632をステージ装置 50と同じ又は近い電位に、或いはフローテイン グ電位にしておくことにより、ショートによる放電などの不具合を避ける機構である。な お、ウェハ Wをステージ装置 50上に搬送する際、ウェハ Wに印加するバイアス電位 をオフにしておいてもよい。
[0093] バイアス電位を制御する場合には、ウェハがステージに搬送されるまでは電位をォ フにしておき、ステージに搬送され載置されてからオンにしてバイアス電位を印加す るようにしてもよレ、。バイアス電位を印加する時機は、タクトタイムを予め設定しておき 、それにしたがって印加してもよいし、ステージの上にウェハが載置された事をセンサ で検出し、その検出信号をトリガとして印加するようにしてもよい。また、シャツタ装置 4 5力 S出入口 437、 325を閉じたことを検出して、その検出信号をトリガとして印加しても よい。更に、静電チャックを用いる場合には、静電チャックに吸着されたことを確認し 、それをトリガとしてバイアス電位を印加するようにしてもょレ、。
[0094] 図 5に、ウェハ Wに逆バイアス電位(リターデイング電位)をかけるためにステージ装 置 50に設けられた機構 83を示す。電位付与機構 83は、ウェハ W力も放出される二 次電子情報(二次電子発生率)が、ウェハ Wの電位に依存すると言う事実に基づい て、ウェハ Wを載置するステージの設置台 551に ±¾Vの電位を印加することにより 二次電子の発生を制御するものである。また、この電位付与機構 83は、照射電子が 当初有しているエネルギーを減速し、ウェハ Wを 100 500eV程度の照射電子エネ ルギ一で書写するための用途も果たす。
[0095] 電位付与機構 83は、図 5に示すように、ステージ装置 50の載置面 551と電気的に 接続された電圧印加装置 831と、チャージアップ調査及び電圧決定システム (以下 調査及び決定システム) 832とを備えている。調査及び決定システム 832は、電子光 学装置 70の検出系の後述する画像形成部 765に電気的に接続されたモニター 833 と、モニター 833に接続されたオペレータ 834と、オペレータ 834に接続された CPU 835とを備えている。 CPU835は、電圧印加装置 831に信号を供給する。電位付与 機構 83は、検査対象であるウェハが帯電し難い電位を探し、その電位を印加するよ うに設計されている。
[0096] ウェハ Wの電気的欠陥を検查する方法としては、本来電気的に絶縁されている部 分とその部分が通電状態にある場合では、その部分の電圧が異なることを利用する こともできる。それは、まず、ウェハ Wに事前に電荷を付与することで、本来電気的に 絶縁されている部分の電圧と、本来電気的に絶縁されている部分であるが何らかの 原因で通電状態にある部分の電圧とに電圧差を生じさせ、その後に電子ビームを照 射することにより、電圧差があるデータを取得し、この取得データを解析して、通電状 態となつていることを検出する。 [0097] 以上は、カセット c内のウェハ Wをステージ装置上に搬送するまでの動作について 説明した力 S、ステージ装置 50に載せられて処理が完了したウェハ Wをステージ装置 50からカセット c内に戻すには前述と逆の動作を行って戻す。また、ウェハラック 47に 複数のウェハを載置しておくよう、第 2の搬送ユニット 63でウェハラック 47とステージ 装置 50との間でウェハの搬送を行う間に、第 1の搬送ユニット 61でカセット cとウェハ ラック 47との間でウェハ Wの搬送を行うことができ、検查処理を効率良く行うことがで きる。
[0098] プレチャージユニット 81は、図 1に示すように、ワーキングチャンバ 31内で電子光学 装置 70の鏡筒 71に隣接して配設される。本検查装置では、センサ対象であるウェハ Wに電子線を照射して走查することによりウェハ Wの表面に形成されたデバイスパタ ーン等を検查する形式の装置である。したがって、電子線の照射により生じる二次電 子等の情報をウェハ表面の情報とする力 ウェハの材料、照射電子のエネルギ等の 条件によってウェハ表面が帯電する、つまりチャージアップすることがある。更に、ゥ ェハ表面でも、強く帯電する個所と弱く帯電する個所とが生じる可能性がある。ゥェ ハ表面の帯電量にムラがあると、二次電子情報もムラを生じ、鋭角な情報を得ること ができない。そこで、ムラを防止するために、荷電粒子照射部 811を有するプレチヤ ージユニット 81が設けられる。検査するウェハ Wの所定の個所に検査電子を照射す る前に、帯電ムラをなくすために、プレチャージユニット 81の荷電粒子照射部 811か ら荷電粒子を照射する。このウェハ表面のチャージアップは、予め検出対象であるゥ ェハ面の画像を形成し、その画像を評価することで検出することができ、その検出結 果に基づいてプレチャージユニット 81を動作させる。プレチャージユニット 81では一 次電子線をぼ力 て照射してもよレ、。
[0099] 図 1に示す欠陥検查装置 1はァライメント制御装置 87を備えている。該ァライメント 制御装置 87は、ステージ装置 50を用いてウェハ Wを電子光学装置 70に対して位置 決めさせる装置であって、図 8に示すように、ウェハ Wを光学顕微鏡 871を用いて電 子光学装置 70によるよりも低い倍率で広視野観察することにによるウェハ Wの概略 位置合わせ、電子光学装置 70の電子光学系を用いた高倍率のウェハ Wの位置合 わせ、焦点調整、検查領域設定、パターン'ァライメント等の制御を行うことができる。 このように光学系を用いて低倍率でウェハ Wを検査するのは、ウェハ Wのパターンの 検査を自動的に行うためには、電子線を用いたウェハ Wのパターンを観察してゥェ ハ'ァライメントを行うときに、電子線によりァライメント 'マークを容易に検出する必要 があるからである。
[0100] 光学顕微鏡 871は、主ハウジング 32内に好ましくは移動可能に設けられ、光学顕 微鏡 871を動作させるための光源(図示せず)も主ハウジング 32内に設けられる。高 倍率の観察を行うための電子光学系は、電子光学装置 70の電子光学系すなわち一 次光学系 701及び二次光学系 702を共用する。ウェハ W上の被観察点を低倍率で 観察するには、ステージ装置 50の Xステージ 53を X方向に動かすことによってウェハ の被観察点を光学顕微鏡 871の視野内に移動させる。光学顕微鏡 871で広視野で ウェハ Wを視認してウェハ W上の観察すべき位置を CCD872を介してモニタ 873に 表示させ、観察位置をおおよそ決定する。この場合、光学顕微鏡 871の倍率を低倍 率から高倍率へ変化させていってもよい。
[0101] 次に、ステージ装置 50を電子光学装置 70の光軸〇 -0と光学顕微鏡 871の光軸
3 3
O -0との間隔 δ Xに相当する距離だけ移動させて光学顕微鏡 871で予め決めた、
4 4
ウェハ W上の被観察点を電子光学装置 70の視野位置に移動させる。この場合、電 子光学装置 70の軸線〇 Οとの光軸〇 Οとの間の距離 δ Xは予め分かっている
3 3 4 4
ので、距離 δ Xだけ移動させれば被観察点を電子光学装置 70の視認位置に移動さ せること力 Sできる。なお、ここでの説明においては、電子光学装置 70と光学顕微鏡 8 71とは X軸線に沿った方向にのみ両者は位置ずれしているものとしている力 S、X軸方 向及び Y軸方向に位置ずれしていてもよい。電子光学装置 70の視認位置への被観 察点の移動が完了した後、電子光学装置 70の電子光学系により、高倍率で被観察 点を SEM撮像して画像を記憶し、又は撮像装置を介してモニタ表示させる。
[0102] このようにして、電子光学系により高倍率でウェハ Wの観察点をモニタに表示させ た後、公知の方法によりステージ装置 50の回転テーブル 54の回転中心に関するゥ ェハ Wの回転方向のずれ、すなわち、電子光学系の光軸〇 _0に対するウェハ W
3 3
の回転方向のずれ δ Θを検出し、また、電子光学装置 70に関する所定のパターン の X軸及び Υ軸方向のずれを検出する。こうして得られた検出値及び別途得られた、 ウェハ wに設けられた検査マークのデータ又はウェハ wのパターンの形状等に関す るデータに基づいて、ステージ装置 50の動作を制御してウェハ Wのァライメントを行
5。
[0103] 以上の説明をふまえて、以下、本発明に係る欠陥検査装置に用レ、られる電子光学 装置 70の幾つかの実施の形態について説明する。
[0104] 図 7は、図 1のウェハすなわち半導体検查装置 1における電子光学装置 70の構成 を概略的に示しており、この電子光学装置 70を用いて本発明に係るウェハ位置合わ せ方法及び該方法を用いて、ウェハ等の欠陥等を検出する試料検査方法が実施さ れる。以下、図 7—図 9を参照して、本発明に係るウェハ位置合わせ方法及び該方法 を用いた試料検査方法の実施の形態を説明する。
[0105] 図 7において、電子光学装置 70は写像投影型であり、電子銃から放出された電子 ビームを楕円形又は矩形 (例えば、長方形)に成形し、成形された電子ビームを検査 されるべきチップ等のウェハ Wの表面上に照射する一次電子光学系(以下一次光学 系) 72と、ウェハ Wから放出された二次電子或いは反射電子を一次光学系 72の光 軸 Aとは異なる光軸 Bに沿って導く二次電子光学系(以下二次光学系) 74と、二次光 学系 74から二次電子や反射電子を受け取ってウェハ Wの光学的像を形成し、該光 学的像を電気信号に変換する検出系 76とを備えている。
[0106] 一次光学系 72は、熱電子放出力ソード (LaB力ソード)を有していて電子ビームを
6
放出する電子銃 721と、電子ビームを集束するレンズ 722、 723と、集束された電子 ビームを所定の断面形状の電子ビームに成形する成形開口 724と、偏向器 725、 72 6とを備え、それらは、図 7に示すように、ウェハ Wの表面に垂直な方向に対し一定の 角度を有する光軸 OA1に沿って、電子銃 721を最上部にして順に配置されている。 一次光学系 72は更に、電界と磁界とが直交する場により電子ビームをウェハ Wに垂 直な方向へ偏向すると共に試料から発生された二次電子又は反射電子を分離する ための E X B分離器 727と、二つのダブレットタイプの対物レンズ 728、 729とを備え 、これらは試料の表面に垂直な方向に沿って順に配置されている。
[0107] 二次光学系 74は、 E X B分離器 727で分離されたウェハ Wからの二次電子又は反 射電子をウェハ Wに垂直な光軸 OA2に沿って検出系 76へ導くものであり、二次電 子又は反射電子を拡大するダブレットタイプのレンズ 741と、拡大レンズ 742、 743と 、偏向器 744、 745とを備えている。
[0108] 検出系 76は、 MCP (マイクロチャンネルプレート) 761と、下面にシンチレ一タが塗 布されていて二次電子又は反射電子を光の像に変換する F〇P (ファイバー ·ォプテ イカノレ'プレート) 762と、光学レンズ 763と、 TDI検出器 784とを備えている。なお、 図 7において、符号 766は MCP7761の前面の電界を一様にするメッシュであり、図 7の(b)に示されるようになつている。また、符号 767及び 768はそれぞれ、 MCP761 と FOP762との間の電界を一様にするメッシュである。検出系 76の各構成要素の構 成とその動作は公知であり、それらについての詳細説明はここでは省略する。 MCP 761及び TDI検出器 763は前述の画像成形部 765を構成している。
[0109] 上記構成の電子光学装置 70において、電子銃 721から放出された電子ビームは、 レンズ 722、 723で集束され、成形開口 724に一様に照射される。成形開口 724は、 電子銃 721からの電子ビームの光軸 OA1に垂直な断面形状が矩形 (例えば長方形 )になるように、且つ、図 8の(a)に示す Y方向に 512ピクセノレ、 X方向に 2048ピクセ ルを有する視野 V内で照度強度が一定になるように成形する。
[0110] 矩形に成形された電子ビームは、偏向器 724を通過し、 E X B分離器 727によりゥ ェハ Wの表面に垂直な方向に偏向され、対物レンズ 728、 729によりウェハ W上の 視野 Vを照射する。矩形の電子ビームの照射によってウェハ Wから放出された二次 電子又は反射電子は、対物レンズ 729、 728で集束されて E X B分離器 727の偏向 主面に結像されて拡大像を形成する。結像された二次電子又は反射電子はダブレツ トレンズ 741と、拡大レンズ 742、 743で順に拡大されて検出系 76に入射される。検 出系 76に入射した二次電子又は反射電子は MCP761に結像されて増幅され、シン チレータで光の信号に変換されてウェハ Wの画像を形成する。この画像は FOP762 を介して伝達されて光学レンズ 763で縮小され、 TDI検出器 764により二次元画像と して検出される。
[0111] 次に、図 7に示す写像投影型の電子光学装置 70における二次光学系 74の拡大倍 率の測定方法を説明する。拡大倍率を測定するための第 1の方法はファラデーカツ プを利用するもので、ウェハ Wを載置するステージ装置 50—隅に配置されたファラ デーカップの大きさ Rが既知の穴を電子ビームで走査したときの画像力 ¾ピクセルに 相当するとき、拡大倍率は R/rとして求まる。つまり、ファラデーカップ等の実際の大 きさが予めわかっている構造物の画像を取得し、その構造物の画素数を求めれば、 一画素当当たりの実際の大きさが分かるので、これが拡大率として求まる。
拡大倍率を測定する他の方法はレーザー干渉計を用いてステージの移動距離の 実寸法を測定する方法であり、次の a 1の手順で実施される。なお、図 1のテーブル 52、 53、 54及びホルダ 55を含む装置をステージと呼ぶことにする。
(a)ウェハ Wのコーナーのダイシングライン若しくはウェハ Wの特徴的なパターン( 例えば、 L字若しくは十字パターン等) Rが電子光学系の視野 V内に入るように、ステ ージを移動する(図 8 (a) )。
(b)ウェハ W上の特徴的なパターン Rに矩形ビーム(本例では長方形のビーム)を 照射し、ウェハ Wからの反射電子或いは二次電子を検出して二次元画像を得る。
(c)上記手順 bで二次元画像を得た時点のステージの座標 (Xc、 Yc)をレーザー干 渉計で読み取って記憶する。
(d)ステージを視野 V内で一定の距離だけ X方向に移動して、ウェハ W上の特徴的 なパターン Rを移動させ(図 8 (b) )、上述の二次元画像を得られた特徴的なパターン Rが視野 Vの端部で観察されるようにする。
(e)ステージを移動させた位置で手順 bと同じ操作を行い、移動した位置での特徴 的なパターン Rの二次元画像を得る。
(f)手順 eで画像を得た時点でのステージの座標 (Xf、 Yf)をレーザ干渉計で読み 取って記憶する。
(g)上記手順 bの位置で得た二次元画像の一部と、上記手順 eの位置で得た二次 元画像とをパターンマッチングして、 2つの画像の X方向又は Y方向の位置のずれ( Δ Χピクセノレ、 Δ Υピクセル)を算出する。
(h)更に、上記手順 cで記憶した座標 (Xc、 Yc)と手順 fで記憶した座標 (Xf、 Yf)と の差、(Xf_Xc) nm又は(Yf_Yc) nmを算出する。
(i)上記算出された数値から、ピクセル当たりの寸法、(Xf— Xc) / A X (nmZピクセ ノレ)又は(Yf-Yc) / A Y (nmZピクセル)を算出する。このピクセル当たりの寸法が拡 大倍率である。
(j)上記手順 iで算出したピクセル当たりの寸法をメモリに格納する。
(k)ウェハ Wの表面内の少なくとも 2個所のパターンについて、それぞれ上記手順 a から jまでの操作を行い、それぞれの取得された二次元画像間のパターンマッチング によって拡大倍率を求める。
(1)求めた拡大倍率を用いて、パターンの並び、ステージ座標の回転、パターン座 標、パターン間の距離及び電子光学系視野との関係をピクセル単位及び実寸法単 位で明らかにしてァライメントを行レ、、ウェハ Wの X軸及び Y軸方向と電子ビームの走 查方向とを一致させる。
以下、具体的なァライメントの方法を例を挙げて説明する。上記の a— 1の手順でァ ライメントを行った後に、以下の m— qの手順でウェハ Wの欠陥検査が行われる。
(m)ステージの連続移動及び Z又は電子ビームの走査とを組み合わせてウェハ W の被検査面を電子ビームで走査し、ウェハ Wから発せられた二次電子又は反射電子 力 ウェハ Wの被検査面のパターンの二次元画像を得る。
(n)上記手順 mで得た二次元画像を所定の領域分 (セル領域単位)に分割してメモ リに記憶する。
(o)上記手順 m及び手順 nの操作を繰り返す。
(P)上記所定の領域分に分割して記憶された二次元画像から、ウェハ Wの被検査 面の同一のチップ内の異なる個所で、同じパターンがあると予測される領域 (セル)同 土の二次元画像を比較(セル対セル検査)して、欠陥候補を算出する。
(q)試料面の異なるチップにおいて同じパターンがあると予測される領域と操作 で 取得された何れかの二次元画像とを比較(チップ対チップ検查)し、上記欠陥候補か ら欠陥を判断する。
[0113] 前記手順 1一 pにおいて、上記手順 jでメモリに格納されたピクセル当たりの寸法を参 照して画像比較による欠陥検出結果を導き出す。
[0114] ここで、図 9の(a)及び(b)を用いて、上記手順 mにおけるウェハ表面の走查につい て説明する。一回のステージ連続移動で画像取得を行うウェハ Wの領域をストライプ STと呼ぶとすると、ウェハ Wの被検查面を走查する方法には、図 9の(a)に示すよう に、矩形の電子ビームの長辺とストライプ STの幅とが等しくなるよう(例えば、ストライ プ STの X方向に電子ビームの長辺を合わせて)ステージを Y方向に連続移動させな がら被検査面のパターンの二次元画像を得る方法と、図 9の(b)に示すように、電子 ビームの長辺をステージの連続移動の方向(Y方向)に一致させ、ストライプ STを偏 向器 725、 726で X方向に走查することにより二次元画像を得る方法とがある。後者 の方法では、電子ビームの断面積を小さくできる分だけビーム電流密度を大きくでき 、信号の S/N比を大きくすることができる。更に、電子ビームの走査に同期して、レ ンズの励起条件等の二次光学系の光学パラメータを変化させることにより、偏向器に よって二次電子又は反射電子の軌道を光軸 Bの近傍に向けるよう制御して収差を低 減すること力 Sできる。
[0115] 次に、本発明に係る位置合わせ方法及び該方法を用いる欠陥検査方法を実施す ることができる半導体検查装置におけるマルチビーム型の電子光学装置 70aについ て説明する。図 10の(a)はこのマルチビーム型の電子光学装置 70aの構成を概略的 に示しており、図 10の(b)は該装置で使用されるマルチ開口の平面図である。
[0116] 図 10の(a)において、マルチビーム型の電子光学装置 70aは、一次光学系 72aと、 二次光学系 74aと、検出系 76aとを備えている。一次光学系 72aは、電子ビームをゥ ェハ W上のチップ等のパターン上に照射する光学系で、電子ビームを放出する電子 銃 721aと、複数の小孔が二次元的に配列されて形成されていて電子銃 721aから放 出された電子ビームを複数の電子ビーム(マルチビーム)に分けるマルチ開口板 722 aと、複数の電子ビームを集束する静電レンズ 723aと、 NA開口を画成する NA開口 部材 724aと、 NA開口部材 724aを通過した電子ビームを縮小する静電レンズ 725a と、静電偏向器 726aと、 E X B分離器 727aと、第 1の静電対物レンズ 728aと、偏向 器 729a、 730aと、第 2の静電対物レンズ 731 aとを備えてレヽる。これらの要素は、図 1 0の(a)に示すように、電子銃 721 aを最上部にして順に、電子銃 721aから放出され る電子ビームの光軸 Pがウェハ Wの表面に垂直になるように配置されてレ、る。
[0117] 図 10の(b)に示すように、マルチ開口板 722aには、 Y方向の間隔が互いに等しく なるように複数の小孔がー直線状に形成されており、これにより、マルチ開口板 722a は複数の電子ビームの相互の最小間隔が二次光学系の分解能以上の距離に保た れるよう生成する。
[0118] 二次光学系 84aは、 E X B分離器 727aの近くで光軸 OA1に対して所定の角度を 成す光軸 OA2に沿って配置された静電拡大レンズ 741aと偏向器 742aとを備え、 E X B分離器 727aを通過した二次電子又は反射電子を検出系 76aへ導く。
[0119] 検出系 76aはマルチ開口板 722aの各小孔に対応するチャンネルを有するマイクロ チャンネルプレート(MCP) 761aと、マノレチアノード 762aと、抵抗 763aと、 A/Dコ ンバータを含む画像形成回路 765aと、メモリ 766aを備えている。図 11に示すように 、マルチアノード 762aは細長い構造であって、 MCP761aから放出されたガスが速 やかに排気されるようになっている。各マルチアノード 762aの一端部 7621はセラミツ タスの基板 7620に固定され、リード線 764aで抵抗 763aと画像形成回路 765aとに 接続されている。
[0120] 上記のように構成されたマルチビーム型の電子光学装置 70aの動作について説明 する。単一の電子銃 721 aから放出された電子線はマルチ開口板 722aを照射する。 電子線はマルチ開口板 722aに形成された複数の小孔を通過して複数の電子ビー ム(マルチビーム) Mにされる。これら複数の電子ビームは、静電レンズ 723aで集束 され、 NA開口 724aでクロスオーバーを形成する。クロスオーバーした電子ビームは 静電レンズ 725a、第 1の静電対物レンズ 728a及び第 2の静電対物レンズ 731aによ り縮小され、試料上に 0. 1ないし 0. 05 μ ΐηの複数の電子ビームが照射される。この 場合、各電子ビームは、 E X B分離器 727aで僅かに偏向され、第 1の静電対物レン ズ 728aでレンズ中心を通るように偏向され、偏向器 729aで偏向されて符号 L1で示 された軌道を取る。静電偏向器 729a、 730aは電子ビームを同時に X方向に走査す る。
[0121] ウェハ Wから放出された二次電子又は反射電子は符号 L2で表された軌道を取り、 E X B分離器 727aで偏向されて二次光学系 74aに入射され、光軸〇A1に沿って進 む。この場合、二次電子群は電子ビーム毎に集束され、第 2の静電対物レンズ 731a 及び第 1の静電対物レンズ 728aで拡大された後、 E X B分離器 727aで偏向されて 二次光学系 74aに入射され、静電レンズ 741aにより、各電子ビームの間隔が MCP7 61aの背後に配置されているマルチアノード 762aの間隔と一致するように拡大率が 調整される。更に、一次電子ビームをウェハ W上で走査することと同期して、偏向器 7 42aにより、常にマルチアノード 762aの前面に結像するように補正が行われる(これ までが請求項 におけるステップ(a)に対応する)。マルチアノード 762aで吸収され た二次電子群は抵抗 763aで電圧信号に変換され、画像形成回路 765aで増幅され て A/D変換されて二次元画像が形成され、その二次元画像力 Sメモリ 766aに格納さ
[0122] この 2次元画像は第 1の領域 (例えば視野の左端)で得られた濃度情報を有し、符 号 Sl、 S2、 S3及び S4fまそれぞれ濃度力 Si . 0、 0. 7、 0. 3、 0. 1である咅 B分を示す
[0123] 次に、前記ステップ (b)で入力した領域と同じパターンがあると予測される第 2の領 域 (例えば光軸近傍)の濃度情報を有する、例えば図 12の右側に示される、 2次元 画像を形成して別のメモリーに入力する(ステップ c)。図 12において符号 Rl、 R2、 R 3、 R4は濃度が 1. 2、 0. 9、 0. 5、 0. 3である部分を示す。
[0124] その後、前記ステップ (b)で入手した画像と前記ステップ (c)で入手した画像とをメ モリーから呼び出しそれらの間の濃度マッチング 7001を行い、両画像の平均濃度が 一致するように一方の画像の濃度を増減する (ステップ d)。例えば、図 12に示される ように、 Rl、 R2、 R3、 R4の濃度 ίまマッチング前 ίま 1. 2、 0. 9、 0. 5、 0. 3であったも の力 それぞれ 1 · 0、 0. 7、 0. 3、 0. 1に変更されパターンマッチング 7002が可能と なる。パターンマッチング 7002を行った結果、左側の画像は 5アドレス分一 X方向に シフトしていることが分かる。
[0125] 更に、平均濃度が一致した画像間でパターンマッチングを行レ、、更にパターンマツ チングを行った画像の差を算出し、差のある場所を欠陥候補とする (ステップ e)。パタ ーンマッチング後に全てのアドレスの濃度を比較することによって欠陥 fl、 f2が検出 されるのである。
[0126] 最後に、前記第 1及び第 2の領域と同じパターンがあると予測される第 3の領域の 2 次元像を入手し、かつ前記第 3の領域の 2次元像と前記第 1及び第 2のいずれかとの 濃度マッチングを行い、前記ステップ (e)で得た欠陥候補と比較し、欠陥候補から欠 陥を決める (ステップ f)。このようにして本発明の欠陥検查方法が行われる。 [0127] 上記の欠陥検査方法において、電子線は、一軸方向へ投影したビーム間隔が等 間隔でかつ前記一軸と直角の方向に上記複数のビームを走査するマルチビームで あり、前記マルチビームを電気的に走査し、一軸と平行な方向に試料台を連続移動 しながら上記 2次元画像を形成する。また、前記ステップ (d)において、上記濃度マツ チングは両方の画像の最低の濃度が一致するようにオフセット値を一致させ、その後 最大値の濃度が一致するようにゲインを調整するようにしてもょレ、。
[0128] また、マルチビーム型の電子光学装置 70aにおいては、走查感度を測定して調整 すること力 Sできる。以下、この手順を図 13を参照して説明する。
(a)まず、ウェハ Wの 1つのコーナーのダイシングライン若しくはウェハ W上の特徴 的なパターンが電子光学装置 70aの電子光学系の視野 8200内に入るように、ステ ージを移動する。図 13の(a)に示すように、電子光学系の視野 8200は、この実施の 形態の場合、 X方向が 2048ピクセルで Y方向が 50ピクセルの範囲である。複数の電 子ビーム M (例えば 5個の電子ビーム)は、視野 8200内で、上述のように一次光学系 の光軸 Pを中心とする円(図 10の(b)に一点鎖線で示す)内に配置され、それらの電 子ビームの Y方向への投影した相互間隔は等しくなつている。各電子ビームは、符号 8201又は 8202で示された 2048ピクセル X 10ピクセルの小視野を走査することが できる。ウェハ Wには、 X方向のパターン位置と Y方向のパターン位置とを指定するこ とができるポイント 8203を有する特徴的なパターン 8204が設けられており、この特 徴的なパターン 8204が視野 8200内に存在するようにステージを移動させる。
(b)ウェハ W上の特徴的なパターン 8204に複数の電子ビームを照射し、ウェハ W からの二次電子又は反射電子を検出し、二次元画像を得る。
(c)手順 bで二次元画像を得た時刻でのステージの座標 (Xc、 Yc)をメモリに記憶 する。
(d)—つの電子ビームが分担する小視野 8201、 8202から特徴的なパターン 820 4が外れなレ、程度にステージを X方向及び Y方向に移動する(図 13の(b) )。
(e)移動した位置で特徴的なパターンの二次元画像を取得し、その画像を得た時 刻でのステージの座標(Xe、 Ye)を記憶する。
(f)手順 bで得た二次元画像及び手順 eで得た二次元画像のうち、特徴的なパター ン 8204を含む二次元画像部分にっレ、てパターンマッチングを行い、 2つの画像の X 方向又は Y方向の位置のずれ( Δ Xピクセル、 Δ Yピクセル)を算出する。
(g)手順 cで記憶した座標 (Xc、 Yc)と手順 eで記憶した座標 (Xe、 Ye)との差、(Xe -Xc) nm及び(Ye— Yc) nmを算出する。
(h) X方向の走查感度(Xe— Xc) / Δ X (nmZピクセル)及び Y方向の走查感度(Ye 一 Yc) / A Y (nm/ピクセル)を算出する。なお、走查感度とは、表示された画像の 1 ピクセルがウェハ Wにおいてはどれだけの長さになるかを示す値である。
(i)手順 hで算出した走查感度をメモリに格納する。この走查感度はこの後に行われ るァライメントで必要な数値である。すなわち、ァライメントを行ってウェハ Wの位置ず れを解消するには、表示された位置ずれを表すピクセル距離を走查感度を用いてゥ ェハ W上での実際の距離へ変換することが必要である。
上記の手順 a— iで走查感度を決定した後、以下の手順でウェハ Wの欠陥検査が 行われる。
0)ウェハ Wの被検査面内の少なくとも 2個所で、それぞれ手順 a— eの操作を行い 、取得した画像にパターンマッチングを行って、ステージ座標、パターン座標及び電 子光学系視野の関係を見当合わせする。この時、走査感度の正確な値が使用される 。こうしてァライメントを行った後に欠陥検査を行う。
(k)ステージを一軸方向に連続移動させながら、電子ビームを他軸方向に走査さ せ、ウェハ Wの被検査面のパターンの二次元画像を得る。
(1)手順 kで得た二次元画像を所定の領域分に分割して記憶する。
(m)手順 k及び手順 1の操作を繰り返す。
(n)上記所定の領域分に分割して記憶された二次元画像から、被検査面の同一の チップ内で同じパターンがあると予測される領域同士の二次元画像を比較して、欠 陥候補を算出する。
(o)被検查面の異なるチップにぉレ、て同じパターンがあると予測される領域とステツ プ nで取得された何れかの二次元画像とを比較し、上記欠陥候補から欠陥を判断す る。
上記手順 j一 nにおいて、手順 iでメモリに格納された走查感度を参照することにより 画像比較を行う。
[0130] 次に、図 14は、本発明に係る欠陥検査装置で用いられる電子光学装置の第 3の実 施の形態の構成を 70bで概略的に示している。この第 3の実施の形態は図 7の第 1の 実施形態と同様にシングルビーム方式の走查型電子光学装置であり、図 10の第 2の 実施の形態と異なるのは、マルチ開口板 722a及びマルチアノード 762a (図 11)が不 要となる点である。同時に、シングルビーム方式の走查型電子光学装置では、マル チビーム方式の装置の検出系 76bが、 PINダイオード又はシンチレータとフォトマル チプライヤからなる検出器 767bに置き換わる点でも異なる。なお、図 14において、 図 10に示す構成要素と同じ構成要素には同じ符号を付すことにし、それらについて の説明を省略する。
[0131] 図 7及び 14に示すシングルビーム方式の電子光学装置 70bにおいては、 1本のビ ームで 1画素分の情報を検出するので、ウェハ Wの被検查面から発生する二次電子 群の数に相当する信号強度のみを検出できればよぐ簡易な検出系で済むという利 点がある。
[0132] ここで、図 10及び図 14に示す電子光学装置 70を用いた欠陥検査装置において 実施される、本発明に係るパターン検査方法の一つの実施の形態を説明する。
[0133] 欠陥検査のために、複数のダイが形成されたウェハ等の基板に電子線を照射して その被検査面におけるパターンの画像を取得すると、取得した画像においても、理 論上、各ダイは設計どおりに配列されているはずである。しかし、既に説明したとおり 、実際には、ステージを移動させるステージガイドに歪みが生じていたり、リソグラフィ 工程で露光誤差が生じていたりすること等に起因して、形成された画像上のダイの配 列はウェハ上のダイの配列とは異なることがある。このような場合には、画像比較によ る欠陥検出に支障が生じるので、各ダイの配列がウェハ上のダイの配列と等しい画 像を取得するために、電子光学系の偏向器で電子線の偏向方向や偏向量を補正す ることが望ましい。
[0134] 上記の補正を行うためには、画像比較のための基準となるグリッドを取得することが 必要である。つまり、画像比較の基準となる「目標グリッド」を取得しなければならない 。 目標グリッドは設計上の CADデータであっても良いし、実際の基板上のダイの位置 を測定して演算により求めたものであってもよい。実際の基板上のダイを利用する後 者の場合、 目標グリッドを生成するために、試料上のダイの X軸方向及び Y軸方向の ピッチを検出し、検出されたピッチの平均値を計算して、仮想的な目標グリッドを求め る。また、 CADデータを利用する場合でも、必要に応じて同様の演算を行う。こうして 求めた仮想的な目標グリッドを用いて、欠陥検查を行う。
[0135] 実際上、多くの場合、各ダイは等間隔グリッドを形成する。以下、一般的な例として 、各ダイが等間隔グリッドを形成している場合、つまり、 目標グリッドが等間隔グリッド である場合について、ウェハ 1001の平面図を示す図 15を用いて、本発明に係るパ ターン欠陥検查方法の一つの実施の形態を具体的に説明する。図 15に示すように 、ウェハ 1001の表面には複数のダイ 1004 (図 15では一例として 15個のダイが形成 されている)が、互いに直交する X軸及び Y軸に沿って規則的に配列されている。各 ダイ 1004の欠陥検查を行うに際しては、ダイ 1004が配列される座標系と欠陥検查 装置の座標系とが正確に一致していることが望ましい。しかし、実際には、ウェハ 100 1をステージに載置するとき、ダイ 1004が配列される座標系と欠陥検査装置の座標 系とに回転方向のずれ (誤差)が生じてしまう場合がある。また、ウェハ 1001上にパ ターンを作成するリソグラフイエ程においても、設計値と比較して数 10—数 lOOnm 程度の位置ずれを生じる可能性がある。本発明に係るパターン欠陥検査方法により 、このような場合にも正確な欠陥検査を行うことができる。以下、本発明に係るパター ン欠陥検査方法の具体的な手順を説明する。
[0136] 先ず、仮想的なピッチを光学顕微鏡や電子顕微鏡を用いて検出するステップが実 行される。このステップにおいては、欠陥検査装置に備え付けられている光学顕微鏡 や電子顕微鏡を用いて、広範囲かつ低倍率から狭範囲かつ高倍率へと数段階でピ ツチの検出を行うのがよい。具体的には、試料の拡大画像上で隣接するダイ同士の ピッチ(例えば、図 15における隣接するダイの対応する隅 a、 b間の距離 P )をダイシ
1 ングライン 1005、 1006を利用して検出する。これによつて X軸方向のダイのピッチが 求まる。 Y軸方向についても、同様に、 P 接するダイのピッチを検出する。
[0137] なお、 P 接するダイのピッチだけでは実際のピッチと大きく異なる場合もあるので、 精度を向上させるためには、離れたダイ同士のピッチをも検出し、検出されたピッチ の平均値を求めてもよい。この平均処理については後述する。なお、隣接するダイ同 土の実際のピッチを検出する代わりに、設計上の CADデータ等の基準となるデータ を利用してピッチを求めてもよレ、。また、ダイのピッチを求めるのにダイのダイシンダラ インを用いたが、これに限られるものではなレ、。例えば、ダイ内の所定のパターンであ つて、同一視野内で誤ってパターンマッチングしてしまうような類似のパターンが近傍 に存在しなレ、ような特徴的なパターンを選択すれば、ダイシングラインの場合と同様 にダイのピッチを検出することができる。この特徴的なパターンの選択は、例えば、ダ ィのパターンデータに基づいて行われる。
[0138] 次いで、「仮想的な等間隔グリッド」を生成する。この「仮想的な等間隔グリッド」にお いては、ダイは X軸方向にも Y軸方向にも等間隔に配列される。また、当該等間隔グ リツドの X軸と Y軸とは正確に直交しているのが一般的である。 「仮想的な等間隔ダリ ッド」は、上記のようにして検出された X軸方向及び Y軸方向の仮想的なピッチを用い て生成される。この実施の形態においては、図 15に示すように、ダイは Y軸方向に長 い長方形であるため、「仮想的な等間隔グリッド」は X軸方向よりも Y軸方向のピッチ の方が長いグリッドになる。このように形成された「仮想的な等間隔グリッド」は、実際 には不等間隔に形成されているダイを等間隔に配列し直したり、欠陥検査装置の製 作誤差に起因して検査用画像に生じるダイの位置ずれなどを補正するための目標と なる仮想的なグリッドである。したがって、実際のダイの位置と「仮想的な等間隔グリツ ド」におけるダイの位置とは僅かなずれが生じる場合がある。
[0139] 図 16には、 X軸方向のピッチが Pで Y軸方向のピッチが Pである「仮想的な等間隔 グリッド」が図示されている。なお、「仮想的な等間隔グリッド」は、図 17に示すように、 平行四辺形であってもよい。
[0140] 次に、ウェハ上の各ダイの位置座標を検出するステップについて説明する。ダイの 位置座標の検出は、電子線を用いて、それぞれ X軸及び Y軸に平行なダイシンダラ イン 1005、 1006の直線をマークとするマーク検出によって行われる。検出すべきダ イシングライン 1005、 1006fま、図 15の(B) (こ示す f列で fま、それぞれのタ、、ィ 1004の 左側及び下側に存在する。
[0141] このマーク検出によりダイ 1004の X軸方向の直線 1005a及び Y軸方向の直線 100 6aが求められると、これらの直線 1005a、 1006aの交点力らダイ 1004の角の位置座 標(例えば、ダイ 1004の左下の角)が検出される。こうして検出された各ダイ 1004の 角の位置座標値は所定のメモリに記憶される。なお、各ダイ 1004の角の位置座標は 、ウェハ 1001上のすべてのダイについて求めても良いし、半数程度のダイについて 検出し、残りのダイについては近傍のダイの位置座標を利用して計算で補間するよう にしてもよい。
[0142] ここで、上記補間の一例について、図 19を参照して説明する。図 18において、ステ ージを移動させるステージガイドの X軸と Y軸とが正確に直交していない場合、以下 のように補間が行われる。即ち、ダイ D及び Dの左下の隅の座標(X, y )及び (X, y
0 2 0 0 2
)は予め実測により求められていると仮定して、ダイ Dの左下の隅の座標 (X , y )を
2 1 1 1 求める。上記のように、ステージガイドの X軸と Y軸とが正確に直交していない場合の 補正を行うので、リニア演算による補間が適用できる。そこで、図 18においては、ダイ Dはダイ Dと Dの間のちょうど中間に位置していると予想できるので、 X及び yは、 X
1 0 2 1 1
= (x +x ) ÷ 2, y = (y +y ) ÷ 2で計算される。また、複合的な原因で位置ずれが
1 0 2 1 0 2
生じているダイの位置座標を補間により求めるには、多項式を利用する。例えば、二 次の多項式 (y=ax2 + bx + c)を補間式とした場合に、例えば (X , y )、(x , y )、
10 10 20 20
(χ , y )の 3個所の実測値があれば、変数 a、 b、 cを求めることができ、これによつて
30 30
補間式を導出することができる。
[0143] 次いで、生成された「仮想的な等間隔グリッド」とウェハ上の各ダイの位置座標とに 基づいて、「仮想的な等間隔グリッド」における各ダイに対するウェハ上の各ダイ 100 04の位置誤差を算出する。図 16は、リソグラフイエ程においてダイが位置ずれして 形成された場合を説明する概念図であり、「仮想的な等間隔グリッド」は点線で示され 、各ダイは実線の長方形で示されている。上記位置誤差を算出するためには、まず、 生成された「仮想的な等間隔グリッド」の座標系とウェハ上のダイの座標系とをいずれ かの位置で対応させなければならなレ、。そこで、図 16は、左下のダイ 1004aが「仮想 的な等間隔グリッド」に対応しており、 9個のダイのうち中央のダイ 1004bが「仮想的 な等間隔グリッド」に対して X軸方向及び Y軸方向にそれぞれ Δ χ、 A yだけ僅かにず れていることを示している。 [0144] 上記位置誤差とは、「仮想的な等間隔グリッド」のと位置ずれしたダイの対応する位 置座標との差であり、図 16においては、基準座標(X , y )と中央のダイ 1004bの座
0 0
標 (X , y)とについて位置誤差 Δχ、 Ayが算出さ
1 1
れる。算出された位置誤差 Δχ、 Ayは所定のメモリに記憶される。
[0145] 次いで、実際の欠陥検査が行われる。欠陥検査に際しては、電子線をダイ 1004の 表面に照射して走査し、ダイ 1004から放出される二次電子群を検出して画像化する ことにより欠陥検查を行う。このとき、ウェハ 1001はステージに載置されて予め設定さ れた経路を移動するので、何らの補正もしない場合には、位置ずれを生じているダイ 1004bを走查すると、得られる画像にも位置ずれが生じてしまう。
[0146] これを解消するため、上記のようにして求めたダイの位置誤差 Δχ、 Ayをメ
モリから読出し、この位置誤差がゼロになるように電子線の偏向補正を行う。つまり、 X =χ + Δχ、 y =y + Ayから求められる位置に電子線を照射
1 0 1 0
するよう電子線の偏向方向や偏向量を補正する。
[0147] また、メモリを使用せず、ダイの位置補正(Δχ、 Δγ), (Δχ, Δγ)(Δχ, Δγ)
0 0 1 1 2 2 力 多項式 Ay=aAx2 + bAx+cより変数 a、 b、 cを求め、走査位置に応じて計算し て偏向補正量を求めてもょレ、。
[0148] こうした偏向補正は電子光学系の偏向器を用いて行われる。例えば、図 10の電子 光学装置 70a場合、偏向器 729a、 730aによって電子線の照射位置を補正すると共 に、偏向器 742aによって MCP762aに入射する電子の位置を補正する。このように して、位置誤差を生じたダイに対応して電子線 Mを偏向補正することにより、すべて のダイの画像が「仮想的な等間隔グリッド」に配置されることとなる。
[0149] X軸方向のずれとしては、上記のようにリソグラフイエ程で生じた固定的なものに加 えて、ステージガイド(図示せず)に歪みがある場合には、ウェハ 1001を載置するス テージの移動の際に生じる位置ずれもあり得る。ステージガイドに歪みがあると、ステ ージの移動が正確に Y軸に平行でなくなり、 X軸方向に僅かにずれてしまうことがある 。そこで、ステージの実際の位置はレーザ干渉計で常時監視しているので、この実際 のステージの位置と設定上のステージの位置との差を検出し、この差をゼロにするよ うに偏向器により電子線の偏向量を補正することが望ましい。 [0150] また、 Y軸方向の位置ずれの補正に関しては、リソグラフイエ程で生じたダイの位置 ずれは、 X軸方向の位置ずれについて上で説明したのと同様にして補正することが できる。ステージの移動時に生じた理論値とのずれは、以下のようにして補正すること ができる。例えば、電子光学系カ^ピクセル当たり 100MHzのクロック周波数で動作 すると仮定すると、 100ピクセル Z x sの速さでウェハを走查できることになる。実際に は、電子線をウェハに照射する際、ダイ全体に電子線を照射するのではなぐダイを 複数の細長い領域に分割し、この領域を単位として走查を行う。この領域は「ストライ プ」と呼ばれ、図 15の(A)では参照数字 1002で指示されている。ストライプ 1002の X軸方向の幅は、後述するように、 2048ピクセルに設定されているので、ストライプ 1 002を X方向に 1回走査するのに 20. 48 x s力、カ^)。走査の開始と終了とで合計 9. 5 2 z sのロスタイムがあるとすると、ストライプ 1002の X方向の 1回の走査に要する時間 は合計で 30 Sである。この時間内に Y軸方向に 1ピクセル分(0. l z m)の走查を 行うことになるので、走査を連続的に行う場合の Y軸方向のステージ速度は 0. 1 /i m /30 /i s = 3. 3mm/sとなる。こうして、ステージの Y軸方向の平均速度をこの値とし 、時間とステージの理論上の位置との関係を算出して算出結果をメモリに記憶してお く。一方、ステージの実際の位置はレーザ干渉計を用いて常時監視されている。この ため、上記計算上のステージの位置と実際のステージの位置とを比較することによつ て、ステージの速度むらを検出できるので、ステージの Υ軸方向の速度むらによる位 置ずれが検出された場合には、この位置ずれを無くすように電子光学系の偏向器に フィードバック或いはフィードフォワードする。
[0151] このようにして、「仮想的な等間隔グリッド」が求められ、ウェハ上の各ダイの位置座 標が補正された後、欠陥判定が実施される。欠陥を判定するには、まず、得られた画 像の中から、同一のパターンが含まれていると予測される複数の領域の画像を抽出 する。例えば、図 15の(Α)に示す複数のストライプ 1002の画像を抽出する。次いで 、抽出された画像を相互に比較し、画像どおしが正確に一致していない場合に欠陥 があると判定する。具体的な判定手法にっレ、ては後述する。
[0152] 以上説明したような補正を行うことにより、正確な領域の画像を生成することができ るので、セル対セルやダイ対ダイの画像比較を行う場合にも、 ± 2ピクセルまでのずら し画像を作成すれば十分精度の高い欠陥検査を行うことができることになる。
[0153] ここで、ダイのピッチを検出する方法の変形例について説明する。この場合には、 最初にウェハ 1001の中心領域のダイ 1004のピッチを検出する。例えば、図 10の( A)における角 a、 b間の間隔を検出する。この間隔を第 1のピッチ Pとする。続いて、
1
第 1のピッチ Pを所定の整数で整数倍し、その結果を第 2のピッチ Pとする。例えば、
1 2
第 1のピッチ Pを 4倍し、 P =4Pとする。次いで、求められた第 2のピッチ Pに近い距
1 2 1 2 離だけ離れている 2つのダイを選択し、それらのダイ相互のピッチを検出してこれを 第 3のピッチ Pとする。図 15の(A)においては点 c、 d間の距離が第 3のピッチ Pとな
3 3 る。この第 3のピッチ Pを上記所定の整数で除することにより、仮想的なダイのピッチ
3
を求める。以上のステップを X軸方向及び Y軸方向について行うことにより、両軸方向 のダイのピッチ P及び Pが求まる(図 16参照)。このような処理によって、実際のダイ のピッチにより近い値 P及び Pを求めることができる。
[0154] ここで、ダイのストライプへの分割について詳述する。既に述べたとおり、実際の欠 陥検査に際しては、それぞれのダイ 1004を Y軸に平行な複数のストライプ 1002、 10 03に仮想的に分割する。このストライプは、欠陥検査を行う際の電子線 Eによる X軸 方向の走査の単位となる。 1本のストライプの X軸方向の幅は、例えば 2048ピクセノレ に設定されており、仮に 1ピクセルがウェハ上の 100nm (0. 11 μ ΐη)に相当する場合 には、ストライプの幅は 204· 8 /i mとなる。
[0155] ダイ 1004の X軸方向の寸法はストライプ幅 204. 8 μ mの整数倍とは限らないが、 ストライプ幅の整数倍がダイ 1004の X軸方向の幅になるようにストライプ 1002の幅を 設定してもよいし、或いは最後のストライプ 1003を幅の狭いものとしてもよい。ただし 、ダイのストライプへの分割に際しては、すべてのダイ 1004の同じ位置のパターンが 、対応する同じストライプに含まれるようにする。
[0156] 先に述べた欠陥判定について、ここで詳細に説明する。上記したように、欠陥の判 定に際しては、異なるダイ 1004の相互に対応するストライプ 1002の画像同士を比 較する。これは、欠陥が無ければ、対応するストライプには相互に同一のパターンが 含まれていると予測され、欠陥があるときには比較結果に不一致が生じるからである [0157] 図 15の(A)においては、同一のウェハ上の互いに隣接するダイのストライプ 1002 におけるパターン同士を比較して欠陥検出を行う際、ステージを Y軸方向に連続的 に移動させることで、比較される 2つのパターンを連続的に観察し、短時間でウェハ 全面の検查を終了するようにしてレ、る。
[0158] 別の欠陥検出手法としては、例えば CAひ 報を用いてもよい。これは、ストライプ 1 002内に含まれるパターンを生成する CAD情報からストライプ 1002に含まれるもの と同じパターンを演算によってメモリ上に基準画像として生成し、この基準画像とゥェ ハ上のパターン(図 15の(A)ではストライプ 1002の画像)とを比較してその差を求め ることにより、欠陥を検出するものである。
[0159] 2つのダイのパターン同士を比較する手法では、ウェハ全面の検查を行う場合、ゥ ェハ上のダイの同じパターンを隣り合うダイについて連続的に検查することで検查時 間を短縮する。これに対して、 CAひ晴報からの基準画像と比較する手法は、 CAひ 報のベクタデータを画像データであるラスタデータに変換してメモリに保存して基準 画像を生成するステップと、被検査画像では基準画像との差が予想されるが欠陥で はない部分、例えばパターンのコーナ部を誤検出の防止のために画像変換して基 準画像に反映するステップと、基準画像の濃度をウェハから被検査画像を取得する 際に予想される濃度に変換するステップと、基準画像とウェハから取得した被検査画 像との位置を一致させるステップを含む。いずれの欠陥検出手法であってもパターン の形状欠陥やパーティクル検出が行えるが、電子線を用いてウェハ上の画像を取得 しているので、ボルテージコントラスト情報も得られ、電気的欠陥をも検出することが できる。
[0160] 以上説明してきたパターン欠陥検查方法は、既に説明した図 7に示される実施形 態による電子光学装置 70でも、或いは図 19に示す他の形式の電子光学系を採用し た電子光学装置を備える欠陥検査装置においても実行可能である。
[0161] 図 19の (A)は、本発明に係る欠陥検查装置において用いられる電子光学装置の 第 4の実施の形態の構成を 70cで概略的に示す図であり、電子光学装置 70cはマル チ光軸マルチビーム方式の電子光学系を採用している。電子光学装置 70cは、電子 銃 1061、マノレチ開口板 1062、コンデンサレンズ 1063、対物レンズ 1065、 E X B分 離器 1064、二次電子像拡大レンズ 14067、 MCP1068及びマルチアノード 1069を 備え、これらの構成要素が一直線上に複数組、ウェハ 1066に対して配置されるよう に構成されている。この結果、各組の一次光学系の光軸は異なるダイの対応するスト ライプの同じ位置に設定されることになる。
[0162] 対物レンズ 1065とアノード 1061 aで代表される一次光学系の光学部品は、図 19 の(B)に示すように、熱膨張係数がほぼゼロである 1枚のセラミックスの基板に、光軸 となる穴を複数個設け、ノック穴 1071で位置合わせを行うことによって、複数の光学 要素を構成するようにしている。対物レンズ 1065においては、電極穴 1072の内側 及び光軸の近傍を選択的に金属コーティングして帯電防止を行うと共に、各電極穴 1 072の周囲にそれぞれ独立の電圧を印加できるようにしている。
[0163] 図 19の(C)に示すように、アノード 1061aについても、各アノード穴 1074の周辺は 金属コーティングが施され、独立に電圧を印加することができるようになっているので
、アノード電流をアノード穴毎に調整可能である。これらのアノード穴 1074の間隔は 、ウェハ 1066上のダイの X軸方向のピッチの整数倍に正確に一致するように設定さ れ、このために、各アノード穴を通る電子線は異なるダイの対応するストライプの同じ 位置を検査できることとなる。なお、アノード 1061aは、ウェハ 1066の中心を通る軸 の周囲に回転させて位置調整ができるようになつている。ステージの移動速度のむら に起因する位置誤差やダイの位置ずれに起因する誤差が本発明の第 1の実施の形 態及び第 2の実施の形態について説明したと同様に算出されると、偏向器 1075と E X B分離器 1064内の静電偏向部 1076にフィードフォワード補正が行われ、その結 果、異なるダイの同じパターンが形成されている領域の二次元画像が常時得られるこ ととなる。ビーム位置がドリフトするような別の要因の位置ずれが発生したとしても、先 に取得した画像を ± 2ピクセルまで X軸方向及び Y軸方向に位置ずれさせた画像 24 枚と位置ずれの無い画像:!枚との計 25枚の画像を、取得した画像と次々に比較する ので、問題は生じない。
[0164] 図 20は、本発明に係る欠陥検查装置に用いられる電子光学装置の第 5の実施の 形態の構成を 70dで概略的に示しており、検查対象である試料は、電子を透過する ステンシル'マスクである。以下、図 20の欠陥検查装置の構成を、当該装置において 行い得る検査方法と結合させて説明する。図 20において、 LaB6製の力ソード 1081 、ウェーネルト 1082及びアノード 1083を備える電子銃 1084から軸 Zに沿って電子 線が放出される。放出された電子線は長方形の成形開口 1085に照射され、この開 口 1085により、軸 Zに垂直な断面での形状が長方形になるよう成形される。成形開 口 1085を通過して長方形に成形された電子線はコンデンサ ·レンズ 1086によって 集束されて NA開口 1087にクロスオーバーを形成する。 NA開口 1087を通過した電 子線は照射レンズ 1088によって、被検查マスクであるステンシル 'マスク 1089に長 方形の像を形成して照射する。
[0165] なお、ステンシル'マスク 1089はその周辺部を静電チャック 1090によってチャック されてステージ 1091に固定される。ステージ 1091の位置を常時測定するために、 固定ミラー 1092、移動ミラー 1093、固定のハーフミラー 1094、レーザー発振器 10 95及びレーザー受信器 1096を備えたレーザー測長機を設け、移動ミラー 1093をス テージ 1091の移動と共に移動させる。これにより、レーザー測長機は、レーザー発 振器 1095から発せられたレーザー光が固定ミラー 1092で反射されてレーザー受信 器 1096へ戻る時間と、レーザー発振器 1095から発せられたレーザー光が移動ミラ 一 1093で反射されてレーザー受信器 1096へ戻る時間との差に基づいて、ステージ 1091の位置を求めるものである。この測定結果を用いてステージ 1091の位置を精 度良く測定してステンシル 'マスク 1089のレジストレーシヨンを行う。これについては 後述する。
[0166] こうして、電子銃 1084から放出された電子線は、ステンシル.マスク 1089を透過し て対物レンズ 1097の主面に結像して拡大され、更に、 2段の拡大レンズ 1098、 109 9によって拡大されてシンチレータ 1100に入射する。シンチレータ 1100は、入射し た電子線を対応する光の像に変換し、変換された光の像は光学レンズ 1101に結像 された後、 TDI検出器 1102によって電気信号に変換される。この電気信号を処理す ることによって、ステンシル 'マスク 1089の一つの被検查領域に関する二次元画像を 取得すること力 Sできる。
[0167] 以上の処理を、電子銃 1084から電子線を放出させながらステージ 1091を一方向 に移動させてステンシル'マスク 1089の一列の被検查領域について行う。次いでス テージ 1091を移動させ、その隣の列の被検査領域に電子線を照射して TDI検出器 1102から二次元画像を取得する。以後、同様の手順を繰り返して被検査領域全域 について二次元画像を取得し、こうして取得された二次元画像を順次処理することに より、ステンシル 'マスク 1089の欠陥検查を行うことができる。
[0168] ここで、ステンシル 'マスク 1089のレジストレーシヨンについて説明する。レジストレ ーシヨンを行うために、まず、ステンシル 'マスク 1089上の間隔の明らかな 2つのパタ ーンを一つの視野内に入れて二次元画像を取得する。こうして二次元画像を取得し た後、ステンシル'マスク 1089の被検查領域が二次元画像に現れるときの倍率を測 定して記憶しておく。この記憶された倍率と、上記間隔と、この間隔内に存在する画 素の数とを用いて、ステンシル'マスク 1089における 1個の画素の寸法ひ(nmZピク セル)を算出し、これも記憶しておく。
[0169] 次いで、ステージ 1091を移動させてステンシル 'マスク 1089上の異なる 2つの個 所のパターンの二次元画像を取得すると共に、それぞれの二次元画像が取得された 時刻におけるステージ 1091の位置を前述のレーザー測長機によって測定し記憶し ておく。この結果、取得された二次元画像と、それぞれのステージの位置と、上記の 寸法ひとから、ステンシル'マスク 1089の姿勢及びその基準位置が正確に決定され る。こうしてレジストレーシヨンが完了する。
[0170] こうして決定されたレジストレーシヨンに基づいて、ステージ 1091をステンシル'マス ク 1089のパターンに沿って一方向へ連続的に移動させながら、 TDI検出器 1102に よって、ステージ 1091の移動に伴って取得された画像信号をステージ 1091の移動 方向に積分することで、 S/N比が改善された二次元画像を取得する。こうして一列 の被検查領域の走査が終了すると、その隣の列について同様の走査が行われて二 次元画像の取得が行われる。 TDI検出器 1102は取得された二次元画像とコンビュ ータのメモリ(図示せず)に蓄積された参照パターンとを比較することにより、ステンシ ノぃマスク 1089のパターンに存在する欠陥の検查を行うことができる。
[0171] 以上説明したように、本発明の第 5の実施の形態においては、レジストレーシヨンに 先立って、前述の手順で求めた 1個の画素の寸法ひを用いるので、倍率が変動して も正確なレジストレーシヨンを行うことが可能になる。なお、倍率が許容値以上にずれ たときには、拡大レンズ 1098、 1099をズーム動作させることによって倍率を許容値 に合わせるようにしてもよい。
[0172] 図 21は、本発明に係る欠陥検査装置に用いられる電子光学装置の第 6の実施の 形態の構成を 70eで概略的に示す図である。同図において、検查対象は非透過性 のウェハである。以下、第 6の実施の形態の構成を、この装置において実行される検 查手順と結合させて説明する。図 21において、熱電子放出力ソードを空間電荷制限 条件で動作させてレ、る電子銃 111から放出された電子線は、一次光学系の光軸 11 2に沿って配列されたコンデンサ 'レンズ 113、照射レンズ 114、ビーム成形開口(図 示せず)及び NA開口(図示せず)によって長方形に成形されて E X B分離器 1115 に入る。ここで電子線の進行方向は光軸 1112からウェハ 1116に垂直に向力、う方向 へ曲げられ、第 1の対物レンズ 1117及び第 2の対物レンズ 1118からなる対物レンズ 'タブレットを通過してウェハ 1116を照射する。図 20と同様に、ウェハ 1116はステー ジ(図示せず)に固定され、ステージの位置はレーザー測長機(図示せず)によって 観測される。
[0173] 電子線の照射によってウェハ 1116から発生した二次電子は、第 1の対物レンズ 11 17、第 2の対物レンズ 1118及び 3個の拡大レンズ 1119、 1120、 1121力らなる写像 投影光学系で拡大される。こうして拡大された電子線は、電子線に感度を有する TDI 検出器 1122で検出され、対応する電気信号へ変換される。この電気信号は画像形 成回路 1123に供給され、ウェハ 1116から発生された二次電子に対応する二次元 画像が形成される。この二次元画像はパターン 'メモリ 1124に蓄積される。
[0174] ここで、ウェハ 1116の被検査領域全域からの二次元画像の取得について説明す る。図 22において、二次光学系の光軸 1125を z軸に取り、 z軸に垂直で図 21の紙面 に平行に X軸を取り、これら z軸及び X軸に垂直に y軸を取る座標形を想定すると、電 子銃 1111から放出された電子線は前述のとおり長方形に成形され、ウェハ 1116の 面上において、 y軸方向に細長い長方形の領域 1131 (図 22の(A)に斜線で示す部 分)を照射する。この領域 1131は、偏向器 1126、 1127が電子線を偏向させるのに 伴って、ウェハ 1116に形成されたパターンのストライプ幅 1132に相当する距離だけ X軸方向に移動される。これによつてウェハ 1116の面の X軸方向に長い区画(走查 視野と呼ばれる) 1133が走査され、同時に、ウェハ 1116はステージと共に y方向に 連続的に移動される。こうしてウェハ 1116の一つのストライプが x、 y方向に走査され 、走査に伴って、ウェハ 1116から発生される二次電子の像が取得されて当該ストラ イブの走査が完了する。次いで、ステージを X方向に 1ストライプ幅だけ移動させて次 のストライプの走查を行レ、、画像を取得する。
[0175] ウェハ 1116の表面は必ずしも平坦ではないので、本発明の第 6の実施の形態に おいては、画像の取得に先立って、試料面の合焦条件を測定して記憶しておく。こ の合焦条件を測定するため、例えば、ウェハ 1116の表面の濃度分布を観測する。 そのために、図 22の(B)に示すように、ウェハ 1116の面内において、適切なパター ン 1134を含む走查視野 1135の画像を取得し、 X軸方向の濃度分布を測定する。そ の結果、例えば、図 22の(C)に示す濃度分布 1136が測定されたとする。そこで、濃 度が 12%から 88%に立ち上がるまでの走查視野 1135における距離 Δ χを算出する 。この距離 Δ χの算出を、対物レンズ 1118に与える電圧 V を変える毎に行い、図 22
48
の(D)に示す、 Δ χと V との関係を示す曲線 1137を計算して、その曲線 1137が最
48
小値を与える時の対物レンズ 1118の電圧値 V (min)を求める。こうして、一つの走
48
查視野に対応する電圧値が求まる。このような処理をウェハ 1116の被検査領域全 体にっレ、て行って、各走査視野とそれに対応する電圧値 V (min)とを求めておく。
48
[0176] 次いで、図 20について説明したのと同様の手順で、ウェハ 1116のレジストレーショ ンを行う。まず、試料上の間隔の明らかな 2つのパターンを一つの視野内に入れて二 次元画像を取得する。こうして二次元画像を取得した後、ウェハ 1116の被検査領域 が二次元画像に現れるときの倍率を測定して記憶しておく。この記憶された倍率と、 上記間隔と、この間隔内に存在する画素の数とを用いて、ウェハ 1116における 1個 の画素の寸法ひ(nm/ピクセル)を算出し、これも記憶しておく。
[0177] 次いで、ステージを移動させてウェハ 1116上の異なる 2つの個所のパターンの二 次元画像を取得すると共に、それぞれの二次元画像が取得された時刻におけるステ ージの位置を前述のレーザー測長機によって測定し記憶しておく。この結果、取得さ れた二次元画像と、それぞれのステージの位置と、上記の寸法ひとから、ウェハ 111 6の姿勢及びその基準位置が正確に決定される。こうしてレジストレーシヨンが完了す る。
[0178] レジストレーシヨンを行うために、前述の手順で求めた 1個の画素の寸法 αを用いる ので、倍率が変動しても正確なレジストレーシヨンを行うことが可能になる。なお、倍率 が許容値以上にずれたときには、拡大レンズ 1120、 1121をズーム動作させることに よって倍率を許容値に合わせるようにしてもよい。
[0179] こうして決定されたレジストレーシヨンに基づいて、ステージをウェハ 1116のパター ンに沿って一方向へ連続的に移動させながら、 TDI検出器 1122によって、ステージ の移動に伴って取得された画像信号をステージの移動方向に積分することで、 S/ Ν比が改善された二次元画像を取得する。こうして一列の被検查領域の走査が終了 すると、その隣の列について同様の走査が行われて二次元画像の取得が行われる。
TDI検出器 1122は取得された二次元画像とコンピュータのメモリ(図示せず)に蓄積 された参照パターンとを比較することにより、ウェハ 1116のパターンに存在する欠陥 の検査が行われる。このようにしてウェハ 1116の二次元画像を得るとき、各走查視 野において、即ち、ステージの各位置において、対物レンズ 1118の励起電圧を当該 位置について先に求めた電圧値 V (min)に設定する。これにより、写像光学系のレ
48
ンズの条件を合焦条件に一致させて、二次元画像を取得することができる。
[0180] なお、本発明の第 5の実施の形態及び第 6の実施の形態は、これまで説明してきた ところに限定されるものではない。例えば、図 21に示す構成の電子光学装置に関連 して、ウェハのような非透過性の試料から二次元画像を取得する際にレンズを合焦 条件に一致するよう設定する手順について説明した力 S、試料がステンシル 'マスクの ような透過性のものである場合にも、図 20に示す構成の電子光学装置を用いて、同 様の手順を実行することにより、合焦条件に一致するようレンズを設定することが可能 である。
[0181] ここで、ウェハの検查工程における検查手順について図 23を用いて説明する。一 般に電子線を用いた欠陥検查装置は高価であり、またスループットも他のプロセス装 置に比べて低いために、現状では最も検査が必要と考えられている重要な工程 (例 えばエッチング、成膜、又は CMP (化学機械研磨)平坦ィ匕処理等)の後に、また、配 線工程では一層微細な配線工程部分、すなわち配線工程の 1から 2工程及び前ェ 程のゲート配線工程等に利用されている。
[0182] 検査されるウェハは大気搬送系及び真空搬送系を通して、超精密 X— Yステージ上 に位置合わせ後、静電チャック機構等により固定され、以後、図 23の手順に従って 欠陥検查等が行われる。はじめに光学顕微鏡により、必要に応じて各ダイの位置確 認ゃ、各場所の高さ検出が行われ記憶される (ステップ 1141)。光学顕微鏡はこの 他に欠陥等の見たい所の光学顕微鏡像を取得し、電子線像との比較等にも使用さ れる。次にウェハの種類(どの工程後力、、ウェハのサイズは 200mmか、 300mm力 等)に応じたレシピの情報を装置に入力し (ステップ 1142)、以下、検査場所の指定 、電子光学系の設定 (ステップ 1143)、検查条件の設定 (ステップ 1144)等を行なつ た後、画像取得を行ないながら通常はリアルタイムで欠陥検查を行なう。セル同士の 比較、ダイ比較等力 アルゴリズムを備えた高速の情報処理システムにより検査が行 なわれ、必要に応じて CRT等に結果を出力や、メモリへ記憶を行なう。
[0183] 欠陥にはパーティクル欠陥、形状異常 (パターン欠陥)、及び電気的(配線又はビ ァ等の断線及び導通不良等)欠陥等が有り、これらを区別したり欠陥の大きさや、キ ラー欠陥(チップの使用が不可能になる重大な欠陥等)の分類を自動的にリアルタイ ムで行うことも出来る。電気的欠陥の検出はコントラスト異常を検出することで達成さ れる。例えば導通不良の場所は電子線照射(500eV程度)により、通常正に帯電し、 コントラストが低下するので正常な場所と区別ができる。この場合の電子線照射手段 とは、通常検査用の電子線照射手段以外に別途、電位差によるコントラストを際立た せるために設けた低電位 (低エネルギー)の電子線発生手段 (熱電子発生、 UV/光 電子)をいう。検査対象領域に検査用の電子線を照射する前に、この低電位(ェネル ギー)の電子線を発生 '照射する。検查用の電子線を照射することでウェハを正に帯 電させることができる写像投影方式の場合は、仕様によっては、別途低電位の電子 線発生手段を設ける必要はない。また、ウェハ等の試料に基準電位に対して、正又 は負の電位をかけること等による(素子の順方向又は逆方向により流れ易さが異なる ために生じる)コントラストの違レ、から欠陥検出が出来る。線幅測定装置及び合わせ 精度測定にも利用できる。
[0184] 電子線によるウェハ等の試料の検查は、図 24に示す基本的な手順にしたがって行 われる。まず、ステップ 1151において、搬送機構よりウェハがステージ上に投入され る。通常、検査されるべきウェハは複数枚 (例えば 25枚)ずつカセットホルダー内に 収納され、その中から 1枚ずつ又は複数枚同時に取り出されて欠陥検査装置のステ ージ上に載置されるが、欠陥検査装置は真空状態のハウジング内に設置されるため 、被検查ウェハをカセットホルダーから取り出してステージに載置する作業及び検查 の終了したウェハをハウジングから取り出す作業を行うには、大気と真空との間をイン ターフェースする装置が必要である。そこで、ウェハの投入に際して、カセットホルダ 一から取り出されたウェハはミニエンバイロメント装置にぉレ、て清浄にされてからロー デイングチャンバ一内に搬入される。ローデイングチャンバ一はシャッターを介してハ ウジングと連結されているので、ウェハがローデイングチャンバ一内に搬入されると、 ローデイングチャンバ一内は真空に引かれる。ローデイングチャンバ一内が真空にな ると、シャッターが開かれてローデイングチャンバ一とハウジングとが連絡され、検查 済みのウェハがステージから取り外されてハウジングから排出されるとともに、検査さ れるべきウェハがローデイングチャンバ一からハウジングへ搬送されてステージ上に 載置される。
[0185] これに続いて、ステップ 1152においてァライメント操作が行われ、ウェハの位置合 わせが行われる。ウェハがローデイングチャンバ一からステージ上に載置されたとき、 通常、ウェハのダイの X軸又は y軸は、ステージの移動方向又は電子線の走査方向と 一致しない。そこで、ウェハのダイについて正確な検査を行うために、最初に、ウェハ のダイを構成する軸がステージの移動方向又は電子線の走査方向と一致するよう、 ウェハをステージ上で回転させてダイの角度ずれを補正する。この操作はァライメント と呼ばれる。
[0186] ステップ 1152のァライメント操作の後、検査に関する条件等を設定するレシピを作 成するステップ 1153が行われる。レシピは被検查ウェハに最低 1種類は必要である が、複数の検查条件に対応するために、 1枚の被検查ウェハに対して、複数のレシピ が存在することもある。また、同一パターンの被検查ウェハが複数枚ある場合、一種 類のレシピで複数のウェハを検査してもよレ、。過去に作成されたレシピで検查する場 合には、検查動作の前にレシピを作成する必要がなレ、。 [0187] 次いで、ステップ 1154において、検査動作が、レシピに記載された条件、シーケン スに従って実行され、ウェハが検査される。欠陥抽出は、検査動作中に欠陥を発見 するごと即時行われ、抽出された欠陥はステップ 1155において分類され、抽出され た欠陥の位置等の情報が分類情報や欠陥の画像とともに蓄積され、また、抽出欠陥 の位置などの欠陥情報が操作画面上に表示される(ステップ 1156)。こうしてウェハ の検査が終了すると、そのウェハが排出され (ステップ 1157)、次のウェハが搬送さ れて上記の一連の動作が繰り返される。なお、経路 1158は、過去に作成されたレシ ピで検查する場合、検查動作直前にレシピの作成が不要であることを示している。
[0188] 図 24において、検查動作 (ステップ 1154)は、レシピに記載された条件、シーケン スに従いウェハの検査を行う。欠陥抽出は、検査動作中欠陥を発見する毎に即時行 われ、次の a)— c)の動作をほぼ並列に実行する。
[0189] a)欠陥分類 (ステップ 1155)を行い、結果出力ファイルに抽出欠陥情報と欠陥分類 情報を追加する。
b)抽出欠陥画像を画像専用結果出力ファイル又は a)の結果出力ファイルに追加す る(ステップ 1156)。
c)抽出欠陥の位置などの欠陥情報を操作画面上に表示する。
被検査ウェハ単位で検査が終了すると、次の a)— c)の動作をほぼ並列に実行する a)結果出力ファイルをクローズして保存する。
b)外部からの通信が検査結果を要求する場合、検査結果を送る。
c)ウェハを排出する。
[0190] 連続的にウェハを検查する設定がなされている場合、次の被検查ウェハを搬送し て、前記一連の動作を繰り返す。
[0191] 以下、図 24のフローについて、さらに詳細を述べる。
[0192] (1)レシピ作成(ステップ 1153)
レシピとは、検査に関係する条件等の設定ファイルであり保存する事も可能である。 検査時もしくは検査前にレシピを使用して装置設定を行うが、レシピに記載された検 查に関係する条件とは、 a)検査対象ダイ
b)ダイ内部検査領域
c)検査アルゴリズム
d)検出条件 (検査感度等、欠陥抽出に必要な条件)
e)観察条件 (倍率、レンズ電圧、ステージ速度、検査順序等、観察に必要な条件
)
などである。 c)検查アルゴリズムについては具体的に後述する。
[0193] この中で、検查対象ダイの設定は、図 25に示される様に、操作画面に表示された ダイマップ画面に対して、検查するダイをオペレータが指定する。図 24の例では、ゥ ェハ端面のダイ a、前工程で明らかに不良と判定されたダイ bをグレイアウトして検查 対象から削除し、残りを検査対象ダイとしている。また、ウェハ端面からの距離ゃ前ェ 程で検出されたダイの良否情報をもとに自動的に検査ダイを指定する機能も有して いる。
[0194] また、ダイ内部の検査領域の設定は、図 25に示される様に操作画面に表示された ダイ内部検査領域設定画面に対して、検査領域をオペレータが光学顕微鏡もしくは EB顕微鏡により取得した画像をもとにマウス等の入力機器で指定する。図 26の例で は、実線で指した領域 1161と破線で指した領域 1162を設定してレ、る。
[0195] 領域 1161は、ダイのほぼ全体を設定領域としてレ、る。検査アルゴリズムは隣接ダイ 比較法 (ダイ-ダイ検査)としこの領域に対する検出条件、観察条件の詳細は、別に 設定する。領域 1162は、検査アルゴリズムをアレイ検査 (検査)としこの領域に対する 検出条件、観察条件の詳細は、別に設定する。すなわち複数の検査領域の設定が 可能でかつ、検查領域は、それぞれ独自の検查アルゴリズムゃ検查感度を条件設定 出来る。また検查領域は重ね合わせる事も可能で、同じ領域に対して、異なる検查ァ ルゴリズムを同時に処理することも可能である。
[0196] (2)検查動作 (ステップ 1154)
検查は、被検查ウェハに対して図 27の様に或る走查幅に細分され走查する。走查 幅は、ほぼラインセンサの長さで決まる力 ラインセンサの端部が少し重なる様に設 定してある。これは検出した欠陥を最終的に統合処理する場合にライン間の連続性 を判断するため、及び、比較検査を行う際に画像ァライメントするための余裕を確保 するためである。重なり量は 2048ドットのラインセンサに対して 16ドット程度である。
[0197] 走査方向及びシーケンスを、模式的に図 28の(A)、 (B)に示す。オペレータは、図 示のような、検查時間短縮のための双方向動作 Aと機械制限からの単方向動作 Bと のいずれ力、を選択することができる。また、レシピの検查対象ダイ設定を元に走查量 を減らす動作を自動演算して検查する機能も有している。図 29は、検查ダイ 1171が 1個の場合の走查例を示しており、不要な走查は行われていない。
[0198] 本装置で行う検査のアルゴリズムは、大別して
1.アレイ検查(Cell検查)
2.ランダム検查(Die検查)
の 2種類であり、ランダム検查は比較対象により、さらに以下にように区分される。
[0199] a)隣接ダイ比較法(Die-Die検查)
b)基準ダイ比較法(Die-AnyDie検査)
c)キヤド ·データ比較法(CadData-AnyDie検査)。
[0200] 一般にゴールデンテンプレート方式と呼ばれる方式は、 b)基準ダイ比較法と c)キヤ ド ·データ比較法を含み、基準ダイ比較法にぉレ、ては基準ダイをゴールデンテンプレ ートとするが、キヤド ·データ比較法おレ、てはキヤド ·データをゴールデンテンプレート とする。以下、各アルゴリズムの動作を述べる。
[0201] (1)アレイ検査(Cell検査)
アレイ検査は、周期構造の検査に適用される。 DRAMセルなどはその一例である。 検査は、基準とする参照画像と被検査画像の比較を行い、その差分を欠陥として抽 出する。参照画像と被検査画像とは、二値化画像であっても、検出精度を向上させる よう多値画像であっても構わない。参照画像と被検查画像の差分そのものを、検出さ れた欠陥として扱ってよぐ更に、検出した差分の差分量や差分のある画素の合計面 積などの差分情報を元にして、誤検出を防ぐための 2次的な判定を行っても良い。
[0202] アレイ検查においては、参照画像と被検查画像の比較は構造周期単位で行われる 。即ち、 CCDなどで一括取得した画像を読み出しながら 1構造周期単位で比較して も良いし、参照画像力 ¾個の構造周期単位であれば、 n個の構造周期単位同時に比 較できる。
[0203] 参照画像の生成方法の一例を図 30に示す、ここでは 1構造周期単位で比較する 例を述べるので 1構造周期単位生成を表す。同じ方法で周期数を nにする事も可能 である。前提として、図 30での検查方向は矢印 Aの方向である。また周期 tを被検查
4 周期とする。周期の大きさはオペレータが画像を見ながら入力するので、図 30にお いて周期 t
1一 tは容易に認識できる。
6
[0204] 参照周期画像は、各画素において被検査周期直前の周期 t
1一 tを加算し平均して 3
生成する。 t
1一 tのいずれかに欠陥が存在しても平均処理されるので影響は少なレ、。
3
この形成された参照周期画像と被検査周期 tの画像を比較して欠陥の抽出を行う。
4
[0205] 次に被検査周期 t5の画像を検査する場合、周期 t
2一 tを加算平均して参照周期画 4
像を生成する。以下、同様に被検査周期画像取得以前に得た画像より、被検査周期 画像を生成して検查を連続させる
(2)ランダム検査(Die検査)
ランダム検査は、ダイの構造に制限されず適用できる。検査は、基準となる参照画 像と被検査画像の比較を行い、その差分を欠陥として抽出する。参照画像と被検査 画像は、二値化画像でも、検出精度を向上するよう多値画像であっても構わない。参 照画像と被検査画像の差分そのものを、検出された欠陥として扱ってよぐ更に、検 出した差分の差分量や差分のある画素の合計面積などの差分情報を元にして、誤 検出を防ぐため、 2次的な判定を行っても良い。
[0206] ランダム検査は参照画像の求め方で分類することが出来る。以下、各求め方にお ける動作を説明する。
[0207] A. P 接ダイ比較法(Die-Die検查)
参照画像は、被検查画像と隣接したダイである。被検查画像に隣り合った 2つのダ ィと比較して欠陥を判断する。この方法は、図 31と図 32に示す、画像処理装置のメ モリ 1181とメモリ 1182力カメラ 1183力らの経路 1184に接続するようスィッチ 1185、 スィッチ 1186を設定した状況で、以下のステップ a)— i)を有する。
a)走查方向 Sに従いダイ画像 1 (図 31)を経路 1184からメモリ 1181に格納するステ ップ。 b)ダイ画像 2を経路 1184力 メモリ 1182に格納するステップ。
c)上記 b)と同時に経路 1187からダイ画像 2を取得しながら、取得したダイ画像 2と 、ダイにおける相対位置が同じであるメモリ 1181に格納された画像データとを比較し て差分を求めるステップ。
d)上記 c)の差分を保存するステップ。
e)ダイ画像 3を経路 1184からメモリ 1181に格納するステップ。
f)上記 e)と同時に経路 1187からダイ画像 3を取得しながら、取得したダイ画像 3と 、ダイにおける相対位置が同じであるメモリ 1182に格納された画像データとを比較し て差分を求めるステップ。
g)上記 f )の差分を保存するステップ。
h)上記 d)と g)で保存された結果より、ダイ画像 2の欠陥を判定するステップ。
i)以下、連続したダイにおいて a)力も h)を繰り返すステップ。
[0208] 設定によって、上記 c)、 f)におレ、て差分を求める前に、比較する 2つの画像の位置 差が無くなる様に補正する (位置ァライメント)。または、濃度差が無くなる様に補正す る(濃度ァライメント)。もしくはその両方の処理を行ってもよい。
[0209] B.基準ダイ比較法(Die-AnyDie検査)
オペレータにより基準ダイを指定する。基準ダイはウェハ上に存在するダイもしくは
、検査以前に保存してあるダイ画像であり、まず基準ダイを走査もしくは転送して画 像をメモリに保存して参照画像とする。以下、この方法で行われる a)— h)のステップ を、図 31及び図 32を参照しながら説明する。
a)オペレータが基準ダイを、被検査ウェハのダイより選択、もしくは検査以前に保存 してあるダイ画像より選択するステップ。
b)基準ダイが被検查ウェハに存在する場合、画像処理装置のメモリ 1181もしくは メモリ 1182の少なくとも一方がカメラ 1183からの経路 1184に接続するようにスィッチ 1185、スィッチ 1186を設定するステップ。
c)基準ダイが検查以前に保存してあるダイ画像の場合、画像処理装置のメモリ 11 81とメモリ 1182のうちの少なくとも一方がダイ画像である参照画像を保存してあるメ モ]; 1188力 らの経路 1189に接続するようにスィッチ 1185、スィッチ 1186を設定す d)基準ダイが被検査ウェハに存在する場合、基準ダイを走査して、基準ダイ画像 である参照画像を画像処理装置のメモリに転送するステップ。
e)基準ダイが検査以前に保存してあるダイ画像の場合、走査を必要とせず、基準 ダイ画像である参照画像を画像処理装置のメモリに転送するステップ。
f)被検查画像を順次走査して得られる画像と、基準ダイ画像である参照画像を転 送されたメモリの画像と、ダイにおける相対位置が同じである画像データとを比較して 差分を求めるステップ。
g)上記 f)で得られた差分より欠陥を判定するステップ。
h)以下、連続して、図 34で示すように、基準ダイの走查位置と被検查ダイの同じ部 分をウェハ全体について検査し、ダイ全体を検査するまで基準ダイの走查位置を変 更しながら上記 d)力 g)を繰り返すステップ。
[0210] 設定によって、上記 f)において差分を求める前に、比較する 2つの画像の位置差 が無くなる様に補正する (位置ァライメント)。もしくは濃度差が無くなる様に補正する( 濃度ァライメント)。もしくはその両方の処理を行ってもょレ、。
[0211] 上記ステップ d)もしくは e)におレ、て、画像処理装置のメモリに蓄えられる基準ダイ画 像は、基準ダイ全てでも基準ダイの一部でもよぐ基準ダイの一部を基準ダイ画像と したときには、基準ダイの一部を更新しながら検査する。
[0212] C.キヤド ·データ比較法(CadData-AnyDie検査)
図 35に示した半導体製造工程において、キヤドによる半導体パターン設計工程の 出力であるキヤド 'データより参照画像を作成し、基準画像とする。基準画像は、ダイ 全体であっても、検查部分を含む部分的な物であっても良い。
[0213] このキヤド 'データは、通常、ベクタデータであり、走查動作によって得られる画像デ ータと等価なラスタデータに変換しないと参照画像として使用出来なレ、。そこで、キヤ ド 'データであるべクタデータをラスタデータに変換するが、この変換は検查時に被検 查ダイを走査して得られる画像走查幅の単位で行われる。このとき、被検查ダイを走 查して得る予定の画像とダイにおける相対位置が同じである画像データについて変 換が行われる。検查走査と変換作業とはオーバラップして行われる。 [0214] 上記の、ベクタデータをラスタデータに変換する作業には、
a)ラスタデータの多値化機能、
b)上記 a)に関して多値化の階調重みやオフセットを、検査装置の感度に鑑みて設 定する機能、
c)ベクタデータをラスタデータに変換した後で、膨張、収縮など画素を加工する画 像処理を行う機能、
のうちの少なくとも 1つの機能を付加してもよい。
[0215] 図 32において、キヤド 'データ比較法による検查ステップは、以下の a)— f)のステツ プを含む。
[0216] a)計算機 1190でキヤド 'データをラスタデータに変換し、且つ上記付加機能で参 照画像を生成してメモリ 1188に保存するステップ、
b)画像処理装置のメモリ 1181もしくはメモリ 1182の少なくとも一方がメモリ 1188力、 らの経路 1184に接続するようにスィッチ 1185、スィッチ 1 186を設定するステップ、 c)メモリ 1188の参照画像を画像処理装置のメモリに転送するステップ、 d)被検査画像を順次走査して得られる画像と、参照画像が転送されたメモリの画像 と、ダイにおける相対位置が同じである画像データとを比較して差分を求めるステツ プ、
e)上記 d)で得られた差分より欠陥を判定するステップ、
f)以下、連続して、図 34で示すように、基準ダイの走査位置を参照画像とし被検査 ダイの同じ部分をウェハ全体検査し、ダイ全体を検査するまで基準ダイの走査位置 を変更しながら上記 a)から e)を繰り返すステップ。
[0217] 設定によって、上記ステップ d)において差分を求める前に、比較する 2つの画像の 位置差が無くなる様に補正する (位置ァライメント)。もしくは濃度差が無くなる様に補 正する(濃度ァライメント)。もしくはその両方の処理を行ってもよい。
[0218] 上記ステップ c)において、画像処理装置のメモリに蓄えられる基準ダイ画像は、基 準ダイ全てでも基準ダイの一部でもよレ、。基準ダイの一部を基準ダイ画像としたとき には、基準ダイの一部を更新しながら検查を行ってもよい。
[0219] (3)フォーカスマッピング フォーカス機能の基本的流れを、図 36に示す。まずァライメント動作 (ステップ 120 2)を含んだウェハ搬送 (ステップ 1201)の後、検査に関係する条件等を設定したレ シピを作成する(ステップ 1203)。このレシピの 1つとしてフォーカスマップレシピがぁ り、ここで設定されたフォーカス情報に従レ、、オートフォーカス状態で検查動作及び レビュー動作が行われる(ステップ 1204)。この後、ウェハが排出される(ステップ 12 05)。以下、フォーカスマップレシピの作成手順とオートフォーカスの動作手順とを説 明する。
[0220] 1.フォーカスマップレシピの作成手 J噴
フォーカスマップレシピは、独立的な入力画面を有しており、オペレータは次の a) 一 c)のステップを実行してレシピを作成する。
[0221] a)図 37の位置選択スィッチ 1211により、フォーカス値を入力するダイ位置やダイ の中のパターン等、フォーカスマップ座標を入力するステップ、
b)フォーカス値を自動測定する場合に必要な、ダイパターンを設定するステップ( なお、このステップはフォーカス値を自動測定しない場合、スキップ出来る)、 c)上記 a)で決められたフォーカスマップ座標のベストフォーカス値を設定するステツ プ。
[0222] なお、上記ステップ a)では、オペレータは任意のダイを指定することができ、また、 オペレータが全てのダイ若しくは n個毎のダイを選択することができるよう設定すること も可能である。また、オペレータは、入力画面として、ウェハ内のダイ配列を模式的に 表現した図又は実画像を使った画像を選択することができる。
[0223] 上記ステップ c)においては、オペレータは、ベストフォーカス値の設定を、図 37の マニュアル 'スィッチ 1213を用いてマニュアルで、フォーカス用電極の電圧値に連動 したフォーカス 'スィッチ 1212で、又はオート'スィッチ 1214で自動的に選択'設定 すること力 Sできる。
[0224] 2.フォーカス値自動測定手順
上記ステップ c)において自動的にフォーカス値を求める手順の一例は、 a)図 38に示すように、フォーカス位置 Z= lの画像を求め、そのコントラストを計算 b)上記ステップ a)を Z = 2、 3、 4においても行うステップ、
c)上記ステップ a)、 b)で得られたコントラスト値から回帰させ、コントラスト関数を求 めるステップ、
d)コントラスト関数の最大値を与える Zを計算で求め、これをべストフォーカス値とす るステップ、
を含む。
[0225] 例えば、フォーカス値を自動測定する場合に必要なダイパターンとして、図 39に示 すようなラインとスペースが選択された場合には良い結果が得られる。コントラストは 白黒パターンがあれば形状によらず計測可能である。
[0226] 上記ステップ a)力、ら d)を行うことによって 1点のベストフォーカス値が求まる。このとき のデータ形式は(X,Y,Z)であって、フォーカスを求めた座標 ΧΥとべストフォーカス値 Ζとのセットであり、フォーカスマップレシピで決められたフォーカスマップ座標数( Χ,Υ,Ζ)が存在することになる。これはフォーカスマップレシピの一部であり、フォー力 スマップファイルと呼ばれる。
[0227] 3.オートフォーカスの動作手順
画像を取得する検査動作及びレビュー動作時に、フォーカスマップレシピに基づい てべストフォーカスを設定する方法は、次のように行われる。
[0228] まず、フォーカスマップレシピの作成時に作成されたフォーカスマップファイル 1を 元に位置情報をさらに細分化し、このときのべストフォーカスを計算で求めて、細分化 したフォーカスマップファイル 2を作成する。この計算は補間関数で行われ、補間関 数は、リニア補間やスプライン補間等でフォーカスマップレシピの作成時にオペレー タにより指定される。次いで、ステージの ΧΥ位置を監視して、現在の ΧΥ位置に適し た、フォーカスマップファイル 2に記載されたフォーカス値にフォーカス用電極の電圧 を変更する。
[0229] さらに具体的に説明すると、図 40の(Α) (C)において、黒丸がフォーカスマップ ファイル 1のフォーカス値、白丸がフォーカスマップファイル 2のフォーカス値であると すると、フォーカスマップフアイノレのフォーカス値の間をフォーカスマップフアイノレのフ オーカス値で補間し、走査に従レ、フォーカス位置の Ζ座標を変化させてベストフォー カスを維持する。このとき、フォーカスマップファイル(白丸で示す)の間は、次の変更 する位置まで、前の値が保持される。
[0230] 図 41は、本発明に係る欠陥検査装置を使用した製造ラインの一例を示している。
検查装置 1221で検査されるウェハのロット番号、製造に経由した製造装置履歴等 の情報を SMIFまたは FOUP1222に備えられたメモリから読み出す力 \または、そ のロット番号を、 SMIF、 FOUP又はウェハカセットの ID番号を読むことにより認識で きるようになつている。
[0231] 欠陥検查装置 1221は生産ラインのネットワーク 'システムと接続することが可能とな つており、このネットワーク 'システム 1223を介して、生産ラインを制御している生産ラ インコントロールコンピュータ 1224、各製造装置 1225及び別の検查装置に、被検查 物であるウェハのロット番号などの情報とその検査結果を送ることが出来る。製造装 置には、リソグラフィー関連装置例えば露光装置、コーター、キュア装置、デベロツバ 等、又は、エッチング装置、スパッタ装置及び CVD装置などの成膜装置、 CMP装置 、各種計測装置、他の検査装置等が含まれる。
[0232] ウェハの検査においては、分解能の観点からは、電子線をウェハに衝突させ、ゥェ ハから放出された電子を検出して表面の画像を得ることが望ましい。したがって、これ までは、主に、ウェハから放出された二次電子、反射電子、後方散乱電子を中心に 例を挙げて説明してきた。しかし、検出される電子は、基板の表面の情報を得ている ものであれば何でも良ぐ例えば、基板付近に逆電界を形成することにより、基板に 直接衝突せずに、基板付近で感謝するミラー電子 (広義には反射電子とも言う)、或 いは基板を透過する透過電子等でも良い。特にミラー電子を用いた場合には、電子 が試料に直接衝突しないので、チャージアップの影響が極めて小さいとレ、う利点があ る。
[0233] ミラー電子を利用する場合、ウェハに、加速電圧よりも低い負の電位を印加し、ゥェ ハ付近に逆電界を形成する。この負の電位は、ウェハの表面付近で殆どの電子線が 戻される程度の値に設定するのがよい。具体的には、電子銃の加速電圧よりも 0. 5 一 1. 0V以上の低い電位に設定すればよい。例えば、本発明の場合、加速電圧が— 4kVの場合、試料への印加電圧は一 4. 0005kV一— 40050kVに設定するのが好ま しレヽ。更に望ましく ίま、 _4· 0005kV一一 40020kV力 Sよく、更に好ましく ίま _4. 0005 kV一- 4. OlOkVに設定するのが好適である。
[0234] また、透過電子を利用する場合には、加速電圧を- 4kVに設定したとき、ウェハへ の印加電圧は 0—— 4kV、好ましくは 0—— 3. 9kV、更に好ましくは 0—— 3. 5kVに設 定するのが好適である。また、光線や X線も利用して良い。これは、本発明に係る欠 陥検查装置でのァライメント、二次系、ダイ比較等に十分に適用可能である。
[0235] また、本発明に係る欠陥検查装置において検出する電子又は二次ビームは、試料 表面の情報を得ているものであれば任意のものでよぐ試料に一次電子ビームを衝 突させて得られる二次電子、反射電子 (ミラー電子とも言う)、後方散乱電子ばかりで なぐ試料付近に逆電界をかけ、一次電子ビームを試料に衝突させることなぐ試料 付近で反射される反射電子も含まれる。更に、一次ビームは電子だけでなく光線であ つてもよレ、。一次ビームが光線の場合には、二次ビームも光線となり、 UV光線の場 合には二次ビームは電子となる。
[0236] これまで説明してきた本発明に係る欠陥検査装置は、例えば図 42及び図 43に示 す半導体デバイス製造方法における検査工程に用いると有効である。以下、図 42及 び図 43を参照して、半導体デバイスの製造方法を説明する。
[0237] 図 42に示す製造方法は次の各主工程を含み、各主工程は幾つかのサブ工程から なる。
[0238] (1)ウェハ P12を製造する(又は試料を準備する)工程 Pl l、
(2)露光に使用するマスク(レチクル) P22を製造するマスク製造工程 (又は、マスク を準備するマスク準備工程) P21、
(3)ウェハ PI 2に必要な加工処理を行うウェハ'プロセッシング工程 PI 3、
(4)ウェハ P12に形成されたチップ P15を 1個ずつ切り出して動作可能にするチッ プ組み立て工程 P 14、
(5)チップ組み立て工程 P14で作られたチップ P15を検査し、検査に合格したチッ プを製品 P 17とするチップ検查工程 P 16。
[0239] これらの主工程の中で、半導体デバイスの性能に決定的な影響を及ぼす主工程が 、ウェハ'プロセッシング工程 P13である。この工程は、設計された回路パターンをゥ ェハ上に順次積層し、メモリや MPUとして動作するチップを多数形成する。ゥ: プロセッシング工程 P13は次の工程を含む。
[0240] (ィ)絶縁層となる誘電体薄膜や、配線部又は電極部を形成する金属薄膜を形成 する薄膜形成工程(CVDやスパッタリング等を用いる)、
(口)薄膜層やウェハ基板を酸化する酸化工程、
(ハ)薄膜層やウェハ基板等を選択的に加工するためのマスク(レチクル) P22を用 いてレジストのパターンを形成するリソグラフィー工程 P23、
(二)イオン ·不純物注入 ·拡散工程、
(ホ)レジスト剥離工程、
(へ)さらに加工されたウェハを検查する検查工程。
なお、ウェハ'プロセッシング工程 P13は必要な層数だけ繰り返し実施され、設計ど おり動作する製品(半導体デバイス) P17が製造される。
[0241] 図 42のウエノ、'プロセシング工程 P13の中核をなすのはリソグラフィー工程 P23で あり、図 43はリソグラフィー工程 P23で実施される工程を示している。すなわち、リソグ ラフィー工程 P23は、
(a)前段の工程で回路パターンが形成されたウェハ上にレジストをコーティングする レジスト塗布工程 P31、
(b)レジストを露光する露光工程 P32、
(c)露光されたレジストを現像してレジストのパターンを得る現像工程 P33、
(d)現像されたレジスト'パターンを安定化させるためのァニール工程 P34、 を含む。
[0242] 以上説明した半導体デバイス製造工程、ウェハ'プロセッシング工程 P13及びリソ グラフィー工程 P23は周知のものであり、それらの工程についての詳細な説明は省 略する。
[0243] 本発明に係る欠陥検查装置をチップ検查工程 P16に用いて欠陥検查を行うと、微 細なパターンを有する半導体デバイスでも、スループット良く検查を行うことができ、 全数検査が可能となるば力、りでなぐ製品の歩留まりを向上させ、欠陥製品の出荷を 防止することが可能になる。 産業上の利用可能性
以上、本発明の若干の実施の形態について詳述したところから理解されるように、 本発明は、
(1)各ダイが等間隔グリッドに沿って配置されるように位置補正しながら画像を生成 するので、試料を載置するステージが設計どおりに移動しない場合や、各ダイが設計 どおりの位置に形成されていなくても、適切に画像を生成して問題なくパターンの検 查をすることができ、例えば、画像の取得のために電子線で走査する場合、電子線 が試料に対して照射される時に偏向器によって適切な位置に補正され、また試料か ら放出される電子 (即ち、二次電子,反射電子,後方散乱電子,透過電子等)も同様 に偏向器で補正されるため、正確に所望の領域の画像を形成することができ、生成 される画像が仮想的な等間隔グリッドに配置され、欠陥検査の精度を向上させること ができる、
(2)レジストレーシヨンや画像取得を行う前に、合焦条件を被検査領域全域について 適切に測定しておき、その合焦条件に合わせて対物レンズの励起電圧を変えながら 二次元画像を取得するので、試料面に凹凸があっても、解像度のよい画像を得ること ができ、ステンシル ·マスクや試料等の試料の欠陥検査に有益である
(3)プロセスの途中若しくはプロセス終了後に試料の欠陥検查を行レ、、半導体デバ イスを製造することができるので、半導体デバイスの製造工程において高い歩留まり が期待できる、
とレ、う優れた効果を有する。

Claims

請求の範囲
[1] チップが形成された基板の表面を、ビームを用いて検查する基板表面検查におけ るチップの位置合わせ方法であって、
前記チップが被検査視野内に位置するように前記基板を配置- 前記チップが前記被検査視野内に位置するときの検出倍率を測定- 測定された前記検出倍率に基づいて、前記チップの位置ずれの距離を算出するス テツプと、
算出された位置ずれに基づいて、前記チップの位置を補正するステップと、 を含むことを特徴とする、チップの位置合わせ方法。
[2] 請求項 1に記載のチップの位置合わせ方法であって、前記のチップが前記被検査 視野内に位置するときの検出倍率を測定するステップは、
予め実際の大きさのわかっている構造体の画像を取得するステップと、 前記構造体の画像の画素数を求めるステップと、
前記構造体の実際の大きさと前記画素数から検出倍率を測定するステップと、 を含むことを特徴とする位置合わせ方法。
[3] 請求項 1又は 2に記載のチップの位置合わせ方法であって、検出倍率を測定する 前記ステップ力 前記基板の位置を表す X座標、 y座標及び z座標のうちの任意の 2 つを同時に取得するステップを含むことを特徴とする位置合わせ方法。
[4] チップが形成された基板の表面を、ビームを用いて検查する基板表面検查方法で あって、
前記チップが被検査視野内に位置するように前記基板を配置- 前記チップが前記被検査視野内に位置するときの検出倍率を測定- 測定された前記検出倍率に基づいて、前記チップの位置ずれの距離を算出するス テツプと、
算出された前記距離に基づいて、前記チップの位置を補正するステップと、 前記チップの位置が補正された前記基板の表面に向けて前記ビームを照射するス テツプと、
前記基板の表面の情報を得た反射ビームを検出す; 検出された前記反射ビームから前記基板の表面の画像を取得するステップと、 取得された前記画像を用いて前記基板の検査を行うステップと、
を含むことを特徴とする基板表面検査方法。
[5] 請求項 4に記載の基板表面検査方法であって、前記のチップが前記被検査視野 内に位置するときの検出倍率を測定するステップは、
予め実際の大きさのわかってレ、る構造体の画像を取
前記構造体の画像の画素数を求めるステップと、
前記構造体の実際の大きさと前記画素数から検出倍率を測定- を含むことを特徴とする基板表面検査方法。
[6] 請求項 4又は 5に記載の基板表面検査方法であって、検出倍率を測定する前記ス テツプが、前記基板の位置を表す X座標、 y座標及び z座標のうちの任意の 2つを同 時に取得するステップを含むことを特徴とする検查方法。
[7] チップが形成された試料の表面を検査する試料表面検査におけるチップの位置合 わせ方法であって、
(a)試料の 1コーナーのダイシングライン若しくは試料上の特徴的なパターンが欠 陥検査装置の光学系の視野内に入るように、ステージを移動するステップと、
(b)前記試料上の特徴的なパターンにビームを照射し、試料からの反射電子或レ、 は二次電子を検出器で検出し、二次元画像を得るステップと、
(c)前記ステップ bで二次元画像を得たときのステージの座標 (Xc、 Yc)を記憶する
(d)前記視野内で、ステージを一定の距離だけ移動して、前記特徴的なパターンを 移動させるステップと、
(e)前記ステップ bと同じ操作を行い、前記移動した位置での前記特徴的なパター ンの二次元画像を得るステップと、
(f)前記ステップ eで画像を得たときのステージの座標 (Xf、 Yf)を記憶するステップ と、
(g)前記ステップ bで得た画像の一部と前記ステップ eで得た画像とをパターンマツ チングして、 2つの画像の X方向又は Y方向の位置のずれ(Δ Χピクセル、 Δ Υピクセ ノレ)を算出するステップと、
(h)前記 cステップで記憶した座標 (Xc、 Yc)と前記ステップ fで記憶した座標 (Xf、 Yf)との差、 (Xf— Xc)又は (Yf— Yc)を算出するステップと、
(i)ピクセル当たりの寸法、(Xf— Xc) / Δ X又は(Yf— Yc) / Δ Y又は写像光学系の 拡大率を算出するステップと、
①ステップ iで算出したピクセル当たりの寸法又は前記写像光学系の拡大率をメモ リに格納するステップと、
(k)該ピクセル当たりの寸法を用いて、ステージの移動距離を算出し、ァライメントを を備えることを特徴とする位置合わせ方法。
[8] 請求項 7に記載の位置合わせ方法であって、前記ステップ bのビームは一軸方向に 長軸を有した形状であり、他軸方向に偏向器で視野内を走査し、前記走査に同期し て二次光学系の光学パラメータを変化させることを特徴とする位置合わせ方法。
[9] チップが形成された基板の表面を、ビームを用いて検査する基板表面検査方法で あってヽ
(a)前記基板をステージ上に載置するステップと、
(b)試料の 1コーナーのダイシングライン若しくは試料上の特徴的なパターンが欠 陥検査装置の光学系の視野内に入るように、ステージを移動するステップと、
(c)前記試料上の特徴的なパターンにビームを照射し、試料からの反射電子或い は二次電子を検出器で検出し、二次元画像を得るステップと、
(d)前記ステップ cで二次元画像を得たときのステージの座標 (Xc、 Yc)を記憶する
(e)前記視野内で、ステージを一定の距離だけ移動して、前記特徴的なパターンを 移動させるステップと、
(f)前記ステップ cと同じ操作を行い、前記移動した位置での前記特徴的なパター ンの二次元画像を得るステップと、
(g)前記ステップ fで画像を得たときのステージの座標(Xf、 Yf)を記憶するステップ と、 (h)前記ステップ cで得た画像の一部と前記ステップ fで得た画像とをパターンマツ チングして、 2つの画像の X方向又は Y方向の位置のずれ(Δ Χピクセル、 Δ Υピクセ ノレ)を算出するステップと、
(i)前記 dステップで記憶した座標 (Xc、 Yc)と前記ステップ gで記憶した座標 (Xf、 Yf)との差、 (Xf— Xc)又は (Yf— Yc)を算出するステップと、
(j)ピクセル当たりの寸法、(Xf— Xc) / Δ X又は(Yf— Yc) / Δ Y又は写像光学系の 拡大率を算出するステップと、
(k)ステップ jで算出したピクセル当たりの寸法又は前記写像光学系の拡大率をメモ リに格納するステップと、
(1)該ピクセル当たりの寸法を用いて、ステージの移動距離を算出し、ァライメントを
(m)前記ビームを前記基板の表面に向けて照射するステップと、
(n)前記基板の情報を得た反射ビームを検出するステップと、
(o)検出された前記反射ビームから前記基板の画像を取得す
(P)取得した前記画像を用いて、前記基板の検査を行うステップと、
を備えることを特徴とする基板表面検査方法。
[10] チップが形成された基板の表面を、ビームを用いて検査する基板表面検査装置に おいて、前記チップの位置合わせのために、
前記チップが被検査視野内に位置するように前記基板を配置する装置と、 前記チップが前記被検査視野内に位置するときの検出倍率を測定する測定装置と 測定された前記検出倍率に基づいて、前記チップの位置ずれの距離を算出する算 出装置と、
算出された位置ずれに基づいて、前記チップの位置を補正する補正装置と、 を備えることを特徴とする基板表面検査装置。
[11] チップが形成された基板の表面を、ビームを用いて検查する基板表面検查装置で あって、前記チップが被検查視野内に位置するように前記基板を配置するために、 前記チップが前記被検査視野内に位置するときの検出倍率を測定する測定装置と 測定された前記検出倍率に基づいて、前記チップの位置ずれの距離を算出する算 出装置と、
算出された前記距離に基づいて、前記チップの位置を補正する補正装置と、 前記チップの位置が補正された後に前記ビームによって照射された前記基板から 放出された、前記基板の表面の情報を得た反射ビームを検出する検出装置と、 検出された前記反射ビームから前記基板の表面の画像を取得する画像取得装置 と、
を備えてなり、取得された前記画像を用いて前記基板の検查を行うことを特徴とする
[12] 基板上にぉレ、て互いに平行でない 2つの軸方向にほぼ規則的に配置されてレ、る複 数のダイ内のパターンを検查する装置であって、
前記基板上の前記ダイが仮想的に配置されるべき等間隔グリッドを生成するための 演算手段と、
前記目標グリッドと前記基板上の前記ダイの位置との差分を補正する手段と、 を具備することを特徴とするパターン欠陥検査装置。
[13] 請求項 12に記載の検査装置であって、
前記目標グリッドと前記基板上の前記ダイの位置との差分を補正する前記手段は、 前記目標グリッドに対する前記基板上のダイの位置誤差を演算する手段と、 前記位置誤差を無くすための補正信号を偏向器にフィードバック又はフィードフォ ワードする制御手段と、
を備えることを特徴とするパターン欠陥検査装置。
[14] 試料表面を検查する装置であって、
試料に向けてビームを照射するビーム照射源と、
前記ビーム照射源によるビーム照射領域内の 1画素当たりの前記試料上の寸法を 測定する手段と、
前記 1画素当たりの前記寸法を用いてステージの移動距離を算出し、この算出結 果に基づいて前記試料のァライメントを行う演算手段と、 前記ビームの照射によって前記試料から放出され且つ前記試料の表面の情報を 含む二次ビームを検出する検出器と、
前記検出器により検出された前記二次ビームから前記試料の表面の画像を取得し 、前記試料の検査を行う手段と、
を具備する試料表面検査装置。
[15] パターンが形成された複数のダイを有する試料の表面を検查する検查装置であつ て、
前記試料表面のダイの位置補正に必要な情報を取得する手段と、
前記情報の取得中に、前記試料表面の被検査領域の任意の個所において前記試 料表面の合焦条件を測定して記憶する手段と、
前記試料の表面に向けてビームを照射するビーム照射源と、
前記ビームが前記被検査領域を相対移動する際に、前記試料表面の合焦条件を 満たすように調整可能なレンズと、
を具備することを特徴とする検査装置。
[16] 請求項 15に記載の検査装置であって、前記ビームが前記被検査領域を相対移動 する際に、前記ダイの位置ずれを補正する偏向器を更に備えることを特徴とする検
[17] 基板上にぉレ、て互いに平行でない 2つの軸方向にほぼ規則的に配置されてレ、る複 数のダイ内のパターンを検査する検査方法であって、
(a)前記基板上のダイが仮想的に配置されるべき目標グリッドを生成するステップと
(b)前記基板上の各ダイの実際の位置座標を求めるステップと、
(c)前記目標グリッドと前記各ダイの位置誤差を算出するステップと、
(d)取得する前記各ダイの画像が前記目標グリッドに沿って配置されるように、前記 各ダイの位置誤差の値に基づいて取得する各ダイの画像の位置補正をして画像を 取得するステップと、
(e)前記位置補正をして取得した画像に基づレ、てダイのパターンの検查を行うステ ップと、を含むことを特徴とする検查方法。
[18] 請求項 17に記載の検査方法であって、前記ステップ(a)において、前記目標グリツ ドは、前記基板上の複数のダイから、前記互いに平行でない 2つの軸方向に並ぶダ ィを、各軸方向にそれぞれ少なくとも 2つづつ選択し、選択されたダイ間のピッチから ダイ 1つ当たりの仮想的なピッチをそれぞれ 2つの軸方向について求め、この仮想的 なピッチに基づいて生成することを特徴とする検查方法。
[19] 請求項 17に記載の検查方法であって、前記ステップ(a)において、前記目標グリツ ドは、 CADデータに含まれている位置情報に基づいて生成することを特徴とする検 查方法。
[20] 請求項 17ないし 19のいずれか一つに記載の検查方法であって、前記ステップ(e) において、相互に対応する異なる 2つのダイの画像を比較してその差異に基づいて 欠陥を検出することを特徴とする検査方法。
[21] 請求項 17ないし 19のいずれか一つに記載の検查方法であって、前記ステップ(e) におレ、て、検査の対象となるパターンとこれに対応する CADデータの情報によって 生成されるパターンとを比較し、その差異に基づレ、て欠陥を検出することを特徴とす る検査方法。
[22] 請求項 17、 20、 21のいずれか一つに記載の検査方法であって、前記ステップ(a) において、前記試料上の 2つのダイを選択してダイ間のピッチを検出してこれを第 1 のピッチとし、当該第 1のピッチを所定の倍数で複数倍してこれを第 2のピッチとし、当 該第 2のピッチに近い距離だけ離れた 2つのダイの実際のピッチを検出してこれを第 3のピッチとし、当該第 3のピッチを前記倍数で除した値を前記仮想的なピッチとする ことを特徴とする検査方法。
[23] 請求項 17ないし 22のいずれか一つに記載の検查方法であって、前記互いに平行 でない 2つの軸が、相互に直交する X軸と y軸であることを特徴とする検查方法。
[24] 請求項 23に記載の検查方法であって、前記ステップ(a)において、 X軸及び y軸に 平行なダイシングライン又はダイ内の所定パターンを用いてダイの仮想的なピッチを 求めることを特徴とする検査方法。
[25] 請求項 17ないし 24のいずれか一つに記載の検查方法であって、前記ステップ(d) において、前記画像の位置補正は前記電子線用の偏向器で行うことを特徴とする検 查方法。
[26] 試料の表面を検査する方法であって、
前記試料の表面に対してビームを照射し、該ビームの照射領域内の 1画素当たり の前記試料の表面上の寸法を測定するステップと、
前記寸法を用いてステージの移動距離を算出し、この算出結果に基づいて前記試 前記試料にビームを照射し、それによつて前記試料の表面から放出され且つ前記 試料の表面の情報を含む二次ビームを検出して、前記試料の表面を検查するステツ プと、
を備えることを特徴とする試料表面検査方法。
[27] 請求項 26に記載の検查方法であって、寸法を測定する前記ステップが、寸法が既 知のパターンの画素数を測定することにより行うことを特徴とする検查方法。
[28] 試料の表面を検査する方法であって、
前記試料の表面のダイの位置補正に必要な情報を取得するステップと、 前記情報の取得中に、被検査領域の任意の箇所において前記試料の表面の合焦 条件を測定して記憶するステップと、
前記試料にビームを照射するステップと、
前記試料の表面の合焦条件を満たすようにレンズを調整しながら、前記ビームが前 記試料の表面の被検査領域を相対移動するように前記ビームを走査し又はステージ を移動させるステップと、
前記ビームの照射により前記試料より放出され且つ前記被検査領域の情報を含む 二次ビームを検出して前記試料の表面を検查するステップと、
を備えることを特徴とする試料表面検査方法。
[29] 請求項 26ないし 28のいずれか一つに記載の検查方法であって、前記試料の表面 を検查する前記ステップが、 CCD又は CCD— TDIを用いて、複数の画素を含む前 記被検查領域の画像を取得し、参照用の画像との比較により前記試料の表面の検 查を行うことを特徴とする記載の検查方法。
[30] 請求項 29に記載の検查方法であって、表面の検查を行う前記ステップが、ダイ内 のパターンが周期構造をなす部分については、同一のダイ内の周期構造をなす部 分同士の比較により行われ、周期構造をなさない部分については前記参照用の画 像との比較により行われることを特徴とする検査方法。
[31] 複数のピクセルを有する試料面に電子線を入射させて試料面の評価を行う方法で あって、
(a)電子線を試料に照射し、二次電子或いは反射電子を検出するステップと、
(b)検出した信号を増幅し、 AZD変換し、濃度情報を有する 2次元画像を作り、予 め指定された第 1の領域の上記画像をメモリーに入力するステップと、
(c)前記ステップ (b)で入力した領域と同じパターンがあると予測される第 2の領域の 濃度情報を有する 2次元画像を形成して別のメモリーに入力するステップと、
(d)前記ステップ (b)で入手した画像と前記ステップ (c)で入手した画像との間の濃 、、両画像の平均濃度が一致するように一方の画像の濃度を増減
(e)平均濃度が一致した画像間でパターンマッチングを行レ、、更にパターンマツチン グを行った画像の差を算出し、差のある場所を欠陥候補とするステップと、
(f)前記第 1及び第 2の領域と同じパターンがあると予測される第 3の領域の 2次元像 を入手し、かつ前記第 3の領域の 2次元像と前記第 1及び第 2のいずれかとの濃度マ ツチングを行レ、、前記ステップ(e)で得た欠陥候補と比較し、欠陥候補から欠陥を決 めるステップと、
を有することを特徴とする欠陥検査方法。
[32] 請求項 31に記載の欠陥検査方法において、前記電子線は、一軸方向へ投影した ビーム間隔が等間隔でかつ前記一軸と直角の方向に上記複数のビームを走查する マルチビームであり、前記マルチビームを電気的に走査し、一軸と平行な方向に試 料台を連続移動しながら上記 2次元画像を形成することを特徴とする欠陥検查方法
[33] 請求項 31に記載の欠陥検査方法において、前記電子線は、一軸方向に長い長方 形の形状を有するビームであり、前記長方形の短辺方向にビームを走査しながら前 記長方形の長辺方向に試料台を連続移動させながら照射を行い、試料から発生し た二次電子或いは反射電子を写像光学系で像として検出することを特徴とする欠陥 検査方法。
[34] 請求項 31に記載の欠陥検査方法において、前記ステップ(d)において、上記濃度 マッチングは両方の画像の最低の濃度が一致するようにオフセット値を一致させ、そ の後最大値の濃度が一致するようにゲインを調整することを特徴とする欠陥検査方 法。
[35] 請求項 10、 11、 12ないし 16のいずれか一つに記載の欠陥検查装置を用いてプロ セスの途中又はプロセス終了後の試料の欠陥検查を行うことを特徴とするデバイス製 造方法。
[36] 請求項 1ないし 9、 17ないし 34のいずれか一つに記載の検查方法を用いてプロセ スの途中又はプロセス終了後の試料の欠陥検查を行うことを特徴とするデバイス製 造方法。
PCT/JP2004/007351 2003-05-30 2004-05-28 試料検査装置及び方法並びに該試料検査装置及び方法を用いたデバイス製造方法 WO2004109793A1 (ja)

Priority Applications (1)

Application Number Priority Date Filing Date Title
EP04745387.3A EP1630862B1 (en) 2003-05-30 2004-05-28 Sample inspection device and method, and device manufacturing method using the sample inspection device and method

Applications Claiming Priority (10)

Application Number Priority Date Filing Date Title
JP2003-153902 2003-05-30
JP2003153902A JP4230280B2 (ja) 2003-05-30 2003-05-30 欠陥検査方法及びその検査方法を用いたデバイス製造方法
JP2003161589 2003-06-06
JP2003-161589 2003-06-06
JP2003290021 2003-08-08
JP2003-290021 2003-08-08
JP2004046868A JP4642362B2 (ja) 2003-06-06 2004-02-23 基板位置合わせ方法、基板表面検査方法、基板位置決め方法、半導体デバイス製造方法、基板位置合わせ装置及び基板表面検査装置
JP2004-046868 2004-02-23
JP2004-056134 2004-03-01
JP2004056134A JP2005091342A (ja) 2003-08-08 2004-03-01 試料欠陥検査装置及び方法並びに該欠陥検査装置及び方法を用いたデバイス製造方法

Publications (1)

Publication Number Publication Date
WO2004109793A1 true WO2004109793A1 (ja) 2004-12-16

Family

ID=33514914

Family Applications (1)

Application Number Title Priority Date Filing Date
PCT/JP2004/007351 WO2004109793A1 (ja) 2003-05-30 2004-05-28 試料検査装置及び方法並びに該試料検査装置及び方法を用いたデバイス製造方法

Country Status (4)

Country Link
US (2) US7248353B2 (ja)
EP (1) EP1630862B1 (ja)
TW (1) TWI345054B (ja)
WO (1) WO2004109793A1 (ja)

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN113030996A (zh) * 2021-03-03 2021-06-25 首钢京唐钢铁联合有限责任公司 一种产线设备位置偏移检测方法、系统、设备和介质
US11901232B2 (en) 2020-06-22 2024-02-13 Applied Materials, Inc. Automatic kerf offset mapping and correction system for laser dicing
TWI836230B (zh) 2020-06-22 2024-03-21 美商應用材料股份有限公司 用於雷射切割之自動化切口偏移映射及校正系統

Families Citing this family (62)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20030081826A1 (en) * 2001-10-29 2003-05-01 Tokyo Seimitsu (Israel) Ltd. Tilted scan for Die-to-Die and Cell-to-Cell detection
JP4351522B2 (ja) * 2003-11-28 2009-10-28 株式会社日立ハイテクノロジーズ パターン欠陥検査装置およびパターン欠陥検査方法
US7092838B1 (en) * 2004-06-04 2006-08-15 Sierra Design Automation, Inc. Method and apparatus for the analysis and optimization of variability in nanometer technologies
TW201307833A (zh) * 2005-02-17 2013-02-16 Ebara Corp 電子射線裝置
JP4959149B2 (ja) * 2005-05-02 2012-06-20 株式会社荏原製作所 試料検査装置
JP4245595B2 (ja) * 2005-09-30 2009-03-25 株式会社東芝 パターン観察装置、パターン観察方法およびプログラム
US20090050802A1 (en) * 2006-01-25 2009-02-26 Ebara Corporation Method and apparatus for inspecting sample surface
US7801353B2 (en) * 2006-02-01 2010-09-21 Applied Materials Israel, Ltd. Method for defect detection using computer aided design data
JP4705869B2 (ja) * 2006-03-29 2011-06-22 株式会社日立ハイテクノロジーズ 荷電粒子線システム、およびパターン計測方法
JP4741408B2 (ja) * 2006-04-27 2011-08-03 株式会社荏原製作所 試料パターン検査装置におけるxy座標補正装置及び方法
DE102007017630B4 (de) * 2006-05-16 2009-08-20 Vistec Semiconductor Systems Gmbh Verfahren zum Steigern der Messgenauigkeit beim Bestimmen der Koordinaten von Strukturen auf einem Substrat
US7351966B1 (en) * 2006-05-23 2008-04-01 International Business Machines Corporation High-resolution optical channel for non-destructive navigation and processing of integrated circuits
JP2008041940A (ja) * 2006-08-07 2008-02-21 Hitachi High-Technologies Corp Sem式レビュー装置並びにsem式レビュー装置を用いた欠陥のレビュー方法及び欠陥検査方法
JP2008166137A (ja) * 2006-12-28 2008-07-17 Sii Nanotechnology Inc 集束イオンビーム装置
JP2008173744A (ja) * 2007-01-22 2008-07-31 Tokyo Electron Ltd 搬送システムの搬送位置合わせ方法
US7894659B2 (en) 2007-02-28 2011-02-22 Kla-Tencor Technologies Corp. Methods for accurate identification of an edge of a care area for an array area formed on a wafer and methods for binning defects detected in an array area formed on a wafer
US7925072B2 (en) * 2007-03-08 2011-04-12 Kla-Tencor Technologies Corp. Methods for identifying array areas in dies formed on a wafer and methods for setting up such methods
TWI435361B (zh) * 2007-04-16 2014-04-21 Ebara Corp 電子射線裝置及使用該電子射線裝置之試料觀察方法
DE102007025304B4 (de) * 2007-05-30 2009-02-26 Vistec Semiconductor Systems Gmbh Verfahren zur Verbesserung der Reproduzierbarkeit einer Koordinaten-Messmaschine und deren Genauigkeit
US20080297786A1 (en) * 2007-05-31 2008-12-04 Hitachi High-Technologies Corporation Inspecting device and inspecting method
US8384048B2 (en) * 2007-06-25 2013-02-26 Multibeam Corporation Charged particle beam deflection method with separate stage tracking and stage positional error signals
GB2456643B (en) * 2008-01-24 2012-04-25 Teraview Ltd A terahertz investigative system and method
US8063363B2 (en) * 2008-03-31 2011-11-22 Hermes-Microvision, Inc. Method and apparatus for charged particle beam inspection
JP2009252959A (ja) * 2008-04-04 2009-10-29 Toshiba Corp パターン検査装置、パターン検査方法および半導体装置の製造方法
TWI473140B (zh) * 2008-04-11 2015-02-11 Ebara Corp 試料觀察方法與裝置,及使用該方法與裝置之檢查方法與裝置
US8761938B2 (en) * 2008-04-18 2014-06-24 David Jenkinson Robotic device tester
DE102008060293B4 (de) * 2008-12-03 2015-07-30 Carl Zeiss Sms Gmbh Verfahren und Vorrichtung zur Messung des relativen lokalen Lagefehlers eines der Abschnitte eines abschnittsweise belichteten Objektes
US8089637B2 (en) * 2008-12-26 2012-01-03 Hermes Microvision, Inc. Apparatus for detecting a sample
DE102009001910A1 (de) * 2009-03-26 2010-09-30 Carl Zeiss Nts Gmbh Verfahren und Vorrichtung zur Erzeugung dreidimensionaler Bilddaten
US8508919B2 (en) 2009-09-14 2013-08-13 Microsoft Corporation Separation of electrical and optical components
JP5586909B2 (ja) * 2009-09-29 2014-09-10 キヤノン株式会社 情報処理装置、システム、方法及びプログラム
KR20110099556A (ko) * 2010-03-02 2011-09-08 삼성전자주식회사 반도체 패키지 테스트장치
KR101698511B1 (ko) * 2010-07-27 2017-01-23 삼성디스플레이 주식회사 표시 장치 제조 방법
JP2012150065A (ja) * 2011-01-21 2012-08-09 Hitachi High-Technologies Corp 回路パターン検査装置およびその検査方法
JP5527624B2 (ja) * 2012-01-05 2014-06-18 株式会社ダイフク 保管棚用の不活性ガス注入装置
JP5278783B1 (ja) * 2012-05-30 2013-09-04 レーザーテック株式会社 欠陥検査装置、欠陥検査方法、及び欠陥検査プログラム
EP2859580B1 (de) * 2012-06-06 2018-06-06 Ev Group E. Thallner GmbH Vorrichtung und verfahren zur ermittlung von ausrichtungsfehlern
JP5825502B2 (ja) 2013-02-27 2015-12-02 株式会社東京精密 プローブ装置
US9286675B1 (en) * 2014-10-23 2016-03-15 Applied Materials Israel Ltd. Iterative defect filtering process
US9767548B2 (en) * 2015-04-24 2017-09-19 Kla-Tencor Corp. Outlier detection on pattern of interest image populations
KR20180008383A (ko) * 2015-05-19 2018-01-24 이스메카 세미컨덕터 홀딩 에스.아. 부품 취급 조립체 및 부품 취급 조립체를 조정하는 방법
US10103004B2 (en) 2015-07-02 2018-10-16 ICT Integrated Circuit Testing Gesellschaft für Halbleiterprüftechnik mbH System and method for imaging a secondary charged particle beam with adaptive secondary charged particle optics
KR102592921B1 (ko) * 2015-12-31 2023-10-23 삼성전자주식회사 패턴 결함 검사 방법
WO2017210576A1 (en) * 2016-06-02 2017-12-07 Universal Instruments Corporation Semiconductor die offset compensation variation
KR102595300B1 (ko) * 2016-07-04 2023-10-31 삼성전자주식회사 검사 방법 및 시스템, 및 이를 이용한 반도체 패키지의 제조 방법
KR102595309B1 (ko) * 2016-07-20 2023-10-31 삼성전자주식회사 칩들의 정렬오차 검출 방법, 그를 이용한 팬 아웃 패널 레벨 패키지의 제조 방법 및 팬 아웃 패널 레벨 패키지
US10500765B2 (en) * 2016-12-19 2019-12-10 GM Global Technology Operations LLC Online die face monitoring
US10984982B2 (en) * 2017-03-16 2021-04-20 Nikon Corporation Charged particle beam optical apparatus, exposure apparatus, exposure method, control apparatus, control method, information generation apparatus, information generation method and device manufacturing method
JP6682469B2 (ja) 2017-03-22 2020-04-15 キオクシア株式会社 座標検出方法および座標出力装置、欠陥検査装置
US10957033B2 (en) * 2017-07-10 2021-03-23 Kla-Tencor Corporation Repeater defect detection
JP7364323B2 (ja) * 2017-07-14 2023-10-18 エーエスエムエル ネザーランズ ビー.ブイ. 計測装置及び基板ステージ・ハンドラ・システム
CN109490313B (zh) * 2018-11-09 2022-08-23 中国科学院光电技术研究所 一种大口径曲面光学元件表面缺陷自动检测装置及方法
CN111192233B (zh) * 2018-11-14 2022-04-12 长鑫存储技术有限公司 半导体结构的制备方法及其制备装置
TWI797449B (zh) * 2019-05-21 2023-04-01 美商應用材料股份有限公司 增強的截面特徵量測方法與系統
KR20220074927A (ko) * 2019-10-31 2022-06-03 칼 짜이스 에스엠테 게엠베하 고형상비 구조의 형상 편차를 측정하기 위한 fib-sem 3d 단층 촬영
US11854995B2 (en) * 2020-04-29 2023-12-26 Semiconductor Components Industries, Llc Supports for thinned semiconductor substrates and related methods
US11244474B1 (en) * 2020-10-01 2022-02-08 Kla Corporation Sample positioning system and method
CN113156580B (zh) * 2021-02-01 2022-11-25 山东大学 一种适用于集成光波导的色散控制装置
US20220365010A1 (en) * 2021-05-11 2022-11-17 Nuflare Technology, Inc. Multiple secondary electron beam alignment method, multiple secondary electron beam alignment apparatus, and electron beam inspection apparatus
US11728192B2 (en) * 2021-07-22 2023-08-15 Globalfoundries U.S. Inc. Refining defect detection using process window
CN114361093B (zh) * 2022-03-11 2022-05-27 立川(深圳)智能科技设备有限公司 一种晶圆上下料及预定位装置
CN114965500B (zh) * 2022-05-18 2024-04-26 江苏道达智能科技有限公司 一种aoi图像检测装置及检测方法

Citations (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH0375507A (ja) * 1989-08-17 1991-03-29 Hitachi Ltd パターン検査方法およびその装置
JPH05190639A (ja) * 1991-11-14 1993-07-30 Hitachi Ltd 焦点合わせ方法および装置
JPH09232387A (ja) * 1996-02-19 1997-09-05 Matsushita Electric Ind Co Ltd パターン欠陥特定方法及びパターン欠陥検出方法
JPH11185688A (ja) * 1997-12-24 1999-07-09 Fujitsu Ltd 観察装置及びその倍率調整方法
JPH11219993A (ja) * 1998-01-30 1999-08-10 Toshiba Corp 半導体装置のスクリーニング方法
JP2001084944A (ja) * 1999-07-09 2001-03-30 Hitachi Ltd 荷電粒子線装置
JP2001357812A (ja) * 2000-06-12 2001-12-26 Jeol Ltd 試料観察画像表示装置

Family Cites Families (15)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6475388B1 (en) * 1996-11-13 2002-11-05 Transgenomic, Inc. Method and system for RNA analysis by matched ion polynucleotide chromatography
JP3634563B2 (ja) * 1997-05-09 2005-03-30 キヤノン株式会社 露光方法および装置並びにデバイス製造方法
US6597684B1 (en) * 1997-12-24 2003-07-22 Nortel Networks Ltd. Distributed architecture and associated protocols for efficient quality of service-based route computation
JP3441955B2 (ja) * 1998-02-23 2003-09-02 株式会社日立製作所 投射方式の荷電粒子顕微鏡および基板検査システム
US6625156B2 (en) * 1998-06-29 2003-09-23 Nortel Networks Limited Method of implementing quality-of-service data communications over a short-cut path through a routed network
US6476388B1 (en) * 1998-10-19 2002-11-05 Hitachi, Ltd. Scanning electron microscope having magnification switching control
JP4084905B2 (ja) * 1999-05-14 2008-04-30 株式会社東芝 パターン寸法測定装置およびパターン寸法測定方法
US6735702B1 (en) * 1999-08-31 2004-05-11 Intel Corporation Method and system for diagnosing network intrusion
JP4312910B2 (ja) * 1999-12-02 2009-08-12 株式会社日立製作所 レビューsem
US6320187B1 (en) * 1999-12-07 2001-11-20 Nikon Corporation Magnification and rotation calibration patterns for particle beam projection system
JP2001189263A (ja) * 1999-12-28 2001-07-10 Toshiba Corp 合わせずれ検査方法及び荷電ビーム露光方法
JP3934854B2 (ja) * 2000-05-29 2007-06-20 株式会社日立製作所 走査電子顕微鏡
EP1338130B1 (en) * 2000-11-30 2006-11-02 Lancope, Inc. Flow-based detection of network intrusions
JPWO2002056332A1 (ja) * 2001-01-10 2004-05-20 株式会社荏原製作所 電子線による検査装置、検査方法、及びその検査装置を用いたデバイス製造方法
US6852974B2 (en) * 2001-03-06 2005-02-08 Topcon Corporation Electron beam device and method for stereoscopic measurements

Patent Citations (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH0375507A (ja) * 1989-08-17 1991-03-29 Hitachi Ltd パターン検査方法およびその装置
JPH05190639A (ja) * 1991-11-14 1993-07-30 Hitachi Ltd 焦点合わせ方法および装置
JPH09232387A (ja) * 1996-02-19 1997-09-05 Matsushita Electric Ind Co Ltd パターン欠陥特定方法及びパターン欠陥検出方法
JPH11185688A (ja) * 1997-12-24 1999-07-09 Fujitsu Ltd 観察装置及びその倍率調整方法
JPH11219993A (ja) * 1998-01-30 1999-08-10 Toshiba Corp 半導体装置のスクリーニング方法
JP2001084944A (ja) * 1999-07-09 2001-03-30 Hitachi Ltd 荷電粒子線装置
JP2001357812A (ja) * 2000-06-12 2001-12-26 Jeol Ltd 試料観察画像表示装置

Non-Patent Citations (1)

* Cited by examiner, † Cited by third party
Title
See also references of EP1630862A4 *

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11901232B2 (en) 2020-06-22 2024-02-13 Applied Materials, Inc. Automatic kerf offset mapping and correction system for laser dicing
TWI836230B (zh) 2020-06-22 2024-03-21 美商應用材料股份有限公司 用於雷射切割之自動化切口偏移映射及校正系統
CN113030996A (zh) * 2021-03-03 2021-06-25 首钢京唐钢铁联合有限责任公司 一种产线设备位置偏移检测方法、系统、设备和介质
CN113030996B (zh) * 2021-03-03 2022-12-13 首钢京唐钢铁联合有限责任公司 一种产线设备位置偏移检测方法、系统、设备和介质

Also Published As

Publication number Publication date
TW200506356A (en) 2005-02-16
US20070236690A1 (en) 2007-10-11
EP1630862A4 (en) 2012-04-25
US20050104017A1 (en) 2005-05-19
EP1630862B1 (en) 2016-01-13
US7408643B2 (en) 2008-08-05
TWI345054B (en) 2011-07-11
US7248353B2 (en) 2007-07-24
EP1630862A1 (en) 2006-03-01

Similar Documents

Publication Publication Date Title
EP1630862B1 (en) Sample inspection device and method, and device manufacturing method using the sample inspection device and method
US8639463B2 (en) Electron beam apparatus for inspecting a pattern on a sample using multiple electron beams
US8035082B2 (en) Projection electron beam apparatus and defect inspection system using the apparatus
US7244932B2 (en) Electron beam apparatus and device fabrication method using the electron beam apparatus
US7157703B2 (en) Electron beam system
US7352195B2 (en) Electron beam apparatus with detailed observation function and sample inspecting and observing method using electron beam apparatus
US20050194535A1 (en) Sample surface inspection method and inspection system
US9390886B2 (en) Electro-optical inspection apparatus using electron beam
JP2006244875A (ja) 写像投影型の電子線装置及び該装置を用いた欠陥検査システム
JP4642362B2 (ja) 基板位置合わせ方法、基板表面検査方法、基板位置決め方法、半導体デバイス製造方法、基板位置合わせ装置及び基板表面検査装置
JP4279689B2 (ja) 電子ビーム装置
JP2005091342A (ja) 試料欠陥検査装置及び方法並びに該欠陥検査装置及び方法を用いたデバイス製造方法
JP4384022B2 (ja) 詳細観察の機能を備えた電子線装置、及びその電子線装置による試料の検査並びに試料観察方法
JP5302934B2 (ja) 試料表面検査方法および検査装置
JP2008193119A (ja) 荷電粒子線による検査装置及びその検査装置を用いたデバイス製造方法

Legal Events

Date Code Title Description
AK Designated states

Kind code of ref document: A1

Designated state(s): AE AG AL AM AT AU AZ BA BB BG BR BW BY BZ CA CH CN CO CR CU CZ DE DK DM DZ EC EE EG ES FI GB GD GE GH GM HR HU ID IL IN IS KE KG KP KR KZ LC LK LR LS LT LU LV MA MD MG MK MN MW MX MZ NA NI NO NZ OM PG PH PL PT RO RU SC SD SE SG SK SL SY TJ TM TN TR TT TZ UA UG US UZ VC VN YU ZA ZM ZW

AL Designated countries for regional patents

Kind code of ref document: A1

Designated state(s): BW GH GM KE LS MW MZ NA SD SL SZ TZ UG ZM ZW AM AZ BY KG KZ MD RU TJ TM AT BE BG CH CY CZ DE DK EE ES FI FR GB GR HU IE IT LU MC NL PL PT RO SE SI SK TR BF BJ CF CG CI CM GA GN GQ GW ML MR NE SN TD TG

121 Ep: the epo has been informed by wipo that ep was designated in this application
WWE Wipo information: entry into national phase

Ref document number: 172073

Country of ref document: IL

WWE Wipo information: entry into national phase

Ref document number: 2004745387

Country of ref document: EP

WWP Wipo information: published in national office

Ref document number: 2004745387

Country of ref document: EP