US9701902B2 - Etching method, method of manufacturing article, and etching solution - Google Patents

Etching method, method of manufacturing article, and etching solution Download PDF

Info

Publication number
US9701902B2
US9701902B2 US14/845,818 US201514845818A US9701902B2 US 9701902 B2 US9701902 B2 US 9701902B2 US 201514845818 A US201514845818 A US 201514845818A US 9701902 B2 US9701902 B2 US 9701902B2
Authority
US
United States
Prior art keywords
etching
mol
etching solution
organic additive
catalyst layer
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
US14/845,818
Other languages
English (en)
Other versions
US20160079078A1 (en
Inventor
Yusaku ASANO
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Toshiba Corp
Original Assignee
Toshiba Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Toshiba Corp filed Critical Toshiba Corp
Assigned to KABUSHIKI KAISHA TOSHIBA reassignment KABUSHIKI KAISHA TOSHIBA ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: ASANO, YUSAKU
Publication of US20160079078A1 publication Critical patent/US20160079078A1/en
Priority to US15/612,636 priority Critical patent/US20170267926A1/en
Application granted granted Critical
Publication of US9701902B2 publication Critical patent/US9701902B2/en
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/30604Chemical etching
    • H01L21/30608Anisotropic liquid etching
    • CCHEMISTRY; METALLURGY
    • C09DYES; PAINTS; POLISHES; NATURAL RESINS; ADHESIVES; COMPOSITIONS NOT OTHERWISE PROVIDED FOR; APPLICATIONS OF MATERIALS NOT OTHERWISE PROVIDED FOR
    • C09KMATERIALS FOR MISCELLANEOUS APPLICATIONS, NOT PROVIDED FOR ELSEWHERE
    • C09K13/00Etching, surface-brightening or pickling compositions
    • C09K13/04Etching, surface-brightening or pickling compositions containing an inorganic acid
    • C09K13/08Etching, surface-brightening or pickling compositions containing an inorganic acid containing a fluorine compound
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23FNON-MECHANICAL REMOVAL OF METALLIC MATERIAL FROM SURFACE; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL; MULTI-STEP PROCESSES FOR SURFACE TREATMENT OF METALLIC MATERIAL INVOLVING AT LEAST ONE PROCESS PROVIDED FOR IN CLASS C23 AND AT LEAST ONE PROCESS COVERED BY SUBCLASS C21D OR C22F OR CLASS C25
    • C23F1/00Etching metallic material by chemical means
    • C23F1/10Etching compositions
    • C23F1/14Aqueous compositions
    • C23F1/16Acidic compositions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/30604Chemical etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/30604Chemical etching
    • H01L21/30612Etching of AIIIBV compounds
    • H01L21/30617Anisotropic liquid etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32133Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only
    • H01L21/32134Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by liquid etching only
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices

Definitions

  • Embodiments described herein relate generally to an etching technique.
  • microstructure manufacturing processes such as processes of manufacturing microelectromechanical system (MEMS) and through-silicon via (TSV)
  • MEMS microelectromechanical system
  • TSV through-silicon via
  • etching As semiconductor etching, generally used are isotropic wet etching using an etching solution containing hydrofluoric acid, nitric acid, and acetic acid, anisotropic etching using an alkali solution such as tetramethylammonium hydroxide (TMAH) or KOH, and dry etching using a plasma of an etching gas such as SF 6 or CF 4 .
  • TMAH tetramethylammonium hydroxide
  • KOH tetramethylammonium hydroxide
  • high-aspect-ratio deep etching can be performed by using an etching rate difference corresponding to the crystal orientation of a semiconductor.
  • the shape of a pattern to be formed by etching and the crystal orientation of a semiconductor must be so determined that the etching rate in the depth direction is much higher than that in the widthwise direction. That is, the shape of a structure to be formed by etching is limited, so design limitations are large.
  • the deep etching techniques using dry etching include a technique called a Bosch process.
  • a Bosch process plasma etching using SF 6 gas or the like and sidewall protective film formation using C 4 F 8 gas or the like are alternately repeated.
  • This technique has a problem that a fluorocarbon-based deposit remains on sidewalls and exerts influence on the performance of the device.
  • This technique also has a problem that sidewalls are formed into a scalloped shape.
  • MacEtch metal-assisted chemical etching
  • FIG. 1 is a sectional view schematically showing an insulating layer formation step in an etching method according to an embodiment
  • FIG. 2 is a sectional view schematically showing a catalyst layer formation step in the etching method according to the embodiment
  • FIG. 3 is an electron micrograph of the upper surface of the catalyst layer
  • FIG. 4 is a sectional view schematically showing a dipping step in the etching method according to the embodiment
  • FIG. 5 is a sectional view schematically showing an example of a structure obtained by an etching method using no organic additive
  • FIG. 6 is an electron micrograph of a section of the structure obtained by the etching method using no organic additive
  • FIGS. 7A, 7B, 7C, 7D, and 7E are electron micrographs showing sections of structures obtained by etching methods using no organic additives
  • FIG. 8 is a sectional view schematically showing an example of a structure obtained by the etching method according to the embodiment.
  • FIG. 9 is a schematic sectional view for explaining the reason why needle-like residual portions hardly form.
  • FIG. 10 is a schematic sectional view for explaining the reason why the needle-like residual portions hardly form
  • FIG. 11 is an electron micrograph of a section of a structure obtained by the etching method according to the embodiment.
  • FIG. 12 is an electron micrograph of a section of a structure obtained by an etching method using no organic additive
  • FIG. 22 is an electron micrograph of a section of a structure obtained by an etching method using no organic additive
  • FIG. 29 is an electron micrograph of a section of a structure obtained when using succinic acid as an organic additive
  • FIG. 30 is an electron micrograph of a section of a structure obtained when using malic acid as an organic additive
  • FIG. 31 is an electron micrograph of a section of a structure obtained when using dipropyl amine as an organic additive
  • FIG. 32 is an electron micrograph of a section of a structure obtained when using alanine as an organic additive
  • FIG. 33 is a plan view schematically showing an insulating layer formation step in a semiconductor device manufacturing method according to an embodiment
  • FIG. 34 is a sectional view taken along a line XXXIV-XXXIV of the structure shown in FIG. 33 ;
  • FIG. 35 is a plan view schematically showing a catalyst layer formation step in the semiconductor device manufacturing method according to the embodiment.
  • FIG. 36 is a sectional view taken along a line XXXVI-XXXVI of the structure shown in FIG. 35 ;
  • FIG. 37 is a plan view schematically showing an example of the structure obtained by the method shown in FIGS. 33, 34, 35, and 36 ;
  • FIG. 38 is a sectional view taken along a line XXXVIII-XXXVIII of the structure shown in FIG. 37 .
  • a structure 10 shown in FIG. 1 is first prepared.
  • the structure 10 is made of a semiconductor.
  • This semiconductor is selected from semiconductors made of Si, Ge, compounds of group-III and group-V elements such as GaAs and GaN, and SiC. Note that a term “group” herein used is “a group” in the short-form periodic table.
  • the structure 10 is, e.g., a semiconductor wafer.
  • An impurity can be doped in this semiconductor wafer, or a semiconductor element such as a transistor or diode can be formed on the semiconductor wafer.
  • the principal surface of the semiconductor wafer can be parallel to any crystal plane of the semiconductor.
  • an insulating layer 20 is formed on the structure 10 .
  • the insulating layer 20 has an opening.
  • a region 11 of the structure 10 which corresponds to the opening of the insulating layer, is removed by etching.
  • any material can be used as the material of the insulating layer 20 , provided that the material can suppress deposition of a noble metal (to be described later) on a region of the surface of the structure 10 , which is covered with the insulating layer 20 .
  • the material are organic materials such as polyimide, a fluorine resin, a phenolic resin, an acrylic resin, and a novolak resin, and inorganic materials such as silicon oxide and silicon nitride.
  • the insulating layer 20 can be formed by, e.g., existing semiconductor processes.
  • the insulating layer 20 made of an organic material can be formed by, e.g., photolithography.
  • the insulating layer 20 made of an inorganic material can be formed by, e.g., deposition of an insulating layer by vapor phase deposition, formation of a mask by photolithography, and patterning of the insulating layer by etching.
  • the insulating layer 20 made of an inorganic material can be formed by oxidation or nitriding of the surface region of the structure 10 , formation of a mask by photolithography, and patterning of an insulating layer by etching.
  • a catalyst layer 30 is formed on the region 11 as shown in FIG. 2 .
  • the catalyst layer 30 is an aggregate of a plurality of particles 31 each made of a noble metal.
  • the catalyst layer 30 is used to activate the oxidation reaction of the semiconductor that constitutes the region 11 in contact with the catalyst layer 30 .
  • the noble metal can be selected from, e.g., Au, Ag, Pt, Pd, and combinations thereof.
  • the shape of the noble metal particle 31 is preferably spherical.
  • the noble metal particle 31 may also have another shape such as a rod-like shape or plate-like shape.
  • the particle size of the noble metal particle 31 is not particularly limited as long as the particle size is much smaller than the width of the region 11 .
  • the particle size of the noble metal particle 31 is several tens of nm to several hundreds of nm, and typically, 50 to 200 nm.
  • SEM scanning electron microscope
  • a proportion of the total area of the noble metal particles 31 in the area of the viewing field is, e.g., 50% to 90%, and typically, 75% to 85%.
  • the particle size is a value obtained by the following method. First, the principal surface of the catalyst layer 30 is photographed using an SEM. The magnification is ⁇ 10,000 to ⁇ 100,000. Then, wholly seen particles 31 are selected from the image, and the area of each of the selected particles 31 is obtained. Subsequently, assuming that each particle 31 is a sphere, the diameter of the particle 31 is obtained from the area. This diameter is the particle size of the particle 31 .
  • the catalyst layer 30 can be formed by, e.g., electroplating, reduction plating, or displacement plating.
  • the catalyst layer 30 can also be formed by application of a dispersion containing noble metal particles, or vapor phase deposition such as evaporation or sputtering. Of these methods, displacement plating is particularly favorable because it is possible to directly and evenly deposit a noble metal on the region 11 .
  • the deposition of a noble metal by displacement plating can be performed by using, e.g., a silver nitrate solution.
  • a silver nitrate solution An example of the process will be explained below.
  • a displacement plating solution is, e.g., a solution mixture of a silver nitrate solution, hydrofluoric acid, and water.
  • Hydrofluoric acid has a function of removing a native oxide film from the surface of the structure 10 .
  • the structure 10 When the structure 10 is dipped in the displacement plating solution, a native oxide film on the surface of the structure 10 is removed, and a noble metal, silver in this case, is deposited on a portion of the surface of the structure 10 , which is not covered with the insulating layer 20 . As a consequence, the catalyst layer 30 is obtained.
  • the silver nitrate concentration in the displacement plating solution is preferably 0.001 to 0.01 mol/L.
  • the hydrofluoric acid concentration in the displacement plating solution is preferably 0.1 to 6.5 mol/L.
  • the electron micrograph of FIG. 3 is an SEM image showing the upper surface of the catalyst layer 30 .
  • the catalyst layer 30 was formed by using an aqueous solution containing 0.005 mol/L of silver nitrate and 1.0 mol/L of hydrogen fluoride as the displacement plating solution, and dipping a 10 mm ⁇ 10 mm silicon chip in this displacement plating solution at 25° C. for 3 min.
  • white portions are silver particles. The particle sizes of these particles are about 100 nm.
  • the etching solution 40 contains hydrofluoric acid, an oxidizer, and an organic additive.
  • the semiconductor is oxidized in only portions of the region 11 that are close to the noble metal particles 31 , and a generated oxide is dissolved away by hydrofluoric acid. Therefore, only the portions close to the noble metal particles 31 are selectively etched.
  • the noble metal particles 31 do not chemically change and move downward as the etching progresses, and the same etching as described above is performed there. Consequently, as shown in FIG.
  • etching progresses in a direction perpendicular to the upper surface of the structure 10 .
  • etching does not progress, and the semiconductor remains in the shape of needles. That is, needle-like residual portions 12 are generated.
  • the micrograph of FIG. 6 is an SEM image showing a section of a structure obtained when using an etching solution containing only hydrofluoric acid and an oxidizer.
  • a catalyst layer 30 was formed on a silicon ship, which was the structure 10 , under the same conditions as those for the catalyst layer 30 shown in FIG. 3 , an aqueous solution containing 10 mol/L of hydrogen fluoride and 2 mol/L of hydrogen peroxide was used as an etching solution, and the structure 10 was dipped in the etching solution for 30 min.
  • reference symbol R denotes a region (catalyst layer formation region) where the catalyst layer 30 was formed.
  • FIGS. 7A, 7B, 7C, 7D, and 7E are SEM images each showing a section of a structure obtained when using etching solutions containing only hydrofluoric acid and an oxidizer.
  • a catalyst layer 30 was formed on a silicon chip, which was the structure 10 , under the same conditions as those for the structure 30 shown in FIG. 3 .
  • an aqueous solution containing 20 mol/L of hydrogen fluoride and 1 mol/L of hydrogen peroxide was used as the etching solution.
  • FIG. 7A an aqueous solution containing 20 mol/L of hydrogen fluoride and 1 mol/L of hydrogen peroxide was used as the etching solution.
  • an aqueous solution containing 10 mol/L of hydrogen fluoride and 2 mol/L of hydrogen peroxide was used as the etching solution.
  • an aqueous solution containing 5 mol/L of hydrogen fluoride and 4 mol/L of hydrogen peroxide was used as the etching solution.
  • an aqueous solution containing 4 mol/L of hydrogen fluoride and 5 mol/L of hydrogen peroxide was used as the etching solution.
  • an aqueous solution containing 2.5 mol/L of hydrogen fluoride and 8 mol/L of hydrogen peroxide was used as the etching solution.
  • the structure 10 was dipped in each etching solution for 30 min.
  • the present inventor made extensive studies on the composition of an etching solution for use in the MacEtch method. As a consequence, the present inventor has found a composition which can achieve a high aspect ratio and hardly generates the needle-like residual portions 12 .
  • the etching solution 40 herein used contains hydrofluoric acid, an oxidizer, and an organic additive.
  • etching progresses in those portions of the region 11 , which are close to the noble metal particles 31 , and also progresses in other portions of the region 11 . Consequently, as shown in FIG. 8 , the generation of the needle-like residual portions 12 is suppressed, and a recess 14 having a high aspect ratio is obtained.
  • the concentration of hydrogen fluoride in the etching solution 40 is preferably 1 to 20 mol/L, more preferably, 5 to 10 mol/L, and most preferably, 3 to 7 mol/L. If the hydrogen fluoride concentration is low, a high etching rate is difficult to achieve. If the hydrogen fluoride concentration is high, excess side etching may occur.
  • the oxidizer can be selected from, e.g., AgNO 3 , KAuCl 4 , HAuCl 4 , K 2 PtCl 6 , H 2 PtCl 6 , Fe(NO 3 ) 3 , Ni(NO 3 ) 2 , Mg(NO 3 ) 2 , Na 2 S 2 O 8 , K 2 S 2 O 8 , KMnO 4 , and K 2 Cr 2 O 7 .
  • Hydrogen peroxide is favorable as the oxidizer because hydrogen peroxide does not form any harmful byproduct and does not contaminate a semiconductor element.
  • the concentration of the oxidizer in the etching solution 40 is preferably 0.2 to 8 mol/L, more preferably, 2 to 4 mol/L, and most preferably, 3 to 4 mol/L.
  • the molecules of the organic additive are, e.g., polar molecules.
  • An organic additive like this is generally water-soluble.
  • the molecular weight of the organic additive is preferably 60 to 20,000, and more preferably, 60 to 1,000. Note that when the organic additive is a polymer compound, its molecular weight is a weight-average molecular weight.
  • organic additive it is possible to use one or more compounds selected from the group consisting of, e.g., alcohol, carboxylic acid, hydroxy acid, amine, amino acid, thiols, an organic fluorine compound, and a chelating agent.
  • alcohol it is possible to use ethylene glycol, diethylene glycol, polyethylene glycol, 1,4-butanediol, 1,6-hexanediol, or a combination thereof.
  • carboxylic acid it is possible to use monocarboxylic acid, polycarboxylic acid, or a combination thereof.
  • polycarboxylic acid it is possible to use dicarboxylic acid such as malonic acid or succinic acid.
  • carboxylic acid it is possible to use one type of compound or a plurality of types of compounds.
  • hydroxy acid it is possible to use, e.g., malic acid, citric acid, or a combination thereof.
  • amine it is possible to use, e.g., propylamine, dipropylamine, or a combination thereof.
  • amino acid it is possible to use, e.g., glycine, alanine, or a combination thereof.
  • organic fluorine compound heptafluorobutyric acid or the like can be used.
  • the chelating agent it is possible to use, e.g., diethylenetriamine pentaacetic acid, ethylenediamine tetraacetic acid, or a combination thereof.
  • one or more compounds selected from the group consisting of polyethylene glycol, succinic acid, malic acid, dipropylamine, and alanine are used as the organic additive.
  • the concentration of the organic additive in the etching solution 40 is preferably 0.001 to 5 mass %, more preferably, 0.01 to 1 mass %, and most preferably, 0.05 to 0.2 mass %.
  • the use of the organic additive suppresses the generation of the needle-like residual portions 12 , so a recess having a high aspect ratio is obtained.
  • the present inventor considers the reason for this as follows, although the present inventor does not want to be bound by a theory. Assume that the structure 10 is a silicon wafer.
  • the deposition amount of an organic additive 41 to a unit amount of the semiconductor is larger in the distal end portions P 3 of the needle-like residual portions 12 than in other portions of the structure 10 .
  • the organic additive attracts holes by the polarity.
  • the oxidizer and hydrofluoric acid are supplied to the distal end portions P 3 of the needle-like residual portions 12 from not only the region above them but also the gaps between them. Consequently, as shown in FIG. 10 , silicon oxidation by the oxidizer and removal of the generated oxide by dissolution by hydrofluoric acid are promoted in the distal end portions of the needle-like residual portions 12 . Accordingly, the generation of the needle-like residual portions 12 is suppressed, and a recess having a high aspect ratio is obtained.
  • the organic additive when the organic additive behaves as a complexing agent which forms a complex together with an element, silicon in this case, which forms the structure, the organic additive may accelerate dissolution of silicon in a portion of the structure 10 where holes exist. At least some excess holes generated by silicon oxidation move to the needle-like residual portions 12 .
  • the specific surface area of the needle-like residual portion 12 is larger than those of other portions of the structure 10 . In the needle-like residual portion 12 , therefore, etching of silicon by complexation occurs more easily than in other portions of the structure 10 . Accordingly, the generation of the needle-like residual portions 12 is suppressed, and a recess having a high aspect ratio is obtained.
  • the organic additive behaves as a surfactant, and promotes circulation of the etching solution from the gaps between the needle-like residual portions 12 to other regions and vice versa.
  • the specific surface area of the distal end portion of the needle-like residual portion 12 is larger than those of other portions of the structure 10 .
  • the micrograph of FIG. 11 is an SEM image showing a section of a structure obtained when using an etching solution containing hydrofluoric acid, an oxidizer, and an organic additive.
  • a catalyst layer 30 was formed on a silicon chip as the structure 10 under the same conditions as those for the catalyst layer 30 shown in FIG. 3 .
  • the structure 10 was dipped in this etching solution for 30 min.
  • the micrograph of FIG. 12 is an SEM image showing a section of a structure obtained when using an etching solution containing only hydrofluoric acid and an oxidizer.
  • the micrographs of FIGS. 13, 14, 15, 16, 17, 18, 19, 20 , and 21 are SEM images each showing a section of a structure obtained when using an etching solution containing hydrofluoric acid, an oxidizer, and an organic additive.
  • a catalyst layer 30 was formed on a silicon chip as the structure 10 under the same conditions as those for the catalyst layer 30 shown in FIG. 3 . Then, the structure 10 was dipped in the etching solution for 30 min.
  • an aqueous solution containing 5 mol/L of hydrogen fluoride and 4 mol/L of hydrogen peroxide was used as the etching solution.
  • the micrograph of FIG. 22 is an SEM image showing a section of a structure obtained when using an etching solution containing only hydrofluoric acid and an oxidizer.
  • the micrographs of FIGS. 23, 24, 25, 26, 27, 28, 29, 30, 31, and 32 are SEM images each showing a section of a structure obtained when using an etching solution containing hydrofluoric acid, an oxidizer, and an organic additive.
  • a catalyst layer 30 was formed on a silicon chip as the structure 10 under the same conditions as those for the catalyst layer 30 shown in FIG. 3 . Then, the structure 10 was dipped in the etching solution for 30 min.
  • an aqueous solution containing 5 mol/L of hydrogen fluoride and 4 mol/L of hydrogen peroxide was used as the etching solution.
  • an aqueous solution containing 5 mol/L of hydrogen fluoride, 4 mol/L of hydrogen peroxide, and 0.1 mass % of succinic acid was used as the etching solution.
  • an aqueous solution containing 5 mol/L of hydrogen fluoride, 4 mol/L of hydrogen peroxide, and 0.1 mass % of malic acid was used as the etching solution.
  • an aqueous solution containing 5 mol/L of hydrogen fluoride, 4 mol/L of hydrogen peroxide, and 0.1 mass % of dipropylamine was used as the etching solution.
  • an aqueous solution containing 5 mol/L of hydrogen fluoride, 4 mol/L of hydrogen peroxide, and 0.1 mass % of alanine was used as the etching solution.
  • the method according to the embodiment can perform high-aspect-ratio deep etching by a simple wet process. That is, the embodiment provides an etching technique capable of anisotropic etching.
  • the aggregate of the plurality of particles 31 each made of a noble metal was used as the catalyst layer 30 , but the catalyst layer 30 may also have another form.
  • the catalyst layer 30 may also be obtained by forming a plurality of through holes in a continuous layer.
  • the above-described etching method can be used to manufacture various articles.
  • the above-described etching method can be used to manufacture a semiconductor device, a circuit board such as an interposer, or a stamper for use in nanoimprinting.
  • a substrate such as a protective substrate, which has a recess in one principal surface and forms a hollow structure when bonded to another substrate.
  • This etching method is also usable to form a recess such as a trench or a through hole such as a via hole.
  • the etching method can also be used to divide a structure such as a substrate.
  • FIGS. 33, 34, 35, 36, 37, and 38 illustrate a method of dividing a semiconductor wafer into a plurality of semiconductor chips.
  • This structure includes a semiconductor wafer 10 , insulating layer 20 , and dicing sheet 50 .
  • Semiconductor elements 13 are formed in the surface region of the semiconductor wafer 10 .
  • the insulating layer 20 covers a region of the semiconductor wafer 10 , in which the semiconductor elements 13 are formed, and protects the semiconductor elements 13 against damage.
  • the dicing sheet 50 is adhered on a surface of the semiconductor wafer 10 , which is opposite to the surface on which the protective layer 20 is formed.
  • the catalyst layer 30 is an aggregate of a plurality of particles 31 each made of a noble metal.
  • etching is performed by using an etching solution containing hydrofluoric acid, an oxidizer, and an organic additive. More specifically, the structure shown in FIGS. 35 and 36 is dipped in the etching solution so as to remove a portion of the semiconductor wafer 10 that is positioned below the catalyst layer 30 . Thus, a semiconductor chip 10 ′ each including the semiconductor element 13 is obtained as shown in FIGS. 37 and 38 .
  • the insulating layer 20 can be used as, e.g., a protective layer for protecting the semiconductor chip 10 ′.
  • the insulating layer 20 covers the entire surface of the semiconductor chip 10 ′. Therefore, this method can achieve a high strength as compared with the case where general dicing using a blade is performed.
  • the shape of the upper surface of the semiconductor chip 10 ′ is not limited to a square or rectangle.
  • the upper surface shape of the semiconductor chip 10 ′ may also be a circle or triangle.
  • this method can simultaneously form semiconductor chips 10 ′ having different upper surface shapes.

Landscapes

  • Engineering & Computer Science (AREA)
  • Chemical & Material Sciences (AREA)
  • Manufacturing & Machinery (AREA)
  • General Physics & Mathematics (AREA)
  • Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Materials Engineering (AREA)
  • Organic Chemistry (AREA)
  • Inorganic Chemistry (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Weting (AREA)
  • Micromachines (AREA)
US14/845,818 2014-09-11 2015-09-04 Etching method, method of manufacturing article, and etching solution Active US9701902B2 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
US15/612,636 US20170267926A1 (en) 2014-09-11 2017-06-02 Etching method, method of manufacturing article, and etching solution

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
JP2014185570A JP6121959B2 (ja) 2014-09-11 2014-09-11 エッチング方法、物品及び半導体装置の製造方法、並びにエッチング液
JP2014-185570 2014-09-11

Related Child Applications (1)

Application Number Title Priority Date Filing Date
US15/612,636 Division US20170267926A1 (en) 2014-09-11 2017-06-02 Etching method, method of manufacturing article, and etching solution

Publications (2)

Publication Number Publication Date
US20160079078A1 US20160079078A1 (en) 2016-03-17
US9701902B2 true US9701902B2 (en) 2017-07-11

Family

ID=55455435

Family Applications (2)

Application Number Title Priority Date Filing Date
US14/845,818 Active US9701902B2 (en) 2014-09-11 2015-09-04 Etching method, method of manufacturing article, and etching solution
US15/612,636 Abandoned US20170267926A1 (en) 2014-09-11 2017-06-02 Etching method, method of manufacturing article, and etching solution

Family Applications After (1)

Application Number Title Priority Date Filing Date
US15/612,636 Abandoned US20170267926A1 (en) 2014-09-11 2017-06-02 Etching method, method of manufacturing article, and etching solution

Country Status (5)

Country Link
US (2) US9701902B2 (ko)
JP (1) JP6121959B2 (ko)
KR (2) KR101804015B1 (ko)
CN (1) CN105428230B (ko)
TW (1) TWI646221B (ko)

Families Citing this family (17)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10134634B2 (en) * 2014-11-04 2018-11-20 Georgia Tech Research Corporation Metal-assisted chemical etching of a semiconductive substrate with high aspect ratio, high geometic uniformity, and controlled 3D profiles
JP6081647B1 (ja) * 2016-07-28 2017-02-15 株式会社東芝 エッチング方法、半導体チップの製造方法及び物品の製造方法
JP6246956B1 (ja) * 2017-01-17 2017-12-13 株式会社東芝 エッチング方法、半導体チップの製造方法及び物品の製造方法
CN107265398A (zh) * 2017-06-15 2017-10-20 西南交通大学 基于机械刻划与金属催化刻蚀的硅微/纳结构制备方法
CN107658370B (zh) * 2017-09-14 2019-12-13 江苏辉伦太阳能科技有限公司 一种黑硅mwt背接触电池的制备方法
JP2019062039A (ja) 2017-09-26 2019-04-18 株式会社東芝 エッチング装置及び方法、処理システム、並びに、物品、半導体装置及び半導体チップの製造方法
US10889757B2 (en) 2017-10-19 2021-01-12 Fujifilm Electronic Materials U.S.A., Inc. Etching compositions
JP2018022926A (ja) * 2017-11-01 2018-02-08 株式会社東芝 エッチング方法、半導体チップの製造方法及び物品の製造方法
JP2019140225A (ja) * 2018-02-09 2019-08-22 株式会社東芝 エッチング方法、半導体チップの製造方法及び物品の製造方法
JP7236111B2 (ja) * 2018-05-31 2023-03-09 学校法人 関西大学 シリコン半導体基板のエッチング方法、半導体装置の製造方法およびエッチング液
US11296077B2 (en) * 2018-11-19 2022-04-05 Taiwan Semiconductor Manufacturing Company, Ltd. Transistors with recessed silicon cap and method forming same
CN110148554B (zh) * 2019-05-31 2021-05-07 大连理工大学 一种利用光刻及金属催化腐蚀切割晶体硅的方法
JP7314001B2 (ja) * 2019-09-20 2023-07-25 株式会社東芝 コンデンサ
CN110838436A (zh) * 2019-11-06 2020-02-25 上海新阳半导体材料股份有限公司 一种湿制程工艺及应用
CN113782595A (zh) * 2020-06-10 2021-12-10 中国科学院微电子研究所 一种半导体器件及其制作方法、电子设备
CN111690411B (zh) * 2020-06-22 2021-05-18 长江存储科技有限责任公司 硅刻蚀液和半导体结构的刻蚀方法
JP2024005033A (ja) * 2022-06-29 2024-01-17 株式会社Screenホールディングス 基板処理方法

Citations (19)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH11238720A (ja) 1998-02-20 1999-08-31 Hitachi Cable Ltd アンチモン系化合物半導体結晶のエッチング方法及びエッチング溶液
US6762134B2 (en) 2000-11-27 2004-07-13 The Board Of Trustees Of The University Of Illinois Metal-assisted chemical etch to produce porous group III-V materials
US6790785B1 (en) 2000-09-15 2004-09-14 The Board Of Trustees Of The University Of Illinois Metal-assisted chemical etch porous silicon formation method
JP2005109318A (ja) 2003-10-01 2005-04-21 Tosoh Corp 酸化タンタルのエッチング用組成物
KR20080015027A (ko) 2005-06-13 2008-02-15 어드밴스드 테크놀러지 머티리얼즈, 인코포레이티드 금속 규화물 형성 후 금속 또는 금속 합금의 선택적인제거를 위한 조성물 및 방법
JP2009044129A (ja) 2007-07-13 2009-02-26 Tokyo Ohka Kogyo Co Ltd 窒化チタン剥離液、及び窒化チタン被膜の剥離方法
EP1581668B1 (en) 2002-12-27 2010-11-10 Integrated Optoelectronics AS Etching of algainassb
JP2011060846A (ja) 2009-09-07 2011-03-24 Univ Of Miyazaki 微細流路の形成方法
JP2011101009A (ja) 2004-11-09 2011-05-19 Sharp Corp 単結晶基板
JP2012114449A (ja) 2010-11-24 2012-06-14 Air Products & Chemicals Inc シリコンウェハーのテクスチャ形成用の組成物及び方法
TW201225173A (en) 2010-12-08 2012-06-16 Hikaru Kobayashi Method and device for manufacturing semiconductor devices, semiconductor device, and transfer member
US8278191B2 (en) 2009-03-31 2012-10-02 Georgia Tech Research Corporation Methods and systems for metal-assisted chemical etching of substrates
JP2012256876A (ja) 2011-05-17 2012-12-27 Fujifilm Corp エッチング方法及びこれに用いられるエッチング液、これを用いた半導体素子の製造方法
JP2013527103A (ja) 2010-03-09 2013-06-27 ボード・オブ・リージェンツ・オブ・ザ・ユニバーシティ・オブ・テキサス・システム 多孔質および非孔質のナノ構造体
US8486843B2 (en) 2008-09-04 2013-07-16 The Board Of Trustrees Of The University Of Illinois Method of forming nanoscale three-dimensional patterns in a porous material
WO2014069517A1 (ja) 2012-11-02 2014-05-08 富士フイルム株式会社 エッチング液、これを用いたエッチング方法及び半導体素子の製造方法
KR20140083006A (ko) 2011-10-06 2014-07-03 넥세온 엘티디 에칭된 실리콘 구조, 이의 제조방법 및 이의 용도
US20150123249A1 (en) * 2014-01-16 2015-05-07 National Institute Of Standards And Technology Article with gradient property and processes for selective etching
US20150130028A1 (en) 2013-11-13 2015-05-14 Kabushiki Kaisha Toshiba Method of manufacturing semiconductor chip, semiconductor chip, and semiconductor device

Family Cites Families (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20100112728A1 (en) * 2007-03-31 2010-05-06 Advanced Technology Materials, Inc. Methods for stripping material for wafer reclamation
GB201205178D0 (en) * 2012-03-23 2012-05-09 Nexeon Ltd Etched silicon structures, method of forming etched silicon structures and uses thereof
CN102983212B (zh) * 2012-11-06 2015-09-02 华南师范大学 晶体硅太阳能电池纳米透明埋栅电极的制备方法

Patent Citations (19)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH11238720A (ja) 1998-02-20 1999-08-31 Hitachi Cable Ltd アンチモン系化合物半導体結晶のエッチング方法及びエッチング溶液
US6790785B1 (en) 2000-09-15 2004-09-14 The Board Of Trustees Of The University Of Illinois Metal-assisted chemical etch porous silicon formation method
US6762134B2 (en) 2000-11-27 2004-07-13 The Board Of Trustees Of The University Of Illinois Metal-assisted chemical etch to produce porous group III-V materials
EP1581668B1 (en) 2002-12-27 2010-11-10 Integrated Optoelectronics AS Etching of algainassb
JP2005109318A (ja) 2003-10-01 2005-04-21 Tosoh Corp 酸化タンタルのエッチング用組成物
JP2011101009A (ja) 2004-11-09 2011-05-19 Sharp Corp 単結晶基板
KR20080015027A (ko) 2005-06-13 2008-02-15 어드밴스드 테크놀러지 머티리얼즈, 인코포레이티드 금속 규화물 형성 후 금속 또는 금속 합금의 선택적인제거를 위한 조성물 및 방법
JP2009044129A (ja) 2007-07-13 2009-02-26 Tokyo Ohka Kogyo Co Ltd 窒化チタン剥離液、及び窒化チタン被膜の剥離方法
US8486843B2 (en) 2008-09-04 2013-07-16 The Board Of Trustrees Of The University Of Illinois Method of forming nanoscale three-dimensional patterns in a porous material
US8278191B2 (en) 2009-03-31 2012-10-02 Georgia Tech Research Corporation Methods and systems for metal-assisted chemical etching of substrates
JP2011060846A (ja) 2009-09-07 2011-03-24 Univ Of Miyazaki 微細流路の形成方法
JP2013527103A (ja) 2010-03-09 2013-06-27 ボード・オブ・リージェンツ・オブ・ザ・ユニバーシティ・オブ・テキサス・システム 多孔質および非孔質のナノ構造体
JP2012114449A (ja) 2010-11-24 2012-06-14 Air Products & Chemicals Inc シリコンウェハーのテクスチャ形成用の組成物及び方法
TW201225173A (en) 2010-12-08 2012-06-16 Hikaru Kobayashi Method and device for manufacturing semiconductor devices, semiconductor device, and transfer member
JP2012256876A (ja) 2011-05-17 2012-12-27 Fujifilm Corp エッチング方法及びこれに用いられるエッチング液、これを用いた半導体素子の製造方法
KR20140083006A (ko) 2011-10-06 2014-07-03 넥세온 엘티디 에칭된 실리콘 구조, 이의 제조방법 및 이의 용도
WO2014069517A1 (ja) 2012-11-02 2014-05-08 富士フイルム株式会社 エッチング液、これを用いたエッチング方法及び半導体素子の製造方法
US20150130028A1 (en) 2013-11-13 2015-05-14 Kabushiki Kaisha Toshiba Method of manufacturing semiconductor chip, semiconductor chip, and semiconductor device
US20150123249A1 (en) * 2014-01-16 2015-05-07 National Institute Of Standards And Technology Article with gradient property and processes for selective etching

Non-Patent Citations (5)

* Cited by examiner, † Cited by third party
Title
Combined Taiwanese Office Action and Search Report issued Jul. 7, 2016 in Patent Application No. 104129063 (with translation).
Japanese Office Action issued Oct. 4, 2016 in Patent Application No. 2014-185570 with English translation.
Office Action issued Aug. 30, 2016 in Korean Patent Application No. 10-2015-0123688 (with English language translation).
Taiwanese Office Action issued Oct. 18, 2016 in Patent Application No. 104129063 with English translation.
Yoshiko Harada et al. "Catalytic Amplification of the Soft Lithographic Patterning of Si. Nonelectrochemical Orthogonal Fabrication of Photoluminescent Porous Si Pixel Arrays" Journal of the American Chemical Society, American Chemical Society, Aug. 16, 2001, vol. 123, pp. 8709-8717.

Also Published As

Publication number Publication date
KR101804015B1 (ko) 2017-12-01
TWI646221B (zh) 2019-01-01
KR20170134292A (ko) 2017-12-06
CN105428230A (zh) 2016-03-23
US20170267926A1 (en) 2017-09-21
CN105428230B (zh) 2019-01-01
JP2016058647A (ja) 2016-04-21
KR20160030846A (ko) 2016-03-21
JP6121959B2 (ja) 2017-04-26
KR102271693B1 (ko) 2021-07-02
TW201614104A (en) 2016-04-16
US20160079078A1 (en) 2016-03-17

Similar Documents

Publication Publication Date Title
US9701902B2 (en) Etching method, method of manufacturing article, and etching solution
US10854466B2 (en) Etching method, method of manufacturing semiconductor chip, and method of manufacturing article
US10090158B2 (en) Etching method, method of manufacturing semiconductor chip, and method of manufacturing article
US9437441B2 (en) Methods for etching substrate and semiconductor devices
Cuypers et al. Wet chemical etching of InP for cleaning applications: I. An oxide formation/oxide dissolution model
JP7080781B2 (ja) 多孔質層の形成方法、エッチング方法、物品の製造方法、半導体装置の製造方法、及びめっき液
US20220115238A1 (en) Etching method, method of manufacturing semiconductor chip, and method of manufacturing article
JP6444805B2 (ja) 半導体チップの製造方法
JP6363245B2 (ja) エッチング方法、物品及び半導体装置の製造方法、並びにエッチング液
JP2018022926A (ja) エッチング方法、半導体チップの製造方法及び物品の製造方法
KR101680070B1 (ko) 반도체 구조 제조 방법 및 기판 식각 방법
JP6246956B1 (ja) エッチング方法、半導体チップの製造方法及び物品の製造方法
JP6970263B2 (ja) エッチング方法、半導体チップの製造方法及び物品の製造方法
KR102614941B1 (ko) 에칭 방법
JP2015056578A (ja) 半導体装置の製造方法

Legal Events

Date Code Title Description
AS Assignment

Owner name: KABUSHIKI KAISHA TOSHIBA, JAPAN

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNOR:ASANO, YUSAKU;REEL/FRAME:036495/0922

Effective date: 20150901

STCF Information on status: patent grant

Free format text: PATENTED CASE

MAFP Maintenance fee payment

Free format text: PAYMENT OF MAINTENANCE FEE, 4TH YEAR, LARGE ENTITY (ORIGINAL EVENT CODE: M1551); ENTITY STATUS OF PATENT OWNER: LARGE ENTITY

Year of fee payment: 4