US20110210377A1 - Nitride semiconductor device - Google Patents

Nitride semiconductor device Download PDF

Info

Publication number
US20110210377A1
US20110210377A1 US12/713,336 US71333610A US2011210377A1 US 20110210377 A1 US20110210377 A1 US 20110210377A1 US 71333610 A US71333610 A US 71333610A US 2011210377 A1 US2011210377 A1 US 2011210377A1
Authority
US
United States
Prior art keywords
group
iii nitride
barrier layer
layer
gate
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Abandoned
Application number
US12/713,336
Other languages
English (en)
Inventor
Oliver Haeberlen
Walter Rieger
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Infineon Technologies Austria AG
Original Assignee
Infineon Technologies Austria AG
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Infineon Technologies Austria AG filed Critical Infineon Technologies Austria AG
Priority to US12/713,336 priority Critical patent/US20110210377A1/en
Assigned to INFINEON TECHNOLOGIES AUSTRIA AG reassignment INFINEON TECHNOLOGIES AUSTRIA AG ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: HAEBERLEN, OLIVER, DR., RIEGER, WALTER, DR.
Priority to JP2011036493A priority patent/JP5823138B2/ja
Priority to DE102011000911.6A priority patent/DE102011000911B4/de
Publication of US20110210377A1 publication Critical patent/US20110210377A1/en
Priority to JP2013259335A priority patent/JP2014116607A/ja
Priority to US14/708,736 priority patent/US11004966B2/en
Priority to US17/317,263 priority patent/US20210313462A1/en
Abandoned legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/778Field effect transistors with two-dimensional charge carrier gas channel, e.g. HEMT ; with two-dimensional charge-carrier layer formed at a heterojunction interface
    • H01L29/7786Field effect transistors with two-dimensional charge carrier gas channel, e.g. HEMT ; with two-dimensional charge-carrier layer formed at a heterojunction interface with direct single heterostructure, i.e. with wide bandgap layer formed on top of active layer, e.g. direct single heterostructure MIS-like HEMT
    • H01L29/7787Field effect transistors with two-dimensional charge carrier gas channel, e.g. HEMT ; with two-dimensional charge-carrier layer formed at a heterojunction interface with direct single heterostructure, i.e. with wide bandgap layer formed on top of active layer, e.g. direct single heterostructure MIS-like HEMT with wide bandgap charge-carrier supplying layer, e.g. direct single heterostructure MODFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body
    • H01L27/06Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including a plurality of individual components in a non-repetitive configuration
    • H01L27/0605Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including a plurality of individual components in a non-repetitive configuration integrated circuits made of compound material, e.g. AIIIBV
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body
    • H01L27/08Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind
    • H01L27/085Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/12Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/20Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only AIIIBV compounds
    • H01L29/2003Nitride compounds
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/12Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/20Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only AIIIBV compounds
    • H01L29/201Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only AIIIBV compounds including two or more compounds, e.g. alloys
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/12Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/20Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only AIIIBV compounds
    • H01L29/201Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only AIIIBV compounds including two or more compounds, e.g. alloys
    • H01L29/205Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only AIIIBV compounds including two or more compounds, e.g. alloys in different semiconductor regions, e.g. heterojunctions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/423Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions not carrying the current to be rectified, amplified or switched
    • H01L29/42312Gate electrodes for field effect devices
    • H01L29/42316Gate electrodes for field effect devices for field-effect transistors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66446Unipolar field-effect transistors with an active layer made of a group 13/15 material, e.g. group 13/15 velocity modulation transistor [VMT], group 13/15 negative resistance FET [NERFET]
    • H01L29/66462Unipolar field-effect transistors with an active layer made of a group 13/15 material, e.g. group 13/15 velocity modulation transistor [VMT], group 13/15 negative resistance FET [NERFET] with a heterojunction interface channel or gate, e.g. HFET, HIGFET, SISFET, HJFET, HEMT
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/778Field effect transistors with two-dimensional charge carrier gas channel, e.g. HEMT ; with two-dimensional charge-carrier layer formed at a heterojunction interface
    • H01L29/7786Field effect transistors with two-dimensional charge carrier gas channel, e.g. HEMT ; with two-dimensional charge-carrier layer formed at a heterojunction interface with direct single heterostructure, i.e. with wide bandgap layer formed on top of active layer, e.g. direct single heterostructure MIS-like HEMT
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/10Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions with semiconductor regions connected to an electrode not carrying current to be rectified, amplified or switched and such electrode being part of a semiconductor device which comprises three or more electrodes
    • H01L29/1066Gate region of field-effect devices with PN junction gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/417Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions carrying the current to be rectified, amplified or switched
    • H01L29/41725Source or drain electrodes for field effect devices
    • H01L29/41766Source or drain electrodes for field effect devices with at least part of the source or drain electrode having contact below the semiconductor surface, e.g. the source or drain electrode formed at least partially in a groove or with inclusions of conductor inside the semiconductor
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/432Heterojunction gate for field effect devices

Definitions

  • This description refers to embodiments of nitride semiconductor devices and particularly high electron mobility transistors (HEMTs) and methods for manufacturing such devices.
  • the description also refers to power nitride semiconductor devices such as power-HEMTs.
  • Common power devices on the basis of GaN are mainly lateral HEMTs using a 2-dimensional electron gas (2-DEG) which is formed at the heterojunction between undoped GaN and undoped AlGaN (aluminium gallium nitride). Such devices are typically normally-on devices.
  • 2-DEG 2-dimensional electron gas
  • Approaches to form normally-off-devices include a p-doped AlGaN or p-doped GaN barrier layer between the gate and the undoped barrier layer to raise the conductivity band of the barrier layer such that the threshold voltage of the device is shifted to positive values.
  • the undoped barrier layer should not produce a too high density of the 2-dimensional electron gas, which counteracts the threshold voltage rise.
  • reducing the density of the 2-DEG also reduces the conductivity of the drift region between source and drain.
  • Another approach is to form a recessed-gate-structure with a reduced barrier thickness below the gate formed by a Schottky metal. Manufacturing of such structures is complicated and not always reproducible.
  • a nitride semiconductor device includes a Group-III nitride channel layer; a Group-III nitride barrier layer on the Group-III nitride channel layer, the Group-III nitride barrier layer including a first portion and a second portion, the first portion having a thickness less than the second portion; a p-doped Group-III nitride gate layer section arranged at least on the first portion of the Group-III nitride barrier layer; and a gate contact on the p-doped Group-III nitride gate layer.
  • FIG. 1 illustrates a nitride semiconductor device embodied as a HEMT according to one embodiment.
  • FIGS. 2A to 2E illustrate processes of a method for manufacturing a nitride semiconductor device such as a HEMT according to an embodiment.
  • FIGS. 3A to 3E illustrate processes of a method for manufacturing a nitride semiconductor device such as a HEMT according to an embodiment.
  • FIGS. 4A to 4E illustrate processes of a method for manufacturing a nitride semiconductor device such as a HEMT according to an embodiment.
  • FIG. 5 illustrates the relationship between threshold voltage, Al-content in the barrier layer and thickness of the barrier layer.
  • FIG. 6 illustrates a nitride semiconductor device embodied as a HEMT according to an embodiment.
  • FIGS. 7A to 7D illustrate processes of a method for manufacturing a nitride semiconductor device including a depletion and an enhancement nitride semiconductor device such as a depletion and an enhancement HEMT according to an embodiment.
  • lateral intends to describe an orientation parallel to the main surface of a semiconductor substrate.
  • vertical as used in this specification intends to describe an orientation, which is arranged perpendicular to the main surface of the semiconductor substrate.
  • a second surface of a semiconductor substrate is considered to be formed by the lower or backside surface while a first surface is considered to be formed by the upper, front or main surface of the semiconductor substrate.
  • the terms “above” and “below” as used in this specification therefore describe a relative location of a structural feature to another structural feature with consideration of this orientation.
  • HEMTs high electron mobility transistor
  • 2-DEG 2-dimensional electron gas
  • HFETs heterojunction field effect transistors
  • the HEMT 100 includes a barrier layer, which is thinner below the gate of the HEMT 100 in comparison to the barrier layer in the gate-drain and gate-source section.
  • a nitride semiconductor device includes a Group-III nitride channel layer; a Group-III nitride barrier layer on the Group-III nitride channel layer, the Group-III nitride barrier layer including a first portion and a second portion, the first portion having a thickness less than the second portion; a p-doped Group-III nitride gate layer section arranged at least on the first portion of the Group-III nitride barrier layer; and a gate contact on the p-doped Group-III nitride gate layer.
  • a method for manufacturing a nitride semiconductor device includes providing a Group-III nitride channel layer; forming a Group-III nitride barrier layer having a first portion and a second portion on the Group-III nitride channel layer, the first portion having a thickness less than the second portion; forming a p-doped Group-III nitride gate layer section at least on the first portion of the Group-III nitride barrier layer; forming a gate contact on the p-doped Group-III nitride gate layer; forming a source electrode; forming a drain electrode spaced apart from the source electrode.
  • the HEMT 100 includes a semiconductor substrate 1 , which can be made of different materials such as GaN (gallium nitride), Si (silicon), SiC (silicon carbide) or Al 2 O 3 (sapphire).
  • Examples for SiC substrates are 4H, 3C, 6H and 15 R polytypes of SiC.
  • SiC has a for example a higher thermal conductivity than sapphire which facilitates thermal dissipation of the device.
  • the HEMT 100 can be manufactured using different materials.
  • the material system used for fabricating the HEMT 100 is based on Group-III nitride semiconductor materials.
  • Group-III refers to semiconductor compounds, which are formed between nitrogen and one or more elements from the Group III of the periodic system, typically Al (aluminium), Ga (gallium), and In (indium).
  • Group-III nitride semiconductor materials refer to binary materials such as GaN and InN, ternary materials such as AlGaN and AlInN, and quarternary materials such as AlGaInN. These materials exhibit a high spontaneous piezoelectric polarization, which is very useful for generating a 2-DEG.
  • an optional buffer layer 2 can be provided on the substrate 1 .
  • Different materials can be used for the buffer layer 2 .
  • a suitable material for the buffer layer 2 can be Al w Ga 1-w N (0 ⁇ w ⁇ 1).
  • a multi layer buffer layer formed by alternating combinations of semiconductor nitride layers can also be used.
  • the buffer layer 2 can have a sufficient thickness to provide for lattice compensation.
  • the HEMT 100 also includes Group-III nitride layer 3 , which forms a channel layer.
  • the Group-III nitride layer 3 is referred to as channel layer.
  • the channel layer 3 can generally be made of Al a Ga 1-a N with 0 ⁇ a ⁇ 1 and is typically significantly thicker than the buffer layer 2 .
  • Channel layer 3 can also be thinner than buffer layer 2 , or can be of same thickness.
  • the channel layer 3 is typically undoped. In connection with this specification, undoped means not intentionally doped. A skilled person will appreciate that semiconductor materials are not completely undoped and might include traces of impurities.
  • the channel layer 3 is thicker than the buffer layer 2 and can be in the range from about 2 ⁇ m to 6 ⁇ m although other thicknesses can also be used.
  • the channel layer 3 is arranged on the optional buffer layer 2 opposite to the substrate 1 . When no buffer layer 2 is used, channel layer 3 will be arranged directly on the substrate 1 , for example, when a Group-III nitride substrate 1 is used.
  • a Group-III nitride barrier layer 4 which is referred to in the following as barrier layer, is included on the channel layer 3 opposite to the optional buffer layer 2 and substrate 1 .
  • the barrier layer 4 can be made of a material selected from the group including Al b Ga 1-b N (0 ⁇ b ⁇ 1) and Al c In 1-c N (0 ⁇ c ⁇ 1 and a ⁇ c).
  • the content of aluminium in the barrier layer 4 is higher than the content of aluminium in the channel layer 3 , i.e. a ⁇ b and a ⁇ c.
  • An example is Al 0.3 Ga 0.7 N for the barrier layer 4 and GaN for the channel layer 3 .
  • the barrier layer 4 can be a single layer or a composition including two, three or more layers.
  • the barrier layer 4 can be composed of several monolayers.
  • the barrier layer 4 is undoped.
  • the barrier layer 4 can be composed of at least a first Group-III nitride barrier layer 41 , which will be referred to in the following as first barrier layer, and at least a second Group-III nitride barrier layer 42 , which will be referred to in the following as second barrier layer.
  • the first barrier layer 41 can be made of Al b1 Ga 1-b1 N (0 ⁇ b1 ⁇ 1) or Al c1 In 1-c1 N (0 ⁇ c1 ⁇ 1) with typically a ⁇ b1 and a ⁇ c1, i.e. the Al-content in the first barrier layer 41 is higher in one or more embodiments than in the channel layer 3 .
  • the second barrier layer 42 can be made of Al b2 Ga 1-b2 N (0 ⁇ b2 ⁇ 1) or Al c2 In 1-c2 N (0 ⁇ c2 ⁇ 1) with typically a ⁇ b2 and a ⁇ c2, i.e. the Al-content in the second barrier layer 42 is higher in one or more embodiments than in the channel layer 3 .
  • First and second barrier layers 41 , 42 are typically undoped.
  • First barrier layer 41 is comparably thin, for example equal to or less than 5 nm, for example 1 nm to 2 nm thick.
  • Second barrier layer 42 can be of the same thickness, for example equal to or less than 5 nm, but can also be thicker than first barrier layer 41 , for example about 20 nm.
  • First and second barrier layers 41 and 42 can also have other thicknesses and other thickness relations.
  • both barrier layers 41 , 42 can have substantially the same thickness such as about 5 nm.
  • first portion 4 - 1 of the barrier 4 The region, where the first barrier layer 41 is covered by a gate layer section 5 described below is also referred to as first portion 4 - 1 of the barrier 4 , while the region, where both the first and second barrier layers 41 , 42 are in contact with each other, are referred to as second portion 4 - 2 of the barrier layer 4 .
  • First portion 4 - 1 forms the gate region while second portion 4 - 2 forms a gate-source section and a gate-drain section, respectively.
  • first portion 4 - 1 of the barrier layer 4 has a thickness less than the thickness of the second portion 4 - 2 .
  • FIG. 1 illustrates thickness relations between the first barrier layer 41 and the barrier layer 4 composed of first and second barrier layers 41 , 42 .
  • First barrier layer 41 (first portion 4 - 1 of the barrier layer 4 ) has a thickness “a” while the thickness of the barrier layer 4 outside of the gate region (second portion 4 - 2 of the barrier layer 4 ) is “b”.
  • the thickness relation a:b can be in a range from about 1:2 to about 1:10.
  • the barrier layer 4 is formed on and in contact with the channel layer 3 to form a heterojunction interface.
  • the material of the barrier layer 4 has a higher bandgap width than the material of the channel layer 3 .
  • the difference in the band gap width can be adjusted, for example, by selecting the Al-content in the respective layers.
  • a 2-DEG layer 8 is formed as a result of the piezoelectric polarization, lattice mismatch and difference of the band gap widths of the channel layer 3 and the barrier layer 4 or first barrier layer 41 .
  • the barrier layer 4 and first and second barrier layers 41 , 42 have a larger band gap than the channel layer 3 .
  • the 2-DEG layer 8 forms a conductive channel connecting a source electrode 11 with a drain electrode 12 .
  • the HEMT 100 forms a depletion mode device (normally-on) since the 2-DEG layer 8 provides a conductive channel between source 11 and drain 12 .
  • the HEMT 100 further includes a passivation layer 6 and a gate contact 10 .
  • a p-doped Group-III nitride gate layer section 5 which will be referred to in the following as gate layer section 5 , is arranged on the barrier layer 4 .
  • Gate layer section 5 reduces the electron population in the 2-DEG layer 8 under the gate region (first portion 4 - 1 ) of the barrier layer 4 , which brings about a shift of the threshold voltage of about 3 V in positive direction in comparison to a device that does not include a p-doped gate layer section. As the 3 V roughly corresponds to the band gap of the barrier layer 4 , the formation of the 2-DEG is restricted or prevented in the gate region so that the device is normally-off.
  • the p-doped gate layer section 5 can have a thickness of about 100 nm although other thicknesses can be used as well.
  • the doping concentration of the p-doped gate layer section 5 is typically about 10 18 cm ⁇ 3 although other doping concentrations can be used as well.
  • the p-doping concentration can be increased towards the upper surface of the gate layer section 5 to provide a low ohmic p-contact to a gate metal.
  • an additional highly p-doped Group-III nitride capping layer can be deposited on gate layer section 5 .
  • gate layer section 5 can include several p-doped Group-III nitride layers of different doping concentration and different composition if desired. Examples for the material used as p-doped gate layer section 5 are GaN and Al 0.2 Ga 0.8 N.
  • the gate layer section 5 is formed in the gate region on and in contact with the barrier layer 4 or, in case of the first and second barrier layers 41 , 42 , on and in contact with the first barrier layer 41 .
  • the second barrier layer 42 can then be formed on and in contact with the first barrier layer 41 in regions, which are not covered by the gate layer section 5 .
  • the selection of the material used for channel layer 3 and barrier layer 4 also influences the extent to which the 2-DEG layer 8 is formed at the heterojunction between the channel layer 3 and barrier layer 4 .
  • the Al-content in the barrier layer 4 or more generally, the difference of the Al-content between the channel layer 3 and barrier layer 4 , has an impact on the threshold voltage of the device.
  • Another parameter, which should be considered, is the thickness of the barrier layer 4 .
  • FIG. 5 illustrates the relation between the thickness of the barrier layer 4 in the gate region between the gate layer section 5 , the Al-content of the barrier layer 4 below the gate layer section 5 , and the threshold voltage. To obtain a threshold voltage larger than ⁇ 1 V, i.e.
  • a comparably high Al-content can be used when the barrier layer 4 between the gate layer section 5 and the channel layer 3 is made thin.
  • the barrier layer 4 , or the first barrier layer 41 can have a thickness of up to 9 nm when their Al-content does not exceed about 30% to 35%.
  • an even higher Al-content can be used.
  • the thickness and the Al-content of the barrier layer 4 below the p-doped gate layer section 5 or the thickness of the first barrier layer 41 is typically selected such to obtain a threshold shift, caused by the barrier layer, i.e. without the p-doped gate layer section 5 , of not more than ⁇ 1V.
  • the undoped barrier layer 4 shifts the threshold voltage to negative values by increasing the density of the electron gas in the 2-DEG layer 8 .
  • a high density of the electron gas in the gate-drain and gate-source section is desired.
  • p-doped gate layer section 5 shifts the threshold voltage in the gate region to positive values. The combination of these two opposing effects defines the threshold voltage of the HEMT.
  • the Al-content of the first barrier layer 41 can be different to the Al-content of the second barrier layer 42 although both the first and second barrier layers 41 , 42 can also have the same Al-content.
  • the first barrier layer 41 has a higher Al-content than the second barrier layer 42 .
  • the Al-content of the first barrier layer 41 can be different to the Al-content of the p-doped gate layer section 5 . Using a different Al-content in the first and second barrier layers 41 , 42 allows a higher freedom in tailoring the electrical properties of the HEMT 100 .
  • Using a different Al-content for the first and second barrier layers 41 , 42 also facilitates the manufacturing process. For example, by adjusting the Al-content of the first layer 41 relative to the Al-content of the p-doped gate layer section 5 , a selective etching of the material of the gate layer section 5 relative to the first barrier layer 41 is possible so that the first barrier layer 41 functions as etch stop.
  • the thickness of the barrier layer 4 can be finely adjusted in the region between gate layer section 5 and the channel layer 3 (gate region) since the thickness of the barrier layer 4 in that region also influences the threshold voltage. For example, variations of about 1 nm of the thickness in that region may cause observable variations of the threshold voltage.
  • the first barrier layer 41 can be separately formed, typically by epitaxial deposition, which can be finely controlled, the thickness of the barrier layer 4 between the p-doped gate layer section 5 and the channel layer 3 can be finely adjusted. Furthermore, variations of the thickness between devices commonly process can by reduced which improves the yield.
  • the barrier layer 4 can also include further layers if desired. Basically, the barrier layer 4 can include several layers of different or same material. Furthermore, the first barrier layer 41 can include more than one layer of different or same material. Moreover, the second barrier layer 42 can include more than one layer, such as two or three layers of same or different material. Using more layers provides more freedom for tailoring the electrical characteristics of the device. Barrier layer 4 , or second barrier layer 42 , can additionally be covered by a thin, e.g., 2 nm, layer of GaN to reduce the oxidation susceptibility of the barrier layer.
  • the HEMT 100 includes a barrier layer 4 having a recess.
  • the term “recess” is to be understood as a region where the barrier layer 4 has a portion with a smaller thickness than adjacent regions.
  • the recess is defined by the first portion 4 - 1 of the barrier layer 4 having a thickness less than the second portion 4 - 2 of the barrier layer 4 .
  • the recess can be formed by a partial etching of the barrier layer 4 and also be a build-up using two or more barrier layers.
  • the p-doped gate layer section 5 is formed at least in the recess, for example on and in contact with the first portion 4 - 1 of the barrier layer 4 .
  • the barrier layer 4 includes a first barrier layer 41 and a second barrier 42 formed on and in contact with the first barrier layer 41 .
  • the second barrier layer 42 includes an opening extending to the first barrier layer 41 to define a recess (gate portion) of the barrier layer 4 .
  • the p-doped gate layer section 5 is arranged in the opening of the second barrier layer 42 and on and in contact with the first barrier layer 41 .
  • nitride semiconductor device which includes a Group-III nitride channel layer and a Group-III nitride barrier layer on the Group-III nitride channel layer, wherein the Group-III nitride barrier layer includes a recess.
  • a p-doped Group-III nitride gate layer section is arranged at least in the recess of the Group-III nitride barrier layer.
  • a gate contact is arranged on the p-doped Group-III nitride gate layer.
  • the nitride semiconductor device further includes a source electrode and a drain electrode spaced apart from the source electrode.
  • a high electron mobility transistor which includes a substrate, a buffer layer on the substrate and a channel layer on the substrate.
  • a first barrier layer is arranged on and in contact with the channel layer.
  • the first barrier layer has a bandgap higher than the bandgap of the channel layer to confine a two dimensional electron gas (2-DEG) at a heterojunction between the channel layer and the first barrier layer.
  • a p-doped gate layer section is arranged on and in contact with the first barrier layer in a gate region of the HEMT.
  • An ohmic gate contact is arranged on the p-doped gate layer section to contact electrically the p-doped gate layer section.
  • a source contact is arranged in a source region of the HEMT to contact electrically the 2-DEG layer.
  • a drain contact is arranged in a drain region of the HEMT to contact electrically the 2-DEG layer, wherein the drain region is spaced apart from the source region such that the p-doped gate layer section is arranged between the source contact and the drain contact.
  • a second barrier layer is arranged on and in contact with the first barrier layer.
  • the HEMT further includes a substrate and a buffer layer between the substrate and the Group-III nitride layer.
  • This embodiment includes a non-selective epitaxial deposition of first and second barrier layers and a selective etching of a gate layer relative to the first barrier layer.
  • a substrate 1 is provided, which includes an optional buffer layer 2 and gate channel layer 3 as described above.
  • the semiconductor substrate 1 can be a single bulk mono-crystalline material.
  • Buffer layer 2 and channel layer 3 are typically formed by epitaxial deposition.
  • the substrate 1 is a Si wafer
  • the buffer layer 2 is made of AlN
  • the channel layer 3 is made of GaN, for example.
  • a multilayer buffer layer 2 can also be used.
  • a thin first barrier layer 41 made of for example AlGaN or AlN, is epitaxially deposited on the channel layer 3 .
  • First barrier layer 41 may have a thickness in the range of a few nm such as between 1 nm and 20 nm, particularly, between 1 nm and 10 nm, and more particularly between 1 nm and 2 nm, depending on its Al-content.
  • an in situ p-doped gate layer 5 - 1 is deposited, typically by epitaxy.
  • the p-doped gate layer 5 - 1 can have a thickness of about 100 nm although other thicknesses in a range, for example, 50 nm to 200 nm, are also possible.
  • the Al-content of the first barrier layer 41 can be in a range from about 18% to about 25% with the Al-content of the second barrier layer 42 being higher than the Al-content of the first barrier layer 41 .
  • the Al-content of the first barrier layer 41 should be high enough to allow a selective etching of the gate layer 5 - 1 relative to the first barrier layer 41 as described below.
  • the thickness of the first barrier layer 41 should be reduced accordingly to keep the threshold shift caused by the first barrier layer 41 in the range from about 0V to ⁇ 1V.
  • the p-doped gate layer 5 - 1 is used to form the p-doped gate layer section 5 and hence is made of the above described material for the p-doped gate layer section 5 .
  • the gate layer 5 - 1 is highly doped in situ with Mg although other dopants can also be used.
  • a mask 7 is formed on the p-doped gate layer 5 - 1 in a gate region of the device, i.e. in a range where the p-doped gate layer section 5 is to be formed.
  • the material of the mask 7 is not restricted and can include material for forming a hard mask such as SiO 2 or organic or polymeric material. The resulting structure is illustrated in FIG. 2A .
  • the p-doped gate layer 5 - 1 is etched selectively to the mask 7 and also to the material of the first barrier layer 41 .
  • a not illustrated highly p-doped capping layer can by formed for providing a good ohmic contact to a later formed gate contact.
  • the first barrier layer 41 can therefore function as an etch stop layer.
  • the Al-content of the first barrier layer 41 can be adjusted selectively to the Al-content of the p-doped gate layer 5 - 1 .
  • the Al-content of the first barrier layer 41 can be higher than the Al-content of the p-doped gate layer 5 - 1 .
  • the Al-content of the first barrier layer 41 can be in a range of about 10% to about 30% while the p-doped gate layer 5 - 1 does not include Al.
  • the etching process can be a plasma etching using SF 6 as etchant. The resulting structure is illustrated in FIG. 2B .
  • FIG. 2C illustrates further processes, which include deposition of a second barrier layer 42 on exposed portions of the first barrier layer 41 and, in this embodiment, also on the gate layer section 5 .
  • the portions of second barrier layer 42 which are arranged on the gate layer section 5 , do not serve as barrier layer and are therefore not considered as a portion of the barrier layer 4 .
  • the barrier layer 4 includes a first portion 4 - 1 having a small thickness defined by first barrier layer 41 only a and second portion 4 - 2 defined by the combination of first and second barrier layers 41 , 42 .
  • the second barrier layer 42 is deposited by epitaxy to a thickness of about 5 nm to 50 nm, for example 20 nm.
  • the material of the second barrier layer 42 can be the same as that of the first barrier layer 41 or can be different thereto.
  • AlGaN or AlInN with a suitable Al content can be used.
  • a passivation layer 6 is deposited, which can be done in situ or ex situ.
  • the resulting structure is illustrated in FIG. 2C .
  • contact openings to allow formation of source and drain electrodes are etched in the passivation layer 6 and barrier layer 4 .
  • the formed openings can extend completely though the barrier layer 4 , i.e. through the first and second barrier layers 41 , 42 . It is also possible that the openings only extend up to the first barrier layer 41 .
  • contact metal is deposited to form the source and drain electrodes 11 , 12 . Then, a furnace anneal is carried out which causes formation of metallurgical phases to improve the electrical contact to the 2-DEG layer 8 .
  • the formed metallurgical phases also extend through the first barrier layer 41 under the source and drain electrodes 11 , 12 even when the etched openings stop at the first barrier layer 41 so that a desired electrical contact to the 2-DEG layer 8 formed at the interface between the channel layer 3 and the first barrier layer 41 is reliably formed.
  • a gate contact 10 is formed by etching an opening through the passivation layer 6 and second barrier layer 42 and depositing a conductive material to provide an electrical connection to the gate layer section 5 .
  • each of the contacts 10 and electrodes 11 , 12 are ohmic contacts.
  • the material of the contact 10 and electrodes 11 , 12 can be selected according to specific needs. It is also possible to form the source and drain electrodes 11 , 12 and the gate contact 10 in a common process. The resulting structure is illustrated in FIG. 2E , which corresponds to FIG. 1 .
  • This embodiment includes a selective epitaxial deposition of a second barrier layer on exposed portions of a first barrier layer and a selective etching of a p-doped gate layer relative to the second barrier layer.
  • the buffer layer 2 is omitted since substrate 1 is made of a semiconductor material having a lattice structure similar to the lattice structure of the channel layer 3 . If desired, a buffer layer can also be included.
  • the first barrier layer 41 is formed on the channel layer 3 as described above.
  • a mask or sacrificial layer 15 is formed on the first barrier layer 41 .
  • the mask 15 can be, for example, a hard mask made of an oxide such as SiO 2 and formed by depositing an about 100 nm thick oxide layer, which is subsequently patterned.
  • Mask 15 defines the region where the gate layer section is later formed, i.e. the gate region. The resulting structure is illustrated in FIG. 3A .
  • a second barrier layer 42 is formed on the first barrier layer 41 by selective epitaxial growth of AlGaN or InAlN to a thickness of about 20 nm. No semiconductor material is deposited on the mask 15 as illustrated in FIG. 3B .
  • hard mask 15 is removed by a wet oxide etch so that an opening in the second barrier layer 42 is formed for exposing the first barrier layer 41 .
  • First and second barrier layers 41 , 42 form together the barrier layer 4 , which has a recess formed by the opening in the second barrier layer 42 .
  • an in situ p-doped gate layer 5 - 2 is deposited, using a material and process as described above.
  • the p-doped gate layer 5 - 2 also lines and fills the opening of the second barrier layer 42 (recess in the barrier layer 4 ) so that the p-doped gate layer 5 - 2 is also formed on and in contact with the first barrier layer 41 in the gate region defined by the opening.
  • p-doped gate layer 5 - 2 is etched using a lithographically formed mask (not illustrated).
  • the etching typically a plasma etching using SF 6 , is selective to the material of the second barrier layer 42 so that the etching stops at the second barrier layer 42 .
  • the etch selectivity can be adjusted by selecting the Al-content of the second barrier layer 42 forming the etch stop layer.
  • the Al-content is in the range of about 18% to about 25% which results in a sufficient etch selectivity to p-doped GaN, which can be used as material of the p-doped gate layer 5 - 2 .
  • a higher Al-content even further improves the etch selectivity and also improves the channel characteristics in the gate-drain and gate-source section of the 2-DEG layer 8 without affecting the threshold voltage of the HEMT 100 . Since second barrier layer 42 is formed outside of the gate region, it can have a high Al-content.
  • FIG. 3D The resulting structure is illustrated in FIG. 3D .
  • the thus formed p-doped gate layer section 5 partially covers the second barrier layer 42 since the lithographic mask has been formed larger than the opening to prevent removal of the p-doped gate layer 5 - 2 in case of a misalignment of the lithographic mask.
  • a passivation layer 6 is deposited, and gate contact 10 and source and drain electrodes 11 and 12 are formed, for example as described above.
  • the same material can be used for the first and second barrier layers 41 , 42 . It is, however, also possible to use different materials, particularly materials having a different Al-content, if desired.
  • FIGS. 2A to 2E and 3 A to 3 D allow a very precise adjustment of the thickness of the first portion 4 - 1 of the barrier layer 4 between the gate layer section 5 and the channel layer 3 since the thickness in that region is controlled by the epitaxial deposition process used to form the first barrier layer 41 .
  • Another improvement is that the Al-content of the first barrier layer 41 can also be lower than the Al-content of the second barrier layer 42 to keep the threshold voltage of the HEMT 100 in a region of about +2V to about +3V without affecting the conductivity of the 2-DEG layer 8 in the gate-drain and gate-source section, respectively.
  • the Furthermore, the embodiments illustrated in FIGS. 2A to 2E and 3 A to 3 D refer to the double barrier layer HEMT as described above.
  • This embodiment includes a partial etching of a barrier layer and a selective epitaxial deposition of a p-doped gate layer on exposed portions of the barrier layer. Furthermore, this embodiment uses a single barrier layer. In stead of a single barrier layer, a double layer structure as described above can also be used. For example, the barrier layers of different AL-content can be used to allow selective etching.
  • barrier layer 4 is formed by a single layer. It is also possible, to include different barrier layers which form together the barrier layer 4 . For example, several monolayers of the same or different material can be used. Using different materials allows, for example, formation of a barrier layer having grading in the Al-content.
  • barrier layer 4 can be, for example, an epitaxially grown AlGaN or an AlInN layer having a thickness of about 20 nm, but can also be made of any of the materials described above.
  • Channel layer 3 can be made of, for example, GaN, but can also be made of any of the materials described above.
  • a cover layer 17 which later also constitutes a passivation layer, is deposited on the barrier layer 4 .
  • Cover layer 17 can be, for example, a hardmask made of SiO 2 or Si 3 N 4 .
  • the cover layer 17 is patterned using a not illustrated lithographic mask to form an opening in the cover layer 17 , which opening defines the gate region of the device.
  • the barrier layer is partially etched, for example by plasma-assisted SF 6 etching, using the patterned cover layer 17 as etch mask to form a recess 18 in the barrier layer 4 .
  • the etch time controls the extent to which the barrier layer 4 is formed and is selected such that the barrier layer 4 is not completely removed, i.e. a thin portion of the barrier layer 4 remains.
  • barrier layer 4 includes a first and a second barrier layer of different materials as described above, it is also possible to etch the second barrier layer selectively to the first barrier layer, which functions then as etch stop layer.
  • the resulting structure is illustrated in FIG. 4B showing a thin first portion 4 - 1 (recess 18 ) of the barrier layer 4 in the gate region and a thick second portion 4 - 2 outside the gate region.
  • an in situ p-doped gate layer section 5 is deposited by selective epitaxial deposition on exposed portion of the barrier layer 4 , i.e. the exposed first portion 4 - 1 .
  • the deposition can be controlled such that no lateral overgrowth occurs.
  • Gate layer section 5 can have a larger thickness than the barrier layer 4 and can be recessed from the upper surface of the cover layer 17 .
  • p-doped gate layer section 5 is made of GaN but can also be made of any of the materials described above.
  • a selective epitaxial deposition of further material of the p-doped gate layer section 5 is performed which also results in a partial lateral overgrowth of the gate layer section 5 over the cover layer 17 , as illustrated in FIG. 4D .
  • a gate contact 10 is formed on the p-doped gate layer section 5 and source and drain electrodes 11 , 12 are formed as described above.
  • a method for manufacturing a nitride semiconductor device includes providing a Group-III nitride channel layer; forming a Group-III nitride barrier layer having a recess on the Group-III nitride channel layer, the Group-III nitride barrier layer; forming a p-doped Group-III nitride gate layer section at least in the recess of the Group-III nitride barrier layer; forming a gate contact on the p-doped Group-III nitride gate layer; forming a source electrode; forming a drain electrode spaced apart from the source electrode.
  • the functional layers can include material different to the specific material described in connection with the above embodiments as long as the desired functional characteristics remain substantially the same or are equivalent thereto.
  • FIG. 6 illustrates a further embodiment pertaining to a nitride semiconductor device.
  • the device 200 which can be a HEMT, can have substantially the same structure as the device 100 of FIG. 1 with the difference that the p-doped gate layer section is replaced by a metal 13 forming a Schottky contact on the first barrier layer 41 .
  • the device can be an enhancement mode or a depletion mode device.
  • the double barrier layer approach can therefore also be applied to depletion mode devices to allow a precise thickness control of the barrier layer 4 to form a recessed gate structure.
  • the manufacturing methods as illustrated above can be easily adapted by replacing the p-doped gate layer 5 - 1 and p-doped gate layer section 5 with a metal for forming a Schottky contact.
  • FIGS. 3A to 3E are for example suitable to form such HEMT.
  • the processes of FIGS. 3A and 3B are for example used to form first and second barrier layer 41 , 42 .
  • a Schottky metal layer 13 - 1 is deposited and pattern to form a Schottky contact 13 .
  • the remaining process as illustrated in FIG. 3C can be used to finish the HEMT.
  • This modification allows any easy integration of depletion and enhancement devices into a single substrate to form complementary integrated circuits on the basis of Group-III nitride semiconductor material. Since similar processes can be used to form the depletion and the enhancement devices, the integration requires only few additional steps. This opens up the possibility of designing Group-III nitride logical devices, which can operate at high speed and low power consumption. Furthermore, complementary power devices or power devices with integrated logic can be formed.
  • a nitride semiconductor device such as a HEMT.
  • the nitride semiconductor device includes a Group-III nitride channel layer; at least a first Group-III nitride barrier layer on the Group-III nitride channel layer; at least a second Group-III nitride barrier on the first Group-III nitride barrier layer, the second barrier layer including an opening extending to the first barrier layer; a Schottky metal section at least in the opening and in contact with the first Group-III nitride barrier layer; a 2-DEG layer at the junction between the Group-III nitride channel layer and the first Group-III nitride barrier layer; a gate contact on the Schottky metal section; a source electrode in electrical contact with 2-DEG layer; and a drain electrode in electrical contact with the 2-DEG layer and spaced apart from the source electrode.
  • a nitride semiconductor device such as a HEMT.
  • the nitride semiconductor device includes a Group-III nitride channel layer; at least a first Group-III nitride barrier layer on the Group-III nitride channel layer; at least a second Group-III nitride barrier on the first Group-III nitride barrier layer, the second barrier layer including an opening extending to the first barrier layer; a gate layer section at least in the opening and in contact with the first Group-III nitride barrier layer; a 2-DEG layer at the junction between the Group-III nitride channel layer and the first Group-III nitride barrier layer; a gate contact on the gate layer section; a source electrode in electrical contact with 2-DEG layer; and a drain electrode in electrical contact with the 2-DEG layer and spaced apart from the source electrode.
  • Gate layer section can be a Schottky metal or p-doped Group-III nitride material.
  • FIGS. 7A to 7C The common processing of depletion mode and enhancement mode devices is illustrated in FIGS. 7A to 7C .
  • the manufacturing of the device 300 including an enhancement mode device 100 and a depletion mode device 400 is based on the processes of FIGS. 3A to 3E .
  • the p-doped gate layer section 5 is formed in the region of the enhancement mode device 100 ( FIG. 7A ) and then the Schottky contact 13 is formed in the region of the depletion mode device 200 .
  • passivation layer 6 , gate contacts 100 and source and drain electrodes 11 , 12 , respectively, are formed in the region of both devices.
  • the final structure is illustrated in FIG. 7C . It would also be possible to use a manufacturing method based on the FIGS. 4A to 4E , for example.
  • FIG. 7D A further variation is illustrated in FIG. 7D .
  • the second barrier layer 41 in the region of the depletion mode device 500 does not include an opening so that the barrier layer 4 has the same thickness in the first portion 4 - 1 and the second portion 4 - 2 .
  • Another option is to form an additional gate dielectric layer on the recessed portion (first portion) of the barrier layer below the p-doped gate layer section. This also results in the formation of an enhancement mode device. Accordingly, such enhancement mode devices can be integrated together with the enhancement mode devices described above.
  • a nitride semiconductor circuit which includes at least one depletion mode device and at least one enhancement mode device as described above. Both devices can include a double barrier layer as described above.

Landscapes

  • Engineering & Computer Science (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Ceramic Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • Junction Field-Effect Transistors (AREA)
  • Electrodes Of Semiconductors (AREA)
  • Drying Of Semiconductors (AREA)
US12/713,336 2010-02-26 2010-02-26 Nitride semiconductor device Abandoned US20110210377A1 (en)

Priority Applications (6)

Application Number Priority Date Filing Date Title
US12/713,336 US20110210377A1 (en) 2010-02-26 2010-02-26 Nitride semiconductor device
JP2011036493A JP5823138B2 (ja) 2010-02-26 2011-02-23 窒化物半導体デバイス
DE102011000911.6A DE102011000911B4 (de) 2010-02-26 2011-02-24 Nitridhalbleiterbauelement und Verfahren
JP2013259335A JP2014116607A (ja) 2010-02-26 2013-12-16 窒化物半導体デバイス
US14/708,736 US11004966B2 (en) 2010-02-26 2015-05-11 Nitride semiconductor device
US17/317,263 US20210313462A1 (en) 2010-02-26 2021-05-11 Nitride semiconductor device

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US12/713,336 US20110210377A1 (en) 2010-02-26 2010-02-26 Nitride semiconductor device

Related Child Applications (1)

Application Number Title Priority Date Filing Date
US14/708,736 Division US11004966B2 (en) 2010-02-26 2015-05-11 Nitride semiconductor device

Publications (1)

Publication Number Publication Date
US20110210377A1 true US20110210377A1 (en) 2011-09-01

Family

ID=44502051

Family Applications (3)

Application Number Title Priority Date Filing Date
US12/713,336 Abandoned US20110210377A1 (en) 2010-02-26 2010-02-26 Nitride semiconductor device
US14/708,736 Active 2030-04-13 US11004966B2 (en) 2010-02-26 2015-05-11 Nitride semiconductor device
US17/317,263 Pending US20210313462A1 (en) 2010-02-26 2021-05-11 Nitride semiconductor device

Family Applications After (2)

Application Number Title Priority Date Filing Date
US14/708,736 Active 2030-04-13 US11004966B2 (en) 2010-02-26 2015-05-11 Nitride semiconductor device
US17/317,263 Pending US20210313462A1 (en) 2010-02-26 2021-05-11 Nitride semiconductor device

Country Status (3)

Country Link
US (3) US20110210377A1 (ja)
JP (2) JP5823138B2 (ja)
DE (1) DE102011000911B4 (ja)

Cited By (56)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20120056648A1 (en) * 2010-09-02 2012-03-08 Akio Iwabuchi Semiconductor device, electric circuit using the same and method of controlling electric circuit
US20130032814A1 (en) * 2011-08-04 2013-02-07 Epowersoft, Inc. Method and system for formation of p-n junctions in gallium nitride based electronics
US20130037868A1 (en) * 2011-08-08 2013-02-14 Renesas Electronics Corporation Semiconductor device and method of manufacturing the same
US20130248873A1 (en) * 2012-03-26 2013-09-26 Kabushiki Kaisha Toshiba Nitride semiconductor device and method for manufacturing same
US8669591B2 (en) * 2011-12-27 2014-03-11 Eta Semiconductor Inc. E-mode HFET device
CN103681833A (zh) * 2012-09-21 2014-03-26 富士通株式会社 化合物半导体器件及其制造方法
US20140151748A1 (en) * 2012-12-04 2014-06-05 Fujitsu Limited Compound semiconductor device and manufacturing method of the same
US8937317B2 (en) 2012-12-28 2015-01-20 Avogy, Inc. Method and system for co-packaging gallium nitride electronics
US8947154B1 (en) 2013-10-03 2015-02-03 Avogy, Inc. Method and system for operating gallium nitride electronics
US20150255590A1 (en) * 2014-01-30 2015-09-10 Infineon Technologies Austria Ag Group III-Nitride-Based Enhancement Mode Transistor Having a Heterojunction Fin Structure
EP2688105A3 (en) * 2012-07-19 2015-10-28 Samsung Electronics Co., Ltd High electron mobility transistors and methods of manufacturing the same
US9252253B2 (en) 2013-10-17 2016-02-02 Samsung Electronics Co., Ltd. High electron mobility transistor
US9305917B1 (en) * 2015-03-31 2016-04-05 Infineon Technologies Austria Ag High electron mobility transistor with RC network integrated into gate structure
US9324809B2 (en) 2013-11-18 2016-04-26 Avogy, Inc. Method and system for interleaved boost converter with co-packaged gallium nitride power devices
US9324844B2 (en) 2011-08-04 2016-04-26 Avogy, Inc. Method and system for a GaN vertical JFET utilizing a regrown channel
US9324645B2 (en) 2013-05-23 2016-04-26 Avogy, Inc. Method and system for co-packaging vertical gallium nitride power devices
US9337279B2 (en) 2014-03-03 2016-05-10 Infineon Technologies Austria Ag Group III-nitride-based enhancement mode transistor
US9391186B2 (en) 2013-12-09 2016-07-12 Samsung Electronics Co., Ltd. Semiconductor device
US9509284B2 (en) 2014-03-04 2016-11-29 Infineon Technologies Austria Ag Electronic circuit and method for operating a transistor arrangement
US20170243866A1 (en) * 2014-11-18 2017-08-24 Intel Corporation Cmos circuits using n-channel and p-channel gallium nitride transistors
US20170294531A1 (en) * 2013-11-19 2017-10-12 Nxp Usa, Inc. Semiconductor devices with integrated schotky diodes and methods of fabrication
US20170365701A1 (en) * 2016-06-16 2017-12-21 Infineon Technologies Americas Corp. Charge Trapping Prevention III-Nitride Transistor
US9887139B2 (en) 2011-12-28 2018-02-06 Infineon Technologies Austria Ag Integrated heterojunction semiconductor device and method for producing an integrated heterojunction semiconductor device
CN107810559A (zh) * 2015-06-29 2018-03-16 罗伯特·博世有限公司 具有高的电子可运动性的晶体管
CN108899366A (zh) * 2018-06-11 2018-11-27 西安电子科技大学 一种新型P-GaN栅结构的增强型器件及其制作方法
TWI644427B (zh) * 2018-01-02 2018-12-11 世界先進積體電路股份有限公司 高電子移動率電晶體
CN109037153A (zh) * 2018-06-29 2018-12-18 江苏能华微电子科技发展有限公司 一种氮化镓基hemt器件的制备方法及氮化镓基hemt器件
US10186591B2 (en) 2015-06-26 2019-01-22 Toyota Jidosha Kabushiki Kaisha Nitride semiconductor device
US10312176B2 (en) * 2016-04-05 2019-06-04 Gpower Semiconductor, Inc. Semiconductor device
CN110061053A (zh) * 2019-01-15 2019-07-26 中山大学 一种增强型半导体晶体管及其制备方法
US20190252510A1 (en) * 2012-03-29 2019-08-15 Taiwan Semiconductor Manufacturing Company, Ltd. High electron mobility transistor
US10388777B2 (en) 2015-06-26 2019-08-20 Intel Corporation Heteroepitaxial structures with high temperature stable substrate interface material
US20190259865A1 (en) * 2018-12-29 2019-08-22 Suzhou Han Hua Semiconductor Co.,Ltd Integrated enhancement/depletion mode hemt and method for manufacturing the same
US20190267483A1 (en) * 2016-08-24 2019-08-29 Rohm Co., Ltd. Nitride semiconductor device and nitride semiconductor package
US20190267482A1 (en) * 2017-07-14 2019-08-29 Cambridge Enterprise Limited Power semiconductor device with an auxiliary gate structure
CN110224032A (zh) * 2019-05-24 2019-09-10 西安电子科技大学 具有结型栅AlGaN/GaN异质结的横向晶体管及其制作方法
US20190280100A1 (en) * 2018-03-06 2019-09-12 Infineon Technologies Austria Ag High Electron Mobility Transistor with Dual Thickness Barrier Layer
US10424659B1 (en) * 2018-05-08 2019-09-24 Vanguard International Semiconductor Corporation High electron mobility transistor
US10516023B2 (en) 2018-03-06 2019-12-24 Infineon Technologies Austria Ag High electron mobility transistor with deep charge carrier gas contact structure
CN111106171A (zh) * 2019-12-31 2020-05-05 晶能光电(江西)有限公司 AlN势垒层、AlN/GaN HEMT外延结构及其生长方法
US10658471B2 (en) 2015-12-24 2020-05-19 Intel Corporation Transition metal dichalcogenides (TMDCS) over III-nitride heteroepitaxial layers
US10665708B2 (en) 2015-05-19 2020-05-26 Intel Corporation Semiconductor devices with raised doped crystalline structures
US10756183B2 (en) 2014-12-18 2020-08-25 Intel Corporation N-channel gallium nitride transistors
CN111710651A (zh) * 2020-08-20 2020-09-25 浙江集迈科微电子有限公司 集成型GaN器件及其制备方法
CN112331719A (zh) * 2020-04-30 2021-02-05 英诺赛科(珠海)科技有限公司 半导体器件以及制造半导体器件的方法
US10930500B2 (en) 2014-09-18 2021-02-23 Intel Corporation Wurtzite heteroepitaxial structures with inclined sidewall facets for defect propagation control in silicon CMOS-compatible semiconductor devices
US11145648B2 (en) * 2017-03-31 2021-10-12 Intel Corporation Enhancement/depletion device pairs and methods of producing the same
US20210335781A1 (en) * 2019-05-07 2021-10-28 Cambridge Enterprise Limited Power semiconductor device with an auxiliary gate structure
US11177376B2 (en) 2014-09-25 2021-11-16 Intel Corporation III-N epitaxial device structures on free standing silicon mesas
US11222969B2 (en) * 2015-11-24 2022-01-11 Stmicroelectronics S.R.L. Normally-off transistor with reduced on-state resistance and manufacturing method
US11233053B2 (en) 2017-09-29 2022-01-25 Intel Corporation Group III-nitride (III-N) devices with reduced contact resistance and their methods of fabrication
US11257811B2 (en) 2017-07-14 2022-02-22 Cambridge Enterprise Limited Power semiconductor device with an auxiliary gate structure
US11336279B2 (en) 2017-07-14 2022-05-17 Cambridge Enterprise Limited Power semiconductor device with a series connection of two devices
US20220302295A1 (en) * 2020-10-20 2022-09-22 Innoscience (Suzhou) Technology Co., Ltd. Semiconductor device and method for manufacturing the same
WO2023028740A1 (zh) * 2021-08-30 2023-03-09 华为技术有限公司 一种氮化镓器件及其制造方法、电子设备
CN118016698A (zh) * 2024-04-09 2024-05-10 英诺赛科(珠海)科技有限公司 半导体结构和半导体结构制造方法以及半导体器件

Families Citing this family (20)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20110241020A1 (en) * 2010-03-31 2011-10-06 Triquint Semiconductor, Inc. High electron mobility transistor with recessed barrier layer
JP2013077635A (ja) * 2011-09-29 2013-04-25 Sumitomo Electric Ind Ltd 半導体装置およびその製造方法
JP2013157407A (ja) * 2012-01-27 2013-08-15 Fujitsu Semiconductor Ltd 化合物半導体装置及びその製造方法
KR101922122B1 (ko) * 2012-09-28 2018-11-26 삼성전자주식회사 노멀리 오프 고전자이동도 트랜지스터
JP2014090033A (ja) * 2012-10-29 2014-05-15 Fujitsu Ltd 化合物半導体装置及びその製造方法
JP6167889B2 (ja) * 2012-12-21 2017-07-26 日亜化学工業株式会社 電界効果トランジスタとその製造方法
US9425301B2 (en) 2014-04-30 2016-08-23 Taiwan Semiconductor Manufacturing Co., Ltd. Sidewall passivation for HEMT devices
US10090406B2 (en) 2014-09-18 2018-10-02 Infineon Technologies Austria Ag Non-planar normally off compound semiconductor device
JP6023825B2 (ja) * 2015-01-14 2016-11-09 株式会社豊田中央研究所 半導体装置
FR3041150B1 (fr) * 2015-09-14 2017-09-29 Commissariat Energie Atomique Transistor a enrichissement comportant une heterojonction algan/gan et une grille en diamant dope p
JP6639260B2 (ja) * 2016-02-12 2020-02-05 トヨタ自動車株式会社 半導体装置
JP6860847B2 (ja) * 2017-03-13 2021-04-21 サンケン電気株式会社 ノーマリオフ型のhfetおよびその製造方法
DE102017125803B4 (de) 2017-11-06 2021-04-29 Institut Für Mikroelektronik Stuttgart Halbleiterbauelement mit einer Transistorstruktur vom Anreicherungstyp
CN110034171B (zh) * 2018-01-11 2022-11-22 世界先进积体电路股份有限公司 高电子移动率晶体管
JP7216523B2 (ja) * 2018-11-12 2023-02-01 ローム株式会社 窒化物半導体装置
CN111916351A (zh) * 2019-05-10 2020-11-10 中国科学院苏州纳米技术与纳米仿生研究所 半导体器件及其制备方法
CN110459595A (zh) * 2019-08-29 2019-11-15 华南理工大学 一种增强型AlN/AlGaN/GaN HEMT器件及其制备方法
US11888051B2 (en) * 2020-05-08 2024-01-30 Globalfoundries Singapore Pte. Ltd. Structures for a high-electron-mobility transistor and related methods
US20220005939A1 (en) * 2020-07-01 2022-01-06 Innoscience (Zhuhai) Technology Co., Ltd. Semiconductor device and fabrication method thereof
TWI832676B (zh) * 2022-06-09 2024-02-11 超赫科技股份有限公司 高電子遷移率電晶體之製造方法

Citations (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20020066908A1 (en) * 2000-12-01 2002-06-06 Smith Richard Peter Aluminum gallium nitride/gallium nitride high electron mobility transistors having a gate contact on a gallium nitride based cap segment and methods of fabricating same
US20050189559A1 (en) * 2004-02-27 2005-09-01 Kabushiki Kaisha Toshiba Semiconductor device
US20060273347A1 (en) * 2005-06-06 2006-12-07 Masahiro Hikita Field-effect transistor and method for fabricating the same
US20060281238A1 (en) * 2005-06-08 2006-12-14 Christopher Harris Method of manufacturing an adaptive AlGaN buffer layer
US7271429B2 (en) * 2004-09-02 2007-09-18 Kabushiki Kaisha Toshiba Nitride semiconductor device
US20090072272A1 (en) * 2007-09-17 2009-03-19 Transphorm Inc. Enhancement mode gallium nitride power devices
US7576373B1 (en) * 2006-02-16 2009-08-18 Panasonic Corporation Nitride semiconductor device and method for manufacturing the same
US20090212325A1 (en) * 2008-02-25 2009-08-27 Sanken Electric Co., Ltd. Hetero Field Effect Transistor and Manufacturing Method Thereof
US20110266554A1 (en) * 2009-03-23 2011-11-03 Panasonic Corporation Semiconductor device and method of manufacturing the device

Family Cites Families (13)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7382001B2 (en) * 2004-01-23 2008-06-03 International Rectifier Corporation Enhancement mode III-nitride FET
JP5126733B2 (ja) * 2006-09-29 2013-01-23 独立行政法人産業技術総合研究所 電界効果トランジスタ及びその製造方法
JP2008211172A (ja) * 2007-01-31 2008-09-11 Matsushita Electric Ind Co Ltd 半導体装置および半導体装置の製造方法
US7838904B2 (en) 2007-01-31 2010-11-23 Panasonic Corporation Nitride based semiconductor device with concave gate region
JP5089215B2 (ja) * 2007-03-28 2012-12-05 古河電気工業株式会社 窒化物化合物半導体層のエッチング方法及びその方法を用いて製造された半導体デバイス
JP5056206B2 (ja) * 2007-06-28 2012-10-24 住友電気工業株式会社 Iii族窒化物系半導体トランジスタおよびiii族窒化物半導体積層ウエハ
US7621344B2 (en) * 2007-07-10 2009-11-24 Frey Grant J Drill pipe wiper system and associated method
US20090072269A1 (en) * 2007-09-17 2009-03-19 Chang Soo Suh Gallium nitride diodes and integrated components
JP2009099691A (ja) * 2007-10-15 2009-05-07 Sanken Electric Co Ltd 電界効果半導体装置の製造方法
JP5032965B2 (ja) * 2007-12-10 2012-09-26 パナソニック株式会社 窒化物半導体トランジスタ及びその製造方法
JP2009231395A (ja) * 2008-03-19 2009-10-08 Sumitomo Chemical Co Ltd 半導体装置および半導体装置の製造方法
US8309987B2 (en) * 2008-07-15 2012-11-13 Imec Enhancement mode semiconductor device
JP5468768B2 (ja) 2008-12-05 2014-04-09 パナソニック株式会社 電界効果トランジスタ及びその製造方法

Patent Citations (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20020066908A1 (en) * 2000-12-01 2002-06-06 Smith Richard Peter Aluminum gallium nitride/gallium nitride high electron mobility transistors having a gate contact on a gallium nitride based cap segment and methods of fabricating same
US20050189559A1 (en) * 2004-02-27 2005-09-01 Kabushiki Kaisha Toshiba Semiconductor device
US7271429B2 (en) * 2004-09-02 2007-09-18 Kabushiki Kaisha Toshiba Nitride semiconductor device
US20060273347A1 (en) * 2005-06-06 2006-12-07 Masahiro Hikita Field-effect transistor and method for fabricating the same
US20060281238A1 (en) * 2005-06-08 2006-12-14 Christopher Harris Method of manufacturing an adaptive AlGaN buffer layer
US7576373B1 (en) * 2006-02-16 2009-08-18 Panasonic Corporation Nitride semiconductor device and method for manufacturing the same
US20090072272A1 (en) * 2007-09-17 2009-03-19 Transphorm Inc. Enhancement mode gallium nitride power devices
US20090212325A1 (en) * 2008-02-25 2009-08-27 Sanken Electric Co., Ltd. Hetero Field Effect Transistor and Manufacturing Method Thereof
US20110266554A1 (en) * 2009-03-23 2011-11-03 Panasonic Corporation Semiconductor device and method of manufacturing the device

Cited By (82)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9293570B2 (en) * 2010-09-02 2016-03-22 Sanken Electric Co., Ltd. Semiconductor device, electric circuit using the same and method of controlling electric circuit
US20120056648A1 (en) * 2010-09-02 2012-03-08 Akio Iwabuchi Semiconductor device, electric circuit using the same and method of controlling electric circuit
US20130032814A1 (en) * 2011-08-04 2013-02-07 Epowersoft, Inc. Method and system for formation of p-n junctions in gallium nitride based electronics
US9324844B2 (en) 2011-08-04 2016-04-26 Avogy, Inc. Method and system for a GaN vertical JFET utilizing a regrown channel
US9136116B2 (en) * 2011-08-04 2015-09-15 Avogy, Inc. Method and system for formation of P-N junctions in gallium nitride based electronics
US9123739B2 (en) * 2011-08-08 2015-09-01 Renesas Electronics Corporation Semiconductor device and manufacturing method of semiconductor device
US20130037868A1 (en) * 2011-08-08 2013-02-14 Renesas Electronics Corporation Semiconductor device and method of manufacturing the same
US9502551B2 (en) 2011-08-08 2016-11-22 Renesas Electronics Corporation Nitride semiconductor transistor device
US8669591B2 (en) * 2011-12-27 2014-03-11 Eta Semiconductor Inc. E-mode HFET device
US9887139B2 (en) 2011-12-28 2018-02-06 Infineon Technologies Austria Ag Integrated heterojunction semiconductor device and method for producing an integrated heterojunction semiconductor device
US20130248873A1 (en) * 2012-03-26 2013-09-26 Kabushiki Kaisha Toshiba Nitride semiconductor device and method for manufacturing same
US8963203B2 (en) * 2012-03-26 2015-02-24 Kabushiki Kaisha Toshiba Nitride semiconductor device and method for manufacturing same
US9287368B2 (en) 2012-03-26 2016-03-15 Kabushiki Kaisha Toshiba Nitride semiconductor device and method for manufacturing same
US10790375B2 (en) * 2012-03-29 2020-09-29 Taiwan Semiconductor Manufacturing Company, Ltd. High electron mobility transistor
US20190252510A1 (en) * 2012-03-29 2019-08-15 Taiwan Semiconductor Manufacturing Company, Ltd. High electron mobility transistor
EP2688105A3 (en) * 2012-07-19 2015-10-28 Samsung Electronics Co., Ltd High electron mobility transistors and methods of manufacturing the same
CN103681833A (zh) * 2012-09-21 2014-03-26 富士通株式会社 化合物半导体器件及其制造方法
KR101529395B1 (ko) * 2012-12-04 2015-06-16 트랜스폼 재팬 가부시키가이샤 화합물 반도체 장치 및 그 제조 방법
CN103855207A (zh) * 2012-12-04 2014-06-11 富士通株式会社 化合物半导体器件及其制造方法
US20140151748A1 (en) * 2012-12-04 2014-06-05 Fujitsu Limited Compound semiconductor device and manufacturing method of the same
US8937317B2 (en) 2012-12-28 2015-01-20 Avogy, Inc. Method and system for co-packaging gallium nitride electronics
US9324645B2 (en) 2013-05-23 2016-04-26 Avogy, Inc. Method and system for co-packaging vertical gallium nitride power devices
US8947154B1 (en) 2013-10-03 2015-02-03 Avogy, Inc. Method and system for operating gallium nitride electronics
US9252253B2 (en) 2013-10-17 2016-02-02 Samsung Electronics Co., Ltd. High electron mobility transistor
US9324809B2 (en) 2013-11-18 2016-04-26 Avogy, Inc. Method and system for interleaved boost converter with co-packaged gallium nitride power devices
US10541324B2 (en) * 2013-11-19 2020-01-21 Nxp Usa, Inc. Semiconductor device with a recessed ohmic contact and methods of fabrication
US20170294531A1 (en) * 2013-11-19 2017-10-12 Nxp Usa, Inc. Semiconductor devices with integrated schotky diodes and methods of fabrication
US9391186B2 (en) 2013-12-09 2016-07-12 Samsung Electronics Co., Ltd. Semiconductor device
US20150255590A1 (en) * 2014-01-30 2015-09-10 Infineon Technologies Austria Ag Group III-Nitride-Based Enhancement Mode Transistor Having a Heterojunction Fin Structure
US9647104B2 (en) * 2014-01-30 2017-05-09 Infineon Technologies Austria Ag Group III-nitride-based enhancement mode transistor having a heterojunction fin structure
US9337279B2 (en) 2014-03-03 2016-05-10 Infineon Technologies Austria Ag Group III-nitride-based enhancement mode transistor
US9837520B2 (en) 2014-03-03 2017-12-05 Infineon Technologies Austria Ag Group III-nitride-based enhancement mode transistor having a multi-heterojunction fin structure
US9509284B2 (en) 2014-03-04 2016-11-29 Infineon Technologies Austria Ag Electronic circuit and method for operating a transistor arrangement
US10930500B2 (en) 2014-09-18 2021-02-23 Intel Corporation Wurtzite heteroepitaxial structures with inclined sidewall facets for defect propagation control in silicon CMOS-compatible semiconductor devices
US11177376B2 (en) 2014-09-25 2021-11-16 Intel Corporation III-N epitaxial device structures on free standing silicon mesas
US10573647B2 (en) * 2014-11-18 2020-02-25 Intel Corporation CMOS circuits using n-channel and p-channel gallium nitride transistors
US20170243866A1 (en) * 2014-11-18 2017-08-24 Intel Corporation Cmos circuits using n-channel and p-channel gallium nitride transistors
US10756183B2 (en) 2014-12-18 2020-08-25 Intel Corporation N-channel gallium nitride transistors
US9305917B1 (en) * 2015-03-31 2016-04-05 Infineon Technologies Austria Ag High electron mobility transistor with RC network integrated into gate structure
US10665708B2 (en) 2015-05-19 2020-05-26 Intel Corporation Semiconductor devices with raised doped crystalline structures
US10186591B2 (en) 2015-06-26 2019-01-22 Toyota Jidosha Kabushiki Kaisha Nitride semiconductor device
US10388777B2 (en) 2015-06-26 2019-08-20 Intel Corporation Heteroepitaxial structures with high temperature stable substrate interface material
CN107810559A (zh) * 2015-06-29 2018-03-16 罗伯特·博世有限公司 具有高的电子可运动性的晶体管
US11222969B2 (en) * 2015-11-24 2022-01-11 Stmicroelectronics S.R.L. Normally-off transistor with reduced on-state resistance and manufacturing method
US10658471B2 (en) 2015-12-24 2020-05-19 Intel Corporation Transition metal dichalcogenides (TMDCS) over III-nitride heteroepitaxial layers
US10312176B2 (en) * 2016-04-05 2019-06-04 Gpower Semiconductor, Inc. Semiconductor device
US20170365701A1 (en) * 2016-06-16 2017-12-21 Infineon Technologies Americas Corp. Charge Trapping Prevention III-Nitride Transistor
US10211329B2 (en) * 2016-06-16 2019-02-19 Infineon Technologies Americas Corp. Charge trapping prevention III-Nitride transistor
US11769825B2 (en) * 2016-08-24 2023-09-26 Rohm Co., Ltd. Nitride semiconductor device and nitride semiconductor package
US11233144B2 (en) * 2016-08-24 2022-01-25 Rohm Co., Ltd. Nitride semiconductor device and nitride semiconductor package
US20220102545A1 (en) * 2016-08-24 2022-03-31 Rohm Co., Ltd. Nitride semiconductor device and nitride semiconductor package
US20190267483A1 (en) * 2016-08-24 2019-08-29 Rohm Co., Ltd. Nitride semiconductor device and nitride semiconductor package
US11145648B2 (en) * 2017-03-31 2021-10-12 Intel Corporation Enhancement/depletion device pairs and methods of producing the same
US11336279B2 (en) 2017-07-14 2022-05-17 Cambridge Enterprise Limited Power semiconductor device with a series connection of two devices
US11404565B2 (en) * 2017-07-14 2022-08-02 Cambridge Enterprise Limited Power semiconductor device with an auxiliary gate structure
US11257811B2 (en) 2017-07-14 2022-02-22 Cambridge Enterprise Limited Power semiconductor device with an auxiliary gate structure
US11217687B2 (en) 2017-07-14 2022-01-04 Cambridge Enterprise Limited Power semiconductor device with an auxiliary gate structure
US20190267482A1 (en) * 2017-07-14 2019-08-29 Cambridge Enterprise Limited Power semiconductor device with an auxiliary gate structure
US11728346B2 (en) 2017-09-29 2023-08-15 Intel Corporation Group III-nitride (III-N) devices with reduced contact resistance and their methods of fabrication
US11233053B2 (en) 2017-09-29 2022-01-25 Intel Corporation Group III-nitride (III-N) devices with reduced contact resistance and their methods of fabrication
TWI644427B (zh) * 2018-01-02 2018-12-11 世界先進積體電路股份有限公司 高電子移動率電晶體
US10840353B2 (en) 2018-03-06 2020-11-17 Infineon Technologies Austria Ag High electron mobility transistor with dual thickness barrier layer
US10516023B2 (en) 2018-03-06 2019-12-24 Infineon Technologies Austria Ag High electron mobility transistor with deep charge carrier gas contact structure
US20190280100A1 (en) * 2018-03-06 2019-09-12 Infineon Technologies Austria Ag High Electron Mobility Transistor with Dual Thickness Barrier Layer
US10541313B2 (en) * 2018-03-06 2020-01-21 Infineon Technologies Austria Ag High Electron Mobility Transistor with dual thickness barrier layer
US10424659B1 (en) * 2018-05-08 2019-09-24 Vanguard International Semiconductor Corporation High electron mobility transistor
CN108899366A (zh) * 2018-06-11 2018-11-27 西安电子科技大学 一种新型P-GaN栅结构的增强型器件及其制作方法
CN109037153A (zh) * 2018-06-29 2018-12-18 江苏能华微电子科技发展有限公司 一种氮化镓基hemt器件的制备方法及氮化镓基hemt器件
US20190259865A1 (en) * 2018-12-29 2019-08-22 Suzhou Han Hua Semiconductor Co.,Ltd Integrated enhancement/depletion mode hemt and method for manufacturing the same
US11532739B2 (en) 2018-12-29 2022-12-20 Suzhou Han Hua Semiconductor Co., Ltd. Integrated enhancement/depletion mode HEMT and method for manufacturing the same
US10784366B2 (en) * 2018-12-29 2020-09-22 Suzhou Han Hua Semiconductor Co., Ltd Integrated enhancement/depletion mode HEMT and method for manufacturing the same
CN110061053A (zh) * 2019-01-15 2019-07-26 中山大学 一种增强型半导体晶体管及其制备方法
US20210335781A1 (en) * 2019-05-07 2021-10-28 Cambridge Enterprise Limited Power semiconductor device with an auxiliary gate structure
US11955478B2 (en) * 2019-05-07 2024-04-09 Cambridge Gan Devices Limited Power semiconductor device with an auxiliary gate structure
CN110224032A (zh) * 2019-05-24 2019-09-10 西安电子科技大学 具有结型栅AlGaN/GaN异质结的横向晶体管及其制作方法
CN111106171A (zh) * 2019-12-31 2020-05-05 晶能光电(江西)有限公司 AlN势垒层、AlN/GaN HEMT外延结构及其生长方法
CN112331719A (zh) * 2020-04-30 2021-02-05 英诺赛科(珠海)科技有限公司 半导体器件以及制造半导体器件的方法
CN111710651A (zh) * 2020-08-20 2020-09-25 浙江集迈科微电子有限公司 集成型GaN器件及其制备方法
US20220302295A1 (en) * 2020-10-20 2022-09-22 Innoscience (Suzhou) Technology Co., Ltd. Semiconductor device and method for manufacturing the same
US11777023B2 (en) * 2020-10-20 2023-10-03 Innoscience (Suzhou) Technology Co., Ltd. Semiconductor device and method for manufacturing the same
WO2023028740A1 (zh) * 2021-08-30 2023-03-09 华为技术有限公司 一种氮化镓器件及其制造方法、电子设备
CN118016698A (zh) * 2024-04-09 2024-05-10 英诺赛科(珠海)科技有限公司 半导体结构和半导体结构制造方法以及半导体器件

Also Published As

Publication number Publication date
DE102011000911B4 (de) 2018-06-28
JP2014116607A (ja) 2014-06-26
US11004966B2 (en) 2021-05-11
JP5823138B2 (ja) 2015-11-25
JP2011181922A (ja) 2011-09-15
US20210313462A1 (en) 2021-10-07
DE102011000911A1 (de) 2011-09-01
US20150243775A1 (en) 2015-08-27

Similar Documents

Publication Publication Date Title
US20210313462A1 (en) Nitride semiconductor device
US11322599B2 (en) Enhancement mode III-nitride devices having an Al1-xSixO gate insulator
US8399911B2 (en) Enhancement mode field effect device and the method of production thereof
KR101124937B1 (ko) 질화물계 트랜지스터를 위한 캡층 및/또는 패시베이션층,트랜지스터 구조 및 그 제조방법
KR101660870B1 (ko) 보상형 게이트 미스페트
US9401413B2 (en) Semiconductor device
EP2735031B1 (en) Method for growing iii-v epitaxial layers
US7709859B2 (en) Cap layers including aluminum nitride for nitride-based transistors
KR101008272B1 (ko) 노멀 오프 특성을 갖는 질화물계 고전자 이동도 트랜지스터및 그 제조방법
US20220376084A1 (en) Semiconductor device and method for manufacturing the same
KR101092467B1 (ko) 인헨스먼트 노말리 오프 질화물 반도체 소자 및 그 제조방법
WO2016014439A2 (en) Forming enhancement mode iii-nitride devices
CN110754001B (zh) 用以改善氮化镓间隔件厚度均匀度的增强型氮化镓晶体管
JP2006269534A (ja) 半導体装置及びその製造方法、その半導体装置製造用基板及びその製造方法並びにその半導体成長用基板
TW201442230A (zh) 異質結構功率電晶體以及製造異質結構半導體裝置的方法
US10840353B2 (en) High electron mobility transistor with dual thickness barrier layer
EP3550610A1 (en) High electron mobility transistor with deep charge carrier gas contact structure
JP2009099691A (ja) 電界効果半導体装置の製造方法
KR100912592B1 (ko) 고전자 이동도 트랜지스터 및 그 제조방법
JP5183975B2 (ja) エンハンスモード電界効果デバイスおよびその製造方法
EP1883115A1 (en) An enhancement mode field effect device and the method of production thereof
EP1865561B1 (en) An enhancement mode field effect device and the method of production thereof
KR20130092752A (ko) 질화물계 이종접합 전계효과 트랜지스터

Legal Events

Date Code Title Description
AS Assignment

Owner name: INFINEON TECHNOLOGIES AUSTRIA AG, AUSTRIA

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNORS:HAEBERLEN, OLIVER, DR.;RIEGER, WALTER, DR.;REEL/FRAME:024363/0249

Effective date: 20100319

STCB Information on status: application discontinuation

Free format text: ABANDONED -- FAILURE TO RESPOND TO AN OFFICE ACTION