US20060165994A1 - Protective coating on a substrate and method of making thereof - Google Patents

Protective coating on a substrate and method of making thereof Download PDF

Info

Publication number
US20060165994A1
US20060165994A1 US11/175,236 US17523605A US2006165994A1 US 20060165994 A1 US20060165994 A1 US 20060165994A1 US 17523605 A US17523605 A US 17523605A US 2006165994 A1 US2006165994 A1 US 2006165994A1
Authority
US
United States
Prior art keywords
coating layer
protective coating
protective
carbide
article
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Abandoned
Application number
US11/175,236
Other languages
English (en)
Inventor
George Dalakos
Toshiki Ebata
Patricia Hubbard
Charles Iacovangelo
Jeffrey Lennartz
Henry Marek
Yuji Morikawa
Xiang Liu
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
General Electric Co
Original Assignee
General Electric Co
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by General Electric Co filed Critical General Electric Co
Priority to US11/175,236 priority Critical patent/US20060165994A1/en
Assigned to GENERAL ELECTRIC COMPANY reassignment GENERAL ELECTRIC COMPANY ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: EBATA, TOSHIKI, MORIKAWA, YUJI, DALAKOS, GEORGE T., MAREK, HENRY, HUBBARD, PATRICIA, IACOVANGELO, CHARLES D., LENNARTZ, JEFFREY, LIU, XIANG
Priority to US11/249,085 priority patent/US8247080B2/en
Publication of US20060165994A1 publication Critical patent/US20060165994A1/en
Assigned to JPMORGAN CHASE BANK, N.A. AS ADMINISTRATIVE AGENT reassignment JPMORGAN CHASE BANK, N.A. AS ADMINISTRATIVE AGENT SECURITY AGREEMENT Assignors: MOMENTIVE PERFORMANCE MATERIALS GMBH & CO. KG, MOMENTIVE PERFORMANCE MATERIALS HOLDINGS INC., MOMENTIVE PERFORMANCE MATERIALS JAPAN HOLDINGS GK
Assigned to THE BANK OF NEW YORK MELLON TRUST COMPANY, N.A., AS COLLATERAL TRUSTEE reassignment THE BANK OF NEW YORK MELLON TRUST COMPANY, N.A., AS COLLATERAL TRUSTEE SECURITY AGREEMENT Assignors: JUNIPER BOND HOLDINGS I LLC, JUNIPER BOND HOLDINGS II LLC, JUNIPER BOND HOLDINGS III LLC, JUNIPER BOND HOLDINGS IV LLC, MOMENTIVE PERFORMANCE MATERIALS CHINA SPV INC., MOMENTIVE PERFORMANCE MATERIALS QUARTZ, INC., MOMENTIVE PERFORMANCE MATERIALS SOUTH AMERICA INC., MOMENTIVE PERFORMANCE MATERIALS USA INC., MOMENTIVE PERFORMANCE MATERIALS WORLDWIDE INC., MOMENTIVE PERFORMANCE MATERIALS, INC., MPM SILICONES, LLC
Assigned to MOMENTIVE PERFORMANCE MATERIALS INC., MOMENTIVE PERFORMANCE MATERIALS GMBH & CO KG, MOMENTIVE PERFORMANCE MATERIALS JAPAN HOLDINGS GK reassignment MOMENTIVE PERFORMANCE MATERIALS INC. RELEASE BY SECURED PARTY (SEE DOCUMENT FOR DETAILS). Assignors: JPMORGAN CHASE BANK, N.A., AS ADMINISTRATIVE AGENT
Assigned to MOMENTIVE PERFORMANCE MATERIALS INC. reassignment MOMENTIVE PERFORMANCE MATERIALS INC. RELEASE BY SECURED PARTY (SEE DOCUMENT FOR DETAILS). Assignors: THE BANK OF NEW YORK MELLON TRUST COMPANY, N.A., AS COLLATERAL AGENT
Abandoned legal-status Critical Current

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C04CEMENTS; CONCRETE; ARTIFICIAL STONE; CERAMICS; REFRACTORIES
    • C04BLIME, MAGNESIA; SLAG; CEMENTS; COMPOSITIONS THEREOF, e.g. MORTARS, CONCRETE OR LIKE BUILDING MATERIALS; ARTIFICIAL STONE; CERAMICS; REFRACTORIES; TREATMENT OF NATURAL STONE
    • C04B41/00After-treatment of mortars, concrete, artificial stone or ceramics; Treatment of natural stone
    • C04B41/009After-treatment of mortars, concrete, artificial stone or ceramics; Treatment of natural stone characterised by the material treated
    • CCHEMISTRY; METALLURGY
    • C04CEMENTS; CONCRETE; ARTIFICIAL STONE; CERAMICS; REFRACTORIES
    • C04BLIME, MAGNESIA; SLAG; CEMENTS; COMPOSITIONS THEREOF, e.g. MORTARS, CONCRETE OR LIKE BUILDING MATERIALS; ARTIFICIAL STONE; CERAMICS; REFRACTORIES; TREATMENT OF NATURAL STONE
    • C04B41/00After-treatment of mortars, concrete, artificial stone or ceramics; Treatment of natural stone
    • C04B41/45Coating or impregnating, e.g. injection in masonry, partial coating of green or fired ceramics, organic coating compositions for adhering together two concrete elements
    • C04B41/50Coating or impregnating, e.g. injection in masonry, partial coating of green or fired ceramics, organic coating compositions for adhering together two concrete elements with inorganic materials
    • C04B41/5025Coating or impregnating, e.g. injection in masonry, partial coating of green or fired ceramics, organic coating compositions for adhering together two concrete elements with inorganic materials with ceramic materials
    • C04B41/5031Alumina
    • CCHEMISTRY; METALLURGY
    • C04CEMENTS; CONCRETE; ARTIFICIAL STONE; CERAMICS; REFRACTORIES
    • C04BLIME, MAGNESIA; SLAG; CEMENTS; COMPOSITIONS THEREOF, e.g. MORTARS, CONCRETE OR LIKE BUILDING MATERIALS; ARTIFICIAL STONE; CERAMICS; REFRACTORIES; TREATMENT OF NATURAL STONE
    • C04B41/00After-treatment of mortars, concrete, artificial stone or ceramics; Treatment of natural stone
    • C04B41/45Coating or impregnating, e.g. injection in masonry, partial coating of green or fired ceramics, organic coating compositions for adhering together two concrete elements
    • C04B41/50Coating or impregnating, e.g. injection in masonry, partial coating of green or fired ceramics, organic coating compositions for adhering together two concrete elements with inorganic materials
    • C04B41/5053Coating or impregnating, e.g. injection in masonry, partial coating of green or fired ceramics, organic coating compositions for adhering together two concrete elements with inorganic materials non-oxide ceramics
    • C04B41/5062Borides, Nitrides or Silicides
    • C04B41/5063Aluminium nitride
    • CCHEMISTRY; METALLURGY
    • C04CEMENTS; CONCRETE; ARTIFICIAL STONE; CERAMICS; REFRACTORIES
    • C04BLIME, MAGNESIA; SLAG; CEMENTS; COMPOSITIONS THEREOF, e.g. MORTARS, CONCRETE OR LIKE BUILDING MATERIALS; ARTIFICIAL STONE; CERAMICS; REFRACTORIES; TREATMENT OF NATURAL STONE
    • C04B41/00After-treatment of mortars, concrete, artificial stone or ceramics; Treatment of natural stone
    • C04B41/45Coating or impregnating, e.g. injection in masonry, partial coating of green or fired ceramics, organic coating compositions for adhering together two concrete elements
    • C04B41/52Multiple coating or impregnating multiple coating or impregnating with the same composition or with compositions only differing in the concentration of the constituents, is classified as single coating or impregnation
    • CCHEMISTRY; METALLURGY
    • C04CEMENTS; CONCRETE; ARTIFICIAL STONE; CERAMICS; REFRACTORIES
    • C04BLIME, MAGNESIA; SLAG; CEMENTS; COMPOSITIONS THEREOF, e.g. MORTARS, CONCRETE OR LIKE BUILDING MATERIALS; ARTIFICIAL STONE; CERAMICS; REFRACTORIES; TREATMENT OF NATURAL STONE
    • C04B41/00After-treatment of mortars, concrete, artificial stone or ceramics; Treatment of natural stone
    • C04B41/80After-treatment of mortars, concrete, artificial stone or ceramics; Treatment of natural stone of only ceramics
    • C04B41/81Coating or impregnation
    • C04B41/85Coating or impregnation with inorganic materials
    • C04B41/87Ceramics
    • CCHEMISTRY; METALLURGY
    • C04CEMENTS; CONCRETE; ARTIFICIAL STONE; CERAMICS; REFRACTORIES
    • C04BLIME, MAGNESIA; SLAG; CEMENTS; COMPOSITIONS THEREOF, e.g. MORTARS, CONCRETE OR LIKE BUILDING MATERIALS; ARTIFICIAL STONE; CERAMICS; REFRACTORIES; TREATMENT OF NATURAL STONE
    • C04B41/00After-treatment of mortars, concrete, artificial stone or ceramics; Treatment of natural stone
    • C04B41/80After-treatment of mortars, concrete, artificial stone or ceramics; Treatment of natural stone of only ceramics
    • C04B41/81Coating or impregnation
    • C04B41/89Coating or impregnation for obtaining at least two superposed coatings having different compositions
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/06Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the coating material
    • C23C14/0617AIII BV compounds, where A is Al, Ga, In or Tl and B is N, P, As, Sb or Bi
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/22Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the process of coating
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/301AIII BV compounds, where A is Al, Ga, In or Tl and B is N, P, As, Sb or Bi
    • C23C16/303Nitrides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4401Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber
    • C23C16/4404Coatings or surface treatment on the inside of the reaction chamber or on parts thereof
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/458Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for supporting substrates in the reaction chamber
    • C23C16/4581Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for supporting substrates in the reaction chamber characterised by material of construction or surface finish of the means for supporting the substrate
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/513Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using plasma jets
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68757Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by a coating or a hardness or a material
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T428/00Stock material or miscellaneous articles
    • Y10T428/30Self-sustaining carbon mass or layer with impregnant or other layer

Definitions

  • a halogen-bearing gas such as nitrogen trifluoride (NF 3 ) to produce a fluorine plasma, which cleans the chamber but may simultaneously attack the components of the equipment causing erosion. This erosion limits the life of the components and the equipment. It is therefore desirable to extend the service life of components and equipment by the use of a durable protective coating.
  • NF 3 nitrogen trifluoride
  • Japanese Published Patent Application No. JP162123094A2 discloses susceptors employing a coating layer of AlN formed by a thermal chemical vapor deposition (“CVD”) method, on a substrate of high purity carbon based material.
  • Japanese Published Patent Application No. H06-061335 discloses an electrostatic chuck having a protective coating layer comprising AlN, Al2O3, AlON, deposited by methods including sputtering, ion plating and CVD methods.
  • a protective coating layer comprising AlN, Al2O3, AlON, deposited by methods including sputtering, ion plating and CVD methods.
  • there is much cracking on the coating thus typically resulting in an etch rate of exceeding 5,000 Angstroms per minute (/min).
  • the in-film or mechanical stress is a force in the plane of a coating film such as AlN, acting per unit area of the film cross section.
  • the mechanical stress may be compressive or tensile in character.
  • Thermal CVD coatings are not believed to be under compressive stress, and therefore fail rapidly when exposed to the harsh semiconductor manufacturing environment. The compressive stress prevents the formation of cracks thereby increasing the useful life of the article.
  • Thermal CVD AlN coatings in the prior art are not known to have good adhesion to the underlying pyrolytic graphite or boron nitride substrates.
  • the invention relates to a protective coating on a substrate and method of making thereof.
  • the invention relates to a protective coating comprising at least one of aluminum nitride, aluminum oxide, aluminum oxynitride or combinations thereof, wherein the protective coating is under a compressive stress of greater than or equal to about 50 kilograms per square centimeter when disposed upon a substrate.
  • the invention further relates to a method for making an article comprising disposing a protective coating comprising at least one of aluminum nitride, aluminum oxide, aluminum oxynitride or combinations thereof upon a substrate comprising pyrolytic boron nitride, pyrolytic graphite and/or carbon doped boron nitride; wherein the protective coating is under a compressive stress of greater than or equal to about 50 kilograms per square centimeter.
  • the invention relates to articles comprising the aforementioned protective coating, and articles manufactured by the aforementioned method of making the protective coating.
  • FIG. 1 depicts one embodiment of a heating element 10 coated with the protective coating
  • FIG. 2 depicts a second embodiment of a heating element 20 coated with the protective coating
  • FIG. 3 depicts an embodiment of an electrostatic chuck 40
  • FIG. 4 is an X-ray diffraction pattern of an AlN coating deposited by thermal CVD, showing that that the AlN coating is highly crystalline;
  • FIG. 5 shows two pictures of the AlN coating of Example 1. At left is a transmission electron microscopy (TEM) micrograph image showing nanocrystalline AlN grains. At right is an electron diffraction pattern. The bright, diffuse ring in the diffraction pattern suggests the presence of an amorphous component to the microstructure;
  • TEM transmission electron microscopy
  • FIG. 6 is a high resolution TEM micrograph of the AlN coating of Example 1.
  • the size marker represents 5 nanometers;
  • FIG. 7 is a depiction of one exemplary embodiment wherein the cathode and the substrate graphite are in electrical communication with each other during ion plating. This facilitates the coating of vertical surfaces on an article;
  • FIG. 8 is an X-ray diffraction pattern for a silicon wafer
  • FIG. 9 is an X-ray diffraction pattern for a protective coating comprising amorphous AlN deposited by ion plating on a silicon wafer.
  • FIG. 10 is an X-ray diffraction pattern of a sintered AlN coating.
  • the diffraction pattern shows numerous peaks due to the highly crystalline nature of sintered AIN.
  • the terms “first,” “second.” and the like do not denote any order or importance, but rather are used to distinguish one element from another, and the terms “the”, “a” and “an” do not denote a limitation of quantity, but rather denote the presence of at least one of the referenced item. Furthermore, all ranges disclosed herein are inclusive of the endpoints and are independently combinable.
  • the invention relates to articles comprising protective coatings that can be advantageously used in harsh semiconductor manufacturing environments that contain ammonia, hydrogen and halogens at elevated temperatures.
  • These coated articles are advantageously used as electrostatic chucks, heater elements and wafer carriers during the manufacture of integrated circuits, semiconductors, silicon wafers, chemical compound semiconductor wafers, liquid crystalline display devices and their glass substrates, or the like.
  • approximating language may be applied to modify any quantitative representation that may vary without resulting in a change in the basic function to which it is related. Accordingly, a value modified by a term or terms, such as “about” and “substantially,” may not to be limited to the precise value specified, in some cases. In at least some instances, the approximating language may correspond to the precision of an instrument for measuring the value.
  • substrate or “substrates” may be used interchangeably with “surface” or “surfaces.”
  • protection coating layer may be used interchangeably with “coating layer” or “coating film,” or “protective layer,” or “protective coating layer.”
  • the protective coating comprises at least one of AlN, AlON, Al 2 O 3 , or combinations thereof.
  • the protective coating layer is a single layer of AlN, AlON, Al 2 O 3 , or combinations thereof.
  • it is a multi-layer of multiple coatings of the same material, e.g., AlN, AlON, Al 2 O 3 , etc., or multiple different layers of AlN, AlON, etc., coated in succession.
  • the coating is substantially amorphous.
  • the protective coatings can have an amorphous content of greater than or equal to about 10 weight percent (wt %), based upon the total weight of the protective coating. In one embodiment, the protective coating has an amorphous content of greater than or equal to about 50 weight percent (wt %), based upon the total weight of the protective coating. In another embodiment, the protective coating has an amorphous content of greater than or equal to about 90 weight percent (wt %), based upon the total weight of the protective coating. In a fourth embodiment, the protective coating is completely amorphous. When crystallites are present in the amorphous coating, it is desirable for the crystallite size to be less than or equal to about 10 nanometers. In one embodiment, it is desirable for the crystallite size to be less than or equal to about 5 nanometers.
  • the protective coatings are deposited upon substrates comprising boron nitride (BN), pyrolytic boron nitride (PBN), or carbon doped pyrolytic boron nitride (C-PBN).
  • substrates comprising boron nitride (BN), pyrolytic boron nitride (PBN), or carbon doped pyrolytic boron nitride (C-PBN).
  • the protective coatings may be deposited on substrates by processes comprising expanding thermal plasma (ETP), ion plating, plasma enhanced chemical vapor deposition (PECVD), metal organic chemical vapor deposition (MOCVD) (also called Organometallic Chemical Vapor Deposition (OMCVD)), metal organic vapor phase epitaxy (MOVPE), physical vapor deposition processes such as sputtering, reactive electron beam (e-beam) deposition, and plasma spray.
  • ETP expanding thermal plasma
  • PECVD plasma enhanced chemical vapor deposition
  • MOCVD metal organic chemical vapor deposition
  • MOVPE metal organic vapor phase epitaxy
  • physical vapor deposition processes such as sputtering, reactive electron beam (e-beam) deposition, and plasma spray.
  • Exemplary processes are ETP and ion plating.
  • the protective coatings are also advantageously in a state of compressive stress when disposed upon the substrates, thus facilitating the retention of dimensional stability and mechanical strength as well as a reduction in the number of cracks.
  • the protective coatings also have a substantially reduced number of cracks when compared with other protective coatings manufactured by processes such as thermal chemical vapor deposition.
  • the protective coating has excellent adhesion to the substrate and is crack free. The reduced number of cracks as well as the morphology of the coating minimizes any etching of the substrate during the periodic cleaning of the equipment.
  • crack free or “substantially crack free” means that no cracks can be observed by optical microscopy or SEM with 10 k magnification. Cracks also include holes, perforations, pores, or lines. Adhesion means the bonding of two different materials, and cohesion means a mass that resists separation. As used herein, having an excellent adhesion means that the adhesive strength of the coating layer exceeds the cohesive strength of underlying layer or layers.
  • the protective coating layer of the invention significantly increases the life cycle of the article.
  • the life cycle is the amount of time that the article can be subjected to a cleansing environment before it has to be replaced as a result of the etching away of the protective coating.
  • suitable cleansing environments include halogen-based plasmas, halogen-based radicals generated from remote plasma source, halogen-based species decomposed by heating, halogen-based gases, oxygen plasmas, oxygen-based plasmas, or the like.
  • An example of a halogen-based plasma is a nitrogen trifluoride (NF 3 ) plasma.
  • Fluorinated hydrocarbons such as, for example, carbon tetrafluoride (CF 4 ) can be used either alone or in combination with oxygen.
  • the article may also be subjected to a reactive ion etching environment and should be capable of offering resistance to etching in such an environment as well.
  • the protective coating increases the life cycle of the article by a time period of greater than or equal to about 5 hours over articles that have unprotected PBN as a substrate. In one embodiment, the protective coating increases the life cycle of the article by a time period of greater than or equal to about 10 hours over similar articles that have unprotected PBN. In yet another embodiment, the protective coating increases the life cycle of the article by a time period of greater than or equal to about 50 hours over similar articles that have unprotected PBN. In yet another embodiment, the protective coating increases the life cycle of the article by a time period of greater than or equal to about 100 hours over similar articles that have unprotected PBN.
  • the thickness of the protective coating may be varied depending upon the application and upon the cleaning conditions.
  • Protective coatings having thickness of about 1 to about 5 ⁇ m generally permit good thermal contact between the wafer and the electrostatic chuck, but do not last as long as coatings having greater thicknesses. Coatings having thicknesses of greater than 5 ⁇ m, however, have longer life cycles than coatings having thickness of less than 5 ⁇ m.
  • the coating has a thickness of greater than or equal to about 2 micrometers ( ⁇ m).
  • the protective coating thickness is greater than or equal to about 10 ⁇ m.
  • the thickness is greater than or equal to about 50 ⁇ m.
  • the thickness is greater than or equal to about 75 ⁇ m.
  • the protective coatings of the present invention are generally under compressive stress when deposited upon substrates comprising PBN, PG or C-PBN, as distinguished from the thermal CVD coatings of the prior art.
  • the residual stress is the stress in the coating at room temperature.
  • the residual (mechanical) stress is the sum of the stress due to the CTE mismatch between AlN and the substrate, and the intrinsic stress of the AlN as deposited.
  • s i is intrinsic stress, which is a fundamental result of the conditions and method of film growth and is to a large degree a reflection of the film structure and the presence of impurities.
  • the term s T refers to the thermal stress in the film due to the CTE mismatch between the film and the substrate. Thermal stress s T is dependent on various factors, including the CTE of the substrate, the CTE of the protective film, the processing temperature (T dep ) and the reference temperature (T ref ), which can be the room temperature or the temperature of the device under operating condition(s) of interest.
  • the coating film has an intrinsic stress si of less than or equal to about 10 Mpa (tensile). In another embodiment, the coating has an intrinsic stress s i to be greater than or equal to about 100 Mpa (compressive). In yet another embodiment, the coating has an intrinsic stress value of greater than or equal to about 300 Mpa. In a fourth embodiment; the intrinsic stress value is greater than or equal to about 400 Mpa.
  • the protective coating displays a residual stress that is compressive in nature on a wide range of substrates with a CTE value smaller than that of the material comprising the coating film layer, e.g., PBN with a CTE smaller than that of AlN.
  • the film has a residual stress value on PBN is generally greater than or equal to about 10 MPa. In another embodiment, the residual stress is generally greater than or equal to about 100 MPa (compressive). In yet another embodiment, the residual stress is generally greater than or equal to about 200 MPa (compressive).
  • the compressive stress permits the protective coating to withstand thermal cycling without cracking.
  • the protective coatings have very few cracks and this feature provides significant resistance against etching.
  • the initial protective coating may have cracks that occupy a volume of less than 10% of the total volume of the coating.
  • the initial protective coating may have cracks that occupy a volume of less than 5% of the total volume of the coating.
  • the protective coating may be completely crack free when it is initially manufactured.
  • the protective coating of the invention cain advantageously withstand thermal cycling at high heating and cooling rates. In one embodiment, no cracks are formed when the protective coating is thermally cycled to temperatures as high as 800° C.
  • the protective coating is characterized as being etch-resistant, or having a low-etch rate in an environment comprising halogens or when exposed to plasma etching, reactive ion etching, plasma cleaning and gas cleaning.
  • the etch rate for the protective coating is much lower than coatings of a similar chemical composition that are sintered.
  • the etch rate of the protective coating is also less than the etch rate for the PBN substrate. This low etch rate provides protection for the PBN thereby extending the life of the article.
  • the etch-resistant coating has an etch rate of less than 1000 Angstroms per minute (/min) in a halogen-containing environment. In a second embodiment, this rate is less than 500 Angstroms per minute (/min). In a third embodiment, the rate is less than 100 Angstroms per minute (/min). In a fourth embodiment, the etch resistant protective coating is less than or equal to about 30 Angstroms per minute (/min) in a cleansin g environment that comprises halogens or when exposed to a reactive ion etching environment. In one embodiment, the etch rate is less than or equal to about 20/min. In another embodiment, the etch rate is less than or equal to about 15/min. In yet another embodiment, the etch rate is less than or equal to about 5/min. In a further embodiment, the etch rate is less than or equal to about 2/min.
  • the number of particles formed on the backside of an article coated with the protective coating is reduced by at least 25% over a similar article that is not coated with the protective coating.
  • the number of particles produced is reduced by at least 50% over a similar article that is not coated with the protective coating.
  • the number of particles produced is reduced by at least 75% over a similar article that is not coated with the protective coating.
  • the protective coatings can accommodate small concentrations of other non-metallic elements such as oxygen and/or hydrogen without any deleterious effects on corrosion resistance or etch resistance.
  • the hydrogen and/or oxygen can be covalently bonded to the aluminum. Alternatively, the hydrogen and/or oxygen can be absorbed or adsorbed onto the surface of, or in the bulls of the protective coating.
  • the protective coatings can contain up to about 20 atomic percent (at %) of hydrogen and/or oxygen. In another embodiment, the protective coating can comprise hydrogen and/or oxygen up to about 10 at %.
  • the deposition is via ETP.
  • ETP electrospray
  • high coating deposition rates may be achieved at relatively low temperatures.
  • protective coatings can be applied to large areas of the substrate in a single operation.
  • the protective coating can comprise a single layer that is applied in a single step or in multiple steps if desired. Both sides of the substrate can be,coated simultaneously if desired. Multiple sets of plasma generators can be used to increase deposition rate and/or the area of coverage.
  • the ETP process can be carried out in a single deposition chamber or in a plurality of deposition chambers.
  • ETP methods that may be used for applying the protective coating are described in U.S. Pat. No. 6,261,694 and U.S. Pat. No. 6,397,776, both of which are hereby incorporated by reference.
  • a coating precursor is introduced into an ETP and the plasma stream produced by the ETP impinges upon the surface of the substrate.
  • the substrate Prior to applying the protective coating, the substrate can be etched if desired.
  • the substrate is first heated to the desired temperature following which a coating precursor is introduced into the chamber.
  • the aluminum precursor source is typically an organometallic compound.
  • suitable coating precursors are trialkylaluminum (e.g., trimethylaluminum (TMA), triethylaluminum (TEA)), aluminum metal, aluminum halide (e.g., aluminum chloride, aluminum bromide), hexakis(dimethylamido) dialuminum (Al 2 (NMe 2 ) 6 ), trimethylaluminum ammonia, trimethylamine alane, trialkylsiloxydihaloalane, metal alkoxides diketonates, and carboxylates such as aluminum acetylacetonate, aluminum butoxide, aluminum ethoxide, aluminum isopropoxide, or the like, or a combination comprising at least one of the foregoing precursors.
  • TMA trimethylaluminum
  • TEA triethylaluminum
  • aluminum metal aluminum halide
  • aluminum chloride e.g., aluminum chloride, aluminum bromid
  • the deposited protective coating layer may be amorphous, substantially amorphous, substantially crystalline, or engineered to be a mixture of both amorphous and crystalline phases.
  • substantially amorphous means at least 90% amorphous phase
  • substantially crystalline or “highly crystalline” means at least 90% crystalline phase.
  • the process temperature is generally less than or equal to about 700° C. In one embodiment, the process temperature is maintained at less than or equal to about 500° C. In another embodiment, the process temperature is maintained at less than or equal to about 200° C. The use of temperatures of less than or equal to about 200° C. facilitates the formation of protective coatings that are substantially amorphous.
  • the protective coating can be deposited at a rate of greater than or equal to about 1 ⁇ m/minute. In another embodiment, the protective coating can be deposited at a rate of greater than or equal to about 2 ⁇ m/minute. In a third embodiment, the protective coating can be deposited at a rate of greater than or equal to about 3 ⁇ m/minute.
  • the protective coating is deposited using ion plating (IP).
  • ion plating aluminum is ionized using a radio frequency (RF) discharge. Ionization and plasma is mainly sustained by the formation of nitrogen ions from nitrogen. Ionization is brought by plasma discharge, which can be sustained not only by RF coils but also by using a capacitive coupling plasma or an inductive coupling plasma.
  • the chamber in which the deposition occurs is generally maintained under vacuum conditions. Nitrogen gas is introduced into the chamber and becomes ionized by RF discharge.
  • the substrate is generally placed on or near a cathode to direct the ionized gases.
  • the substrate is placed in an argon/nitrogen plasma, together with the aluminum metal, which vaporizes on heating and becomes partly ionized (acquires charged atoms) as it diffuses through the discharging region to form the protective coating.
  • the temperature in the chamber during ion plating is maintained at less than or equal to about 400° C. In one embodiment, the temperature in the chamber is maintained at less than or equal to about 300° C. In another embodiment, the temperature in the chamber is maintained at less than or equal to about 200° C.
  • an exemplary temperature is about 170° C.
  • the deposition rate during ion plating is greater than or equal to about 0.1 ⁇ m/hour. In one embodiment, the deposition rate is greater than or equal to about 0.15 ⁇ m/hour. In another embodiment, the deposition rate is greater than or equal to about 0.20 ⁇ m/hour. In yet another embodiment, the deposition rate is greater than or equal to about 0.25 ⁇ m/hour.
  • the protective coating is under a compressive stress which promotes a close and strong contact between the protective coating and the PBN.
  • the coating displays a high temperature capability and a strong thermal shock resistance.
  • the coating layer prevents the formation of particles from the substrate layer, e.g., pBN, when the article is subjected to a voltage during semiconductor processing operations.
  • the etch rate for the coating and/or the substrate is very low in a corrosive environment comprising halogens.
  • the protective coatings can be advantageously used for semi-conductor processing components such as substrates, liners, evaporators, crucibles, heating elements, wafer carriers, electrostatic chucks, susceptors, or the like.
  • the protective coating enhances the life of the aforementioned semi-conductor processing components when these components are exposed to ammonia, hydrogen, halogens such as fluorine, chlorine, nitrogen trifluoride, or the like, at temperatures of greater than or equal to about 200° C.
  • FIG. 1 is a picture of one exemplary embodiment of an article 10 coated with the protective coating.
  • the article can be a heating element, an electrostatic chuck or a wafer carrier that can be used for locating and/or heating the silicon wafers during the deposition of surface layers on the wafer.
  • the article comprises a graphite core 2 upon which is disposed a first boron nitride (BN) layer 4 .
  • the core can also be made from PBN, hot pressed BN or composites.
  • the BN layer 4 is deposited by thermal chemical vapor deposition (thermal CVD), hot pressing, sintering, or plasma enhanced chemical vapor deposition (PECVD).
  • PECVD plasma enhanced chemical vapor deposition
  • Disposed upon the BN layer 4 is a layer of pyrolytic graphite (PG) 6 .
  • PG pyrolytic graphite
  • the PG layer behaves as a resistance element 12 and is deposited upon the BN layer 4 by chemical vapor deposition (CVD) or other processes known in the prior art. Methane gas is decomposed in the thermal CVD for depositing the PG layer 6 .
  • the PG layer 6 is machined into a desired configuration.
  • the machined PG layer 6 together with the first BN layer 4 and the graphite substrate 2 form the body of the article.
  • a pyrolytic boron nitride (PBN) coating 8 is disposed upon the body of the article such that it substantially covers the body of the article.
  • This PBN coating 8 resists oxidation, provides electrical insulation, chemical and mechanical protection and minimizes the opportunity for carbon contamination of the wafers. It also has very high thermal conductivity in the a-b direction, thereby minimizing any thermal non-uniformity on the top of the heater.
  • the protective coating 12 is then disposed upon the PBN coating 8 .
  • FIG. 2 is another exemplary embodiment of an article 20 coated with the protective coating.
  • the article comprises a graphite core 22 upon which is disposed a tie layer 24 .
  • the tie layer generally comprises tantalum carbide, titanium carbide, tungsten carbide, silicon carbide, silicon oxycarbide, zirconium carbide, hafnium carbide, lanthanum carbide, vanadium carbide, niobium carbide, magnesium carbide, chromium carbide, molybdenum carbide, beryllium carbide, or the like, or a combination comprising at least one of the foregoing tie layers and acts as a adhesion promoter and to reduce the strain between AlN and graphite.
  • the protective coating layer 26 can comprise aluminum nitride, aluminum oxynitride, aluminum oxide or combinations thereof.
  • a printed pattern 28 Disposed upon the first protective coating layer 26 is a printed pattern 28 that can comprise any electrically conducting film. Examples of the printed pattern 28 are molybdenum and/or manganese based electrodes applied by screen printing, tantalum or molybdenum metal foils, or the like. The printed pattern 28 can be applied by other techniques such as plasma spray as well.
  • a second protective coating layer 30 is then disposed upon the entire device.
  • FIG. 3 is a depiction of one exemplary embodiment of an electrostatic chuck 40 that comprises a supporting substrate 42 , a heat-generating layer 44 , electrodes 46 , an insulating layer 48 and the protective coating 50 .
  • the supporting substrate is generally insulating and can comprise BN-coated graphite or BN.
  • the heat generating layer 44 and the electrodes 46 are electrically conducting.
  • the electrodes are capable of handling high voltages at high frequencies for purposes of electrostatic chucking.
  • the insulating layer 48 can also be obtained from PBN.
  • the electrostatic chuck of FIG. 3 can be used as a water carrier to transfer wafers from place to place during the process of depositing or removing layers from the wafer.
  • heater elements in the form of electrically conductive layers are embedded within the insulating protective coatings.
  • heating may be provided by passing electrical current through the conductive layers instead of indirectly heating a graphite susceptor.
  • the electrically conductive layers are used for supporting and holding a single crystal wafer or equivalent substrate by electrostatic forces.
  • the electrically conductive layers are used as “wafer support” (or as a wafer processing platform) for supporting and holding a single crystal wafer or equivalent substrate by electrostatic forces as well as for heating such a wafer or substrate.
  • ETP is used to coat PBN/Graphite and silicon wafers with AlN.
  • the PBN/Graphite wafers are approximately 4 millimeters (mm) thick and consist of a graphite core coated with PBN using thermal CVD.
  • the PBN is wiped clean with isopropanol and loaded into the coating chamber.
  • Silicon wafers are about 100 mm (4 inches) in diameter. The stress, thickness, and refractive index of the AlN coating are measured by coating the 100 mm silicon wafer.
  • the chamber is pumped down to a base pressure of about 1 milliTorr (mTorr).
  • the chamber is then back filled with argon to a pressure of 100 Torr following which it is pumped back down. This is repeated for three cycles to minimize the air and moisture in the chamber.
  • the ETP source is operated at a current of 50 Amps, a voltage of 55 volts, and an argon flow rate of 2.5 liters per minute (1 pm).
  • the substrate is etched with an argon plasma for a time sufficient to bring the substrate to the coating temperature. No additional heating is used. Typical coating temperatures are from 200-650° C.
  • ammonia is introduced into the reactor through a ring injector located concentric to the center of the ETP and placed 1 inch downstream from the anode of the ETP.
  • Trimethylaluminum (TMA) is also introduced through a ring injector adjacent to the TMA injector.
  • Argon and ammonia are controlled with standard mass flow controllers.
  • TMA is controlled with a vapor mass flow controller.
  • the TMA reservoir is maintained at 60° C. to achieve the required vapor pressure. All feed lines are maintained above this temperature to avoid condensation of the TMA.
  • the residual stress is measured on an Ionic System Stress Gauge II Analyzer.
  • the intrinsic stress is calculated from known values of the coefficient of thermal expansion (CTE) of the silicon wafer and AlN.
  • CTE of the coating is measured on selected samples by measuring the residual stress as a function of temperature on two different substrates.
  • the refractive index and thickness is measured with a J. A. Woollam Co. model M-200UI spectroscopic ellipsometer. Thickness is also calculated based on the weight gain of the substrate.
  • Composition is measured both by x-ray photoelectron spectroscopy (XPS) and Rutherford backscattering (RBS).
  • Adhesion is measured with a Sebastian Model 5 tensile adhesion tester.
  • the samples are reactive ion etched (RIE) in a Plasma-Therm parallel plate reactor.
  • Typical etch conditions are 150 Watts (0.24 W/cm 2 ), 34 standard cubic centimeters (sccm) of argon, 17 sccm NF 3 and an electrode temperature of 25° C.
  • the pressure in the etch chamber is maintained with a throttle valve at 150 mTorr.
  • the etch rate is measured either by weight loss, profilometry of the step change around a masked portion of the substrate, and/or ellipsometry measurement of the thickness change.
  • Samples are generally etched for 5 hrs. Selected samples are however, etched for 5, 10 and 15 hours. Shown in Table 1 are the processing conditions used for each example. Specifically listed are the ammonia flow, TMA flow, temperature of the deposition, chamber pressure, time of deposition for each example.
  • a silicon substrate is coated with AlN as described above with the parameters listed in Table 1.
  • the resultant coating is 0.75 ⁇ m thick resulting in a deposition rate of 0.79 ⁇ m/minute.
  • the coating is well-adhered and shows no signs of cracking.
  • X-ray diffraction and TEM analysis of this coating shown in FIGS. 4, 5 and 6 , shows the coating to be amorphous and nanocrystalline with crystallite sizes of up to about 10 nm.
  • FIG. 4 is an X-ray diffraction pattern of an AlN coating showing that the sample has a large amorphous fraction.
  • FIG. 5 is a transmission electron microscopy (TEM) micrograph image (left) and an electron diffraction pattern (right) for an AlN coating. This figure reveals that the coating has nanocrystalline AlN grains. The bright, diffuse rings in the diffraction pattern suggest the presence of a large amorphous component to the microstructure.
  • FIG. 6 is a high resolution TEM micrograph of AlN coating of Example 19. The size marker represents 5 nanometers. An evaluation of the crystalline sizes from the FIG. 6 reveals that the crystallite sizes are less than or equal to about 10 nanometers.
  • the composition by XPS and RBS analysis shows that the protective coating comprises 41 atomic percent (at %) aluminum, 28 at % nitrogen, 14 at % oxygen, and 16 at % hydrogen.
  • the refractive index is 1.86.
  • the residual stress on the Si wafer is 161 MPa tensile.
  • the calculated intrinsic stress is 133 MPa (compressive).
  • the etch rate is about 17/Imin.
  • a PBN/graphite substrate is coated in a similar fashion as in Example 1, but with a higher TMA flow rate and for a longer time of 15 minutes to achieve a 25 ⁇ m thick protective coating.
  • the coating is used to determine adhesion and thermal cycling ability of the coating.
  • the etch rate is also about 12/min as observed on the silicon wafer. This indicates a crack free AlN coating disposed upon the PBN substrate.
  • the PBN/graphite sample is subjected to thermal cycling from 25° C. to 600° C. at a rate of about 40° C./minute. No delamination of the sample is observed. Adhesion after thermal cycling is inchanged.
  • a PBN/graphite sample without the protective coating is etched under the same conditions as Example 1.
  • the etch rate is about 100,000/min. This in dicates that when the aluminum nitride coating is placed on the substrate, the etch rate is reduced by several orders of magnitude, thereby indicating the need for an AlN coating in order to increase the life of the article.
  • a PBN/graphite sample is coated with AlN by a conventional thermal CVD process from ammonia and aluminum trichloride at 1000° C.
  • the coating cracks and delaminates from the substrate upon cooling to room temperature indicating a tensile stressed coating.
  • X-ray diffraction shows that the sample is highly crystalline.
  • Areas of the substrate where the AlN had not completely delaminated were etched at a rate similar to that shown in Comparative Example 3.
  • the AlN coating deposited by conventional thermal CVD is thus not protective when compared with the protective coating deposited by ETP.
  • the etch rate of the AlN estimated from a change in step height, is about 250/min.
  • a 100 millimeter diameter silicon wafer is coated with AlN in an identical fashion to that in Example 1, except that in this example the standard practice of pumping down the chamber and back filling before coating is practiced.
  • the deposition rate is 1 ⁇ m/min.
  • the etch rate is about 9/min.
  • the residual stress o f the coating is 252 Mpa (tensile);
  • the calculated intrinsic stress is 23 MPa (compressive) XPS and RBS analysis shows that the atomic percentages (at %) for the protective coating are 1.3 at % carbon, 43 at % aluminum, 35 at % nitrogen, 3.4 at % oxygen and 17 at % hydrogen. This shows that the oxygen content is much lower than that contained in Examnple 1.
  • the reduced etch rate accompanying the lower oxygen content in Table 1 indicates that controlling the background air and moisture content of the reaction chamber is useful for producing protective coatings with superior properties.
  • the refractive index (RI) is 2.28. Based on the good correlation of RI with oxygen content in the film, RI is used as a measure of the oxygen content for subsequent samples.
  • PBN/graphite samples are coated in a manner similar to that in Example 5.
  • the time period for the coatings is 3, 30, and 60 minutes to obtain 5, 39 and 80 ⁇ m thick protective coatings respectively. Even the sample having the thickest coating (i.e., 80 ⁇ m), no delamination of the sample is observed.
  • This sample is also thermally shocked to 600° C. in air with no cracking or delamination of the sample.
  • silicon wafers are coated in a manner similar to that in Example 23 with the conditions noted in Table 1.
  • These examples demonstrate the range of properties obtainable for the protective coating when deposited by the ETP process.
  • Examples 30, 31 and 14 show that the residual stress can be varied over a wide range from about 420 MPa to about ⁇ 13 Mpa.
  • Examples 29, 30 as compared to example 19 show that the deposition rate can also be increased by about 4 ⁇ from about 0.7 to about 2.8 microns per minute. These deposition rates do not represent the limits of the ETP process but were instead limited only by the vapor mass flow controller used. These deposition rates are a factor of 10-100X faster than standard PECVD processes.
  • Examples 10, 11, and 12 show that the etch rate of the AlN can also be varied by a Factor of 5 from 3 to 15/min.
  • a silicon wafer is coated in a manner similar to that in Example 9 except that oxygen is purposefully introduced into the reaction chamber.
  • the flow rate of oxygen is 0.2 lpm.
  • the refractive index of the protective coating is 1.59, which is approximately similar to that of Al 2 O 3 .
  • Silicon is coated in a manner similar to that in Example 5.
  • the time period for the coatings is 0.57 minutes to obtain 0.40 and 0.43 ⁇ m thick protective coatings respectively.
  • the coatings show similar intrinsic compressive stress despite the large range of deposition temperatures.
  • Example 18 PBN/Graphite and TaC/graphite samples are coated in the manner similar to that of Example 16.
  • the subsrate temperature is set at 660° C. to demonstrate the ability to form thick, crystalline AlN coating on material substrates of interest.
  • the coating does not crack or delaminate from the substrate upon cooling to room temperature indicating a low tensile/compressive stressed coating.
  • X-ray diffraction shows that the sample is highly crystalline (substantially crystalline).
  • the adherence of the coating to the subsrate is greatly enhanced as evidenced by no signs of spalling or delamination even after multiple thermal cycling up to 800° C.
  • Table 1 summarizes the results including coating thickness, residual stress (wherein positive value is tensile; negative value is compressive), refractive index, etch rate for each of these coatings, and calculated intrinsic stresses in films.
  • positive value is tensile; negative value is compressive
  • refractive index is calculated from the coefficients of thermal expansion for AlN and Si respectively.
  • 330 GPa is used for the value of Youngs modulus of AlN.
  • PBN/graphite and TaC/graphite samples are coated in a manner similar to that in Example 5.
  • Tensile pull tests are performed on the samples to study the adhesion between AlN films and substrates.
  • the pull test shows tensile strength of 3100 PSI before the sample fails. The failed interface is within the graphite substrate.
  • the pull test shows tensile strength of 525 PSI before the sample fails. The failed interface is within the PBN layer.
  • the data indicates that the adhesive strength of AlN to underlying layers/substrates (i.e., TaC/graphite and PBN/graphite of the examples) exceeds the cohesive strength of these layers/substrates.
  • a protective coating of AlN is manufactured using ion plating.
  • aluminum metal is ionized in the presence of an argon plasma.
  • the argon flow rate is varied in an amount of about 5-15 standard cubic centimeters (sccm).
  • a radio frequency source having a power of 800 watts (W) is used to ionize the aluminum metal.
  • Nitrogen gas is introduced into the reaction chamber at a flow rate of 40 cm 3 and becomes ionized in the plasma.
  • the process temperature is adjusted to be 170° C.
  • the pressure in the reaction chamber is adjusted to 5 ⁇ 10 ⁇ 4 Torr.
  • the deposition rate for the AlN is 0.15 to 0.22 ⁇ m/hour.
  • This example is conducted using hot plates to verify that a suitable chucking force is obtained for a PBN electrostatic chuck that is coated with AlN.
  • a 200 mm (8 inch) diameter silicon wafer is placed on a PBN electrostatic chuck (dipole chuck) of nominally the same diameter.
  • the chuck contains 2 spiral PG electrodes that are used to create the electric field.
  • a direct current is applied using a chucking voltage of 2 kV.
  • a voltage of +1 kV is applied to one electrode while a voltage of ⁇ 1 kV is applied to the other.
  • the force required to lift the silicon wafer off the hot plate is measured at 3500 grams.
  • AlN coating the hot plate the same test showed that the chucking force is 3000 grams. In both cases, the silicon wafer can be immediately de-clamped by turning off the applied voltage.
  • This example is conducted to determine the effect of thermal cycling on the AlN coating deposited by ion plating.
  • a 200 mm PBN-based hot plate is overcoated with 1.5 ⁇ m of AlN by ion plating. The surface is examined with an optical microscope at a magnification of 150 ⁇ and no cracks are observed.
  • the hot plate is heated to 200° C. and held for 1 hour, then cooled to room temperature.
  • the sample is then viewed using optical microscopy (150 ⁇ ), and again no cracks are observed.
  • the hot plate is then heated to 400° C. and held for one hour. Again, no cracking is observed by optical microscopy at 150 ⁇ .
  • This example is to demonstrate the effect of process conditions on stress.
  • the argon flow rate is reduced from 15 sccm to 5 sccm. Both samples are viewed using scanning electron microscopy (SEM) at a magnification of 1000 ⁇ .
  • SEM scanning electron microscopy
  • the AlN coating is generally applied to samples by biasing an iron plate (cathode).
  • an iron plate cathode
  • vertical features on the substrate such as for example, thermocouples wells, through holes for mounting are usually never adequately coated.
  • the cathode and the graphite substrate are placed in electrical communication with one another as illustrated in FIG. 7 .
  • a hole is made through a 13.8mm thick PBN-coated graphite plate, and coverage inside the hole is measured using SEM. All thicknesses are normalized to the thickness on the horizontal surface just outside the hole. Results show that by biasing the graphite using a voltage 300V, the inside of the hole has a thickness corresponding to 85% of the horizontal thickness. Likewise, halfway down the hole, the thickness is 65% of horizontal while at the base of the hole it is 45% of horizontal.
  • This example is undertaken to determine the crystalline content of the AlN sample.
  • An AlN protective coating deposited on a silicon wafer by ion plating is measured using XRD. This diffraction pattern is compared with diffraction patterns obtained for a silicon wafer alone and also for a sample containing sintered AlN. The XRD results are shown in FIGS. 8, 9 and 10 .
  • FIG. 8 shows the XRD pattern derived from the silicon wafer.
  • the peak seen in the FIG. 8 is due to silicon.
  • FIG. 9 shows the XRD pattern from the AlN protective coating deposited by ion plating on a silicon substrate.
  • FIG. 9 shows only a single peak. This peak is in the same position as the peak in FIG. 8 indicating that the peak is due to the silicon substrate.
  • the lack of any peaks for the AlN coating illustrates that the coating is amorphous.
  • FIG. 10 shows a comparative XRD pattern obtained from sintered AlN. This pattern contains multiple peaks indicating that the AlN obtained by sintering is crystalline in nature. Thus AlN deposited by ion plating is amorphous.
  • the stress in the AlN protective coating is measured using ellipsometry.
  • the protective coating is deposited by ion plating on a 100 mm diameter silicon wafer as described above.
  • the protective coating has a thickness of 2.338 ⁇ m.
  • the measured stress in the AlN protective coating is compressive having a value of about 50 MPa.
  • a 100 millimeter diameter PBN-based hot plate containing both a heating element and an electrode for electrostatic clamping is manufactured.
  • Two different parts are prepared.
  • the first part is used as a comparative example, made of a construction consisting of a graphite core a base coating of PBN, a patterned PG electrode, and a C-doped PBN overcoat.
  • the second part has a similar construction, but with a protective top coating of AlN formed by ion plating.
  • the thickness of the protective coating is 3 ⁇ m.
  • Silicon wafers are chucked to the hot plate for 60 seconds using ⁇ 0.3 kV for the C-PBN heater and ⁇ 1.8 kV for the AlN coated heater. The test is performed at 200° C. and 400° C. Particles are generated on the backside of the silicon wafer, counted and sorted by particle size.

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Ceramic Engineering (AREA)
  • Materials Engineering (AREA)
  • Organic Chemistry (AREA)
  • Structural Engineering (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Inorganic Chemistry (AREA)
  • General Chemical & Material Sciences (AREA)
  • Physics & Mathematics (AREA)
  • Plasma & Fusion (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)
  • Chemical Vapour Deposition (AREA)
  • Drying Of Semiconductors (AREA)
US11/175,236 2004-07-07 2005-07-06 Protective coating on a substrate and method of making thereof Abandoned US20060165994A1 (en)

Priority Applications (2)

Application Number Priority Date Filing Date Title
US11/175,236 US20060165994A1 (en) 2004-07-07 2005-07-06 Protective coating on a substrate and method of making thereof
US11/249,085 US8247080B2 (en) 2004-07-07 2005-10-12 Coating structure and method

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US58605904P 2004-07-07 2004-07-07
US11/175,236 US20060165994A1 (en) 2004-07-07 2005-07-06 Protective coating on a substrate and method of making thereof

Related Child Applications (1)

Application Number Title Priority Date Filing Date
US11/249,085 Continuation-In-Part US8247080B2 (en) 2004-07-07 2005-10-12 Coating structure and method

Publications (1)

Publication Number Publication Date
US20060165994A1 true US20060165994A1 (en) 2006-07-27

Family

ID=35542147

Family Applications (3)

Application Number Title Priority Date Filing Date
US11/175,236 Abandoned US20060165994A1 (en) 2004-07-07 2005-07-06 Protective coating on a substrate and method of making thereof
US11/175,237 Abandoned US20060008676A1 (en) 2004-07-07 2005-07-06 Protective coating on a substrate and method of making thereof
US11/249,085 Expired - Fee Related US8247080B2 (en) 2004-07-07 2005-10-12 Coating structure and method

Family Applications After (2)

Application Number Title Priority Date Filing Date
US11/175,237 Abandoned US20060008676A1 (en) 2004-07-07 2005-07-06 Protective coating on a substrate and method of making thereof
US11/249,085 Expired - Fee Related US8247080B2 (en) 2004-07-07 2005-10-12 Coating structure and method

Country Status (4)

Country Link
US (3) US20060165994A1 (de)
CN (2) CN101048531A (de)
DE (1) DE112005001601T5 (de)
WO (2) WO2006017070A2 (de)

Cited By (13)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20060008676A1 (en) * 2004-07-07 2006-01-12 General Electric Company Protective coating on a substrate and method of making thereof
US20060214539A1 (en) * 2005-03-24 2006-09-28 Kei Sato Piezoelectric resonator element and method of manufacturing same
US20090308454A1 (en) * 2008-06-12 2009-12-17 General Electric Company, A New York Corporation Insulating coating, methods of manufacture thereof and articles comprising the same
US20100236607A1 (en) * 2008-06-12 2010-09-23 General Electric Company Monolithically integrated solar modules and methods of manufacture
US20120152902A1 (en) * 2010-11-18 2012-06-21 Walavalkar Sameer Forming nanometer-sized patterns by electron microscopy
US20140353518A1 (en) * 2013-05-31 2014-12-04 Sen Corporation Insulation structure and insulation method
TWI471430B (zh) * 2010-08-25 2015-02-01 Hon Hai Prec Ind Co Ltd 鋁合金表面防腐處理方法及其製品
US20180174800A1 (en) * 2016-12-15 2018-06-21 Toyota Jidosha Kabushiki Kaisha Plasma device
US10385455B2 (en) * 2016-04-25 2019-08-20 Toyota Jidosha Kabushiki Kaisha Film forming apparatus and film forming method
WO2020072305A1 (en) * 2018-10-05 2020-04-09 Lam Research Corporation Plasma processing chamber
US11315767B2 (en) 2017-09-25 2022-04-26 Toyota Jidosha Kabushiki Kaisha Plasma processing apparatus
US11591689B2 (en) * 2019-02-25 2023-02-28 Applied Materials, Inc. Method for fabricating chamber parts
WO2024064494A1 (en) * 2022-09-19 2024-03-28 Lam Research Corporation Plasma-exposed parts comprising an etch-resistant material

Families Citing this family (130)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR101329630B1 (ko) 2006-04-13 2013-11-14 신에쓰 가가꾸 고교 가부시끼가이샤 가열소자
US20080050522A1 (en) * 2006-08-23 2008-02-28 Atomic Energy Council-Institute Of Nuclear Energy Research Preparative method for protective layer of susceptor
DE102006039451A1 (de) * 2006-08-24 2008-03-13 Oc Oerlikon Balzers Ag Farbrad
US7839587B2 (en) * 2006-08-24 2010-11-23 Oerlikon Trading Ag, Trubbach Color wheel
US20080141938A1 (en) * 2006-12-13 2008-06-19 General Electric Company Processing apparatus, coated article and method
JP2010514936A (ja) * 2006-12-28 2010-05-06 エクスアテック、エル.エル.シー. コーティングを安定化させるための方法及び装置
US20090115060A1 (en) * 2007-11-01 2009-05-07 Infineon Technologies Ag Integrated circuit device and method
JP5514058B2 (ja) * 2009-09-18 2014-06-04 ローム・アンド・ハース・エレクトロニック・マテリアルズ,エル.エル.シー. 耐久性物品の製造方法
CA2777666C (en) 2009-10-13 2021-01-05 National Institute Of Aerospace Associates Energy conversion materials fabricated with boron nitride nanotubes (bnnts) and bnnt polymer composites
KR20110049218A (ko) * 2009-11-04 2011-05-12 삼성전자주식회사 태양 전지 및 그 제조 방법
CN102918629A (zh) * 2010-05-28 2013-02-06 艾克塞利斯科技公司 用于静电夹具的匹配的热膨胀系数
JP2012087392A (ja) * 2010-10-22 2012-05-10 Shin-Etsu Chemical Co Ltd 窒化アルミニウム膜の成膜方法
TWI477636B (zh) * 2010-12-30 2015-03-21 Hon Hai Prec Ind Co Ltd 鋁及鋁合金表面防腐處理方法及其鋁製品
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
TWI426153B (zh) * 2011-01-19 2014-02-11 Hon Hai Prec Ind Co Ltd 鍍膜件及其製備方法
US8574728B2 (en) 2011-03-15 2013-11-05 Kennametal Inc. Aluminum oxynitride coated article and method of making the same
KR101867646B1 (ko) * 2011-06-30 2018-06-14 모멘티브 파포만스 마테리아루즈 쟈판 고도가이샤 추적성이 표시된 제품 및 제품의 추적성을 표시하는 방법
CN102903613B (zh) * 2011-07-25 2016-05-18 中国科学院微电子研究所 消除接触孔工艺中桥接的方法
CN103918065A (zh) 2011-08-10 2014-07-09 恩特格林斯公司 具有视需要氧化钇覆盖层的经AlON涂布的基质
JP5915026B2 (ja) * 2011-08-26 2016-05-11 住友大阪セメント株式会社 温度測定用板状体及びそれを備えた温度測定装置
WO2013074134A1 (en) 2011-11-17 2013-05-23 National Institute Of Aerospace Associates Radiation shielding materials containing hydrogen, boron and nitrogen
US9034199B2 (en) 2012-02-21 2015-05-19 Applied Materials, Inc. Ceramic article with reduced surface defect density and process for producing a ceramic article
US9212099B2 (en) 2012-02-22 2015-12-15 Applied Materials, Inc. Heat treated ceramic substrate having ceramic coating and heat treatment for coated ceramics
US9845269B2 (en) * 2012-03-30 2017-12-19 National Institute Of Aerospace Associates Multi-functional BN—BN composite
WO2013155462A1 (en) * 2012-04-12 2013-10-17 The Regents Of The University Of Colorado, A Body Corporate Multi-layer structure including an interlayer to reduce stress in the structure and method of forming same
US9373517B2 (en) 2012-08-02 2016-06-21 Applied Materials, Inc. Semiconductor processing with DC assisted RF power for improved control
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
US9017809B2 (en) 2013-01-25 2015-04-28 Kennametal Inc. Coatings for cutting tools
US9138864B2 (en) 2013-01-25 2015-09-22 Kennametal Inc. Green colored refractory coatings for cutting tools
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US9362130B2 (en) 2013-03-01 2016-06-07 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
US9850568B2 (en) 2013-06-20 2017-12-26 Applied Materials, Inc. Plasma erosion resistant rare-earth oxide based thin film coatings
US9711334B2 (en) 2013-07-19 2017-07-18 Applied Materials, Inc. Ion assisted deposition for rare-earth oxide based thin film coatings on process rings
US9583369B2 (en) 2013-07-20 2017-02-28 Applied Materials, Inc. Ion assisted deposition for rare-earth oxide based coatings on lids and nozzles
US9427808B2 (en) 2013-08-30 2016-08-30 Kennametal Inc. Refractory coatings for cutting tools
JP6273188B2 (ja) * 2013-10-31 2018-01-31 東京エレクトロン株式会社 プラズマ処理方法
US9725799B2 (en) 2013-12-06 2017-08-08 Applied Materials, Inc. Ion beam sputtering with ion assisted deposition for coatings on chamber components
US9804058B2 (en) 2014-02-27 2017-10-31 Pratt & Whitney Canada Corp. Method of facilitating visual detection of a crack in a component of a gas turbine engine
US9804309B1 (en) * 2014-04-22 2017-10-31 Kla-Tencor Corporation Reducing extrinsic stress in thin film optical mirrors and filters for deep ultraviolet
US9869013B2 (en) 2014-04-25 2018-01-16 Applied Materials, Inc. Ion assisted deposition top coat of rare-earth oxide
US9309598B2 (en) 2014-05-28 2016-04-12 Applied Materials, Inc. Oxide and metal removal
CN104177128B (zh) * 2014-08-08 2016-12-07 苏州宏久航空防热材料科技有限公司 一种复合陶瓷石墨电极
US9355922B2 (en) 2014-10-14 2016-05-31 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
EP3209734A4 (de) * 2014-10-21 2017-11-29 Oreltech Ltd. Verfahren zur bildung eines strukturierten metallfilms auf einem substrat
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
US10224210B2 (en) 2014-12-09 2019-03-05 Applied Materials, Inc. Plasma processing system with direct outlet toroidal plasma source
US11257693B2 (en) 2015-01-09 2022-02-22 Applied Materials, Inc. Methods and systems to improve pedestal temperature control
US9728437B2 (en) 2015-02-03 2017-08-08 Applied Materials, Inc. High temperature chuck for plasma processing systems
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
WO2016148739A1 (en) 2015-03-18 2016-09-22 Entegris, Inc. Articles coated with fluoro-annealed films
JP6510356B2 (ja) * 2015-07-30 2019-05-08 モメンティブ・パフォーマンス・マテリアルズ・ジャパン合同会社 ウエハ支持装置
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US9691645B2 (en) 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US9349605B1 (en) 2015-08-07 2016-05-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10522371B2 (en) 2016-05-19 2019-12-31 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
JP6322669B2 (ja) * 2016-06-23 2018-05-09 株式会社アルバック 応力調整方法
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
US10062575B2 (en) 2016-09-09 2018-08-28 Applied Materials, Inc. Poly directional etch by oxidation
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US10062585B2 (en) 2016-10-04 2018-08-28 Applied Materials, Inc. Oxygen compatible plasma source
US9934942B1 (en) 2016-10-04 2018-04-03 Applied Materials, Inc. Chamber with flow-through source
US10062579B2 (en) 2016-10-07 2018-08-28 Applied Materials, Inc. Selective SiN lateral recess
US9768034B1 (en) 2016-11-11 2017-09-19 Applied Materials, Inc. Removal methods for high aspect ratio structures
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US10242908B2 (en) 2016-11-14 2019-03-26 Applied Materials, Inc. Airgap formation with damage-free copper
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
US10566206B2 (en) 2016-12-27 2020-02-18 Applied Materials, Inc. Systems and methods for anisotropic material breakthrough
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10403507B2 (en) 2017-02-03 2019-09-03 Applied Materials, Inc. Shaped etch profile with oxidation
US10043684B1 (en) 2017-02-06 2018-08-07 Applied Materials, Inc. Self-limiting atomic thermal etching systems and methods
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
US10319649B2 (en) 2017-04-11 2019-06-11 Applied Materials, Inc. Optical emission spectroscopy (OES) for remote plasma monitoring
WO2018213295A1 (en) * 2017-05-15 2018-11-22 Tokyo Electron Limited In-situ selective deposition and etching for advanced patterning applications
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
CN107105605B (zh) * 2017-05-26 2019-08-06 爱克奇换热技术(太仓)有限公司 一种散热水冷板及其制作方法
US10497579B2 (en) 2017-05-31 2019-12-03 Applied Materials, Inc. Water-free etching methods
US10049891B1 (en) 2017-05-31 2018-08-14 Applied Materials, Inc. Selective in situ cobalt residue removal
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US10541184B2 (en) 2017-07-11 2020-01-21 Applied Materials, Inc. Optical emission spectroscopic techniques for monitoring etching
US10354889B2 (en) 2017-07-17 2019-07-16 Applied Materials, Inc. Non-halogen etching of silicon-containing materials
DE102017213181A1 (de) * 2017-07-31 2019-01-31 Carl Zeiss Smt Gmbh Optische Anordnung für EUV-Strahlung mit einer Abschirmung zum Schutz vor der Ätzwirkung eines Plasmas
US10043674B1 (en) 2017-08-04 2018-08-07 Applied Materials, Inc. Germanium etching systems and methods
US10170336B1 (en) 2017-08-04 2019-01-01 Applied Materials, Inc. Methods for anisotropic control of selective silicon removal
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
KR102016615B1 (ko) * 2017-09-14 2019-08-30 (주)코미코 내플라즈마 특성이 향상된 플라즈마 에칭 장치용 부재 및 그 제조 방법
US10283324B1 (en) 2017-10-24 2019-05-07 Applied Materials, Inc. Oxygen treatment for nitride etching
US10424487B2 (en) 2017-10-24 2019-09-24 Applied Materials, Inc. Atomic layer etching processes
US10128086B1 (en) 2017-10-24 2018-11-13 Applied Materials, Inc. Silicon pretreatment for nitride removal
CN108760254B (zh) * 2017-10-25 2020-05-12 上海四旻机电科技有限公司 转换开关测试装置
US10256112B1 (en) 2017-12-08 2019-04-09 Applied Materials, Inc. Selective tungsten removal
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
TWI716818B (zh) 2018-02-28 2021-01-21 美商應用材料股份有限公司 形成氣隙的系統及方法
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10497573B2 (en) 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
US10490406B2 (en) 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
US11639547B2 (en) * 2018-05-03 2023-05-02 Applied Materials, Inc. Halogen resistant coatings and methods of making and using thereof
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
CN108863443B (zh) * 2018-07-10 2021-05-14 山东国晶新材料有限公司 一种平面复合加热器的制备方法
WO2020188313A2 (en) * 2018-07-10 2020-09-24 Next Biometrics Group Asa Thermally conductive and protective coating for electronic device
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
CN109370541B (zh) * 2018-09-29 2021-06-01 江苏墨泰新材料有限公司 石墨-陶瓷复合导热膜及其制备方法和应用
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes
WO2021237071A1 (en) * 2020-05-22 2021-11-25 Momentive Performance Quartz, Inc. Coating for heaters and crucibles
CN113481476B (zh) * 2021-06-11 2023-07-21 武汉大学 一种耐高温AlN/ZnO纳米复合压电涂层及其制备方法
CN115558892A (zh) * 2022-10-14 2023-01-03 上海奥莱雅康医疗科技有限公司 一种含铂的涂层

Citations (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4474849A (en) * 1981-10-01 1984-10-02 Sumitomo Electric Industries, Ltd. Coated hard alloys
US4619865A (en) * 1984-07-02 1986-10-28 Energy Conversion Devices, Inc. Multilayer coating and method
US4788167A (en) * 1986-11-20 1988-11-29 Minnesota Mining And Manufacturing Company Aluminum nitride/aluminum oxynitride/group IVB metal nitride abrasive particles derived from a sol-gel process
US5691260A (en) * 1994-12-30 1997-11-25 Denki Kagaku Kogyo Kabushiki Kaisha Cubic system boron nitride sintered body for a cutting tool
US5924904A (en) * 1994-09-28 1999-07-20 Matsushita Electric Industrial Co., Ltd. Method for manufacturing a discharge tube body for high-pressure discharge lamps and method for manufacturing a hollow tube body
US6342748B1 (en) * 1998-11-02 2002-01-29 Ngk Insulators, Ltd. Surface acoustic wave device, substrate therefor and method of manufacturing the substrate
US6673430B2 (en) * 1997-11-06 2004-01-06 Sandvik Ab PVD Al2O3 coated cutting tool

Family Cites Families (32)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3278319A (en) * 1962-08-06 1966-10-11 Pittsburgh Plate Glass Co Phototropic glass and method
SU424449A1 (ru) * 1971-01-05 1974-05-15 Катод для реактивного распыления кремния
US4264803A (en) * 1978-01-10 1981-04-28 Union Carbide Corporation Resistance-heated pyrolytic boron nitride coated graphite boat for metal vaporization
US4374903A (en) * 1980-06-09 1983-02-22 Advanced Technology, Inc. Metal coatings or metal sandwiches with boron nitride or titanium diboride substrates
JPS62123094A (ja) 1985-11-22 1987-06-04 Denki Kagaku Kogyo Kk 3―5属化合物半導体気相成長用サセプタ
US4980730A (en) * 1987-05-01 1990-12-25 National Institute For Research In Organic Materials Light emitting element of cubic boron nitride
US5527596A (en) * 1990-09-27 1996-06-18 Diamonex, Incorporated Abrasion wear resistant coated substrate product
US5350720A (en) * 1991-03-18 1994-09-27 Shin-Etsu Chemical Co., Ltd. Triple-layered ceramic heater
US5146481A (en) * 1991-06-25 1992-09-08 Diwakar Garg Diamond membranes for X-ray lithography
JPH05238855A (ja) 1992-02-28 1993-09-17 Tokyo Electric Power Co Inc:The セラミックコーティング部材の製造方法
JPH05238859A (ja) 1992-02-28 1993-09-17 Tokyo Electric Power Co Inc:The セラミックコーティング部材
JPH0661335A (ja) 1992-08-04 1994-03-04 Ulvac Japan Ltd 半導体製造装置用の基板保持プレート
US5332601A (en) * 1992-12-10 1994-07-26 The United States As Represented By The United States Department Of Energy Method of fabricating silicon carbide coatings on graphite surfaces
JP2664866B2 (ja) * 1993-04-09 1997-10-22 インターナショナル・ビジネス・マシーンズ・コーポレイション 窒化ホウ素をエッチングする方法
US6033483A (en) * 1994-06-30 2000-03-07 Applied Materials, Inc. Electrically insulating sealing structure and its method of use in a high vacuum physical vapor deposition apparatus
WO1997001436A1 (en) 1995-06-26 1997-01-16 General Electric Company Protected thermal barrier coating composite with multiple coatings
JPH1067584A (ja) * 1996-08-23 1998-03-10 Shin Etsu Chem Co Ltd 反応容器
US6132843A (en) * 1996-11-14 2000-10-17 Nippon Sheet Glass Do., Ltd. Glass substrate for magnetic disks
JP3362113B2 (ja) 1997-07-15 2003-01-07 日本碍子株式会社 耐蝕性部材、ウエハー設置部材および耐蝕性部材の製造方法
JP3949268B2 (ja) 1998-04-20 2007-07-25 日本碍子株式会社 耐食性セラミックス部材
JPH11354260A (ja) 1998-06-11 1999-12-24 Shin Etsu Chem Co Ltd 複層セラミックスヒータ
US6187453B1 (en) 1998-07-17 2001-02-13 United Technologies Corporation Article having a durable ceramic coating
JP2000345319A (ja) * 1999-05-31 2000-12-12 Tokyo Electron Ltd 透過窓の製造方法、透過窓及びこれを用いた処理装置
US6410172B1 (en) * 1999-11-23 2002-06-25 Advanced Ceramics Corporation Articles coated with aluminum nitride by chemical vapor deposition
JP2002038252A (ja) 2000-07-27 2002-02-06 Ngk Insulators Ltd 耐熱性構造体、耐ハロゲン系腐食性ガス材料および耐ハロゲン系腐食性ガス性構造体
JP4277973B2 (ja) 2001-07-19 2009-06-10 日本碍子株式会社 イットリア−アルミナ複合酸化物膜の製造方法、イットリア−アルミナ複合酸化物膜および耐蝕性部材
US6627323B2 (en) 2002-02-19 2003-09-30 General Electric Company Thermal barrier coating resistant to deposits and coating method therefor
JP2003277051A (ja) 2002-03-22 2003-10-02 Ngk Insulators Ltd イットリア−アルミナ複合酸化物膜を有する積層体、イットリア−アルミナ複合酸化物膜、耐蝕性部材、耐蝕性膜およびイットリア−アルミナ複合酸化物膜の製造方法
JP2004002101A (ja) * 2002-05-31 2004-01-08 Toshiba Ceramics Co Ltd 耐プラズマ性部材及びその製造方法
US7535100B2 (en) * 2002-07-12 2009-05-19 The United States Of America As Represented By The Secretary Of The Navy Wafer bonding of thinned electronic materials and circuits to high performance substrates
JP4136648B2 (ja) 2002-12-26 2008-08-20 日本碍子株式会社 異種材料接合体及びその製造方法
US20060165994A1 (en) * 2004-07-07 2006-07-27 General Electric Company Protective coating on a substrate and method of making thereof

Patent Citations (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4474849A (en) * 1981-10-01 1984-10-02 Sumitomo Electric Industries, Ltd. Coated hard alloys
US4619865A (en) * 1984-07-02 1986-10-28 Energy Conversion Devices, Inc. Multilayer coating and method
US4788167A (en) * 1986-11-20 1988-11-29 Minnesota Mining And Manufacturing Company Aluminum nitride/aluminum oxynitride/group IVB metal nitride abrasive particles derived from a sol-gel process
US5924904A (en) * 1994-09-28 1999-07-20 Matsushita Electric Industrial Co., Ltd. Method for manufacturing a discharge tube body for high-pressure discharge lamps and method for manufacturing a hollow tube body
US5691260A (en) * 1994-12-30 1997-11-25 Denki Kagaku Kogyo Kabushiki Kaisha Cubic system boron nitride sintered body for a cutting tool
US6673430B2 (en) * 1997-11-06 2004-01-06 Sandvik Ab PVD Al2O3 coated cutting tool
US6342748B1 (en) * 1998-11-02 2002-01-29 Ngk Insulators, Ltd. Surface acoustic wave device, substrate therefor and method of manufacturing the substrate

Cited By (18)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20060008676A1 (en) * 2004-07-07 2006-01-12 General Electric Company Protective coating on a substrate and method of making thereof
US20060214539A1 (en) * 2005-03-24 2006-09-28 Kei Sato Piezoelectric resonator element and method of manufacturing same
US7477001B2 (en) * 2005-03-24 2009-01-13 Sony Corporation Piezoelectric resonator element and method of manufacturing same
US20090308454A1 (en) * 2008-06-12 2009-12-17 General Electric Company, A New York Corporation Insulating coating, methods of manufacture thereof and articles comprising the same
US20100236607A1 (en) * 2008-06-12 2010-09-23 General Electric Company Monolithically integrated solar modules and methods of manufacture
TWI471430B (zh) * 2010-08-25 2015-02-01 Hon Hai Prec Ind Co Ltd 鋁合金表面防腐處理方法及其製品
US8568605B2 (en) * 2010-11-18 2013-10-29 California Institute Of Technology Forming nanometer-sized patterns by electron microscopy
US20120152902A1 (en) * 2010-11-18 2012-06-21 Walavalkar Sameer Forming nanometer-sized patterns by electron microscopy
US20140353518A1 (en) * 2013-05-31 2014-12-04 Sen Corporation Insulation structure and insulation method
US9281160B2 (en) * 2013-05-31 2016-03-08 Sumitomo Heavy Industries Ion Technology Co., Ltd. Insulation structure and insulation method
US10385455B2 (en) * 2016-04-25 2019-08-20 Toyota Jidosha Kabushiki Kaisha Film forming apparatus and film forming method
US20180174800A1 (en) * 2016-12-15 2018-06-21 Toyota Jidosha Kabushiki Kaisha Plasma device
US11251019B2 (en) * 2016-12-15 2022-02-15 Toyota Jidosha Kabushiki Kaisha Plasma device
US11315767B2 (en) 2017-09-25 2022-04-26 Toyota Jidosha Kabushiki Kaisha Plasma processing apparatus
WO2020072305A1 (en) * 2018-10-05 2020-04-09 Lam Research Corporation Plasma processing chamber
US11591689B2 (en) * 2019-02-25 2023-02-28 Applied Materials, Inc. Method for fabricating chamber parts
US20230167543A1 (en) * 2019-02-25 2023-06-01 Applied Materials, Inc. Method for fabricating chamber parts
WO2024064494A1 (en) * 2022-09-19 2024-03-28 Lam Research Corporation Plasma-exposed parts comprising an etch-resistant material

Also Published As

Publication number Publication date
US20060051602A1 (en) 2006-03-09
CN101048531A (zh) 2007-10-03
WO2006017070A2 (en) 2006-02-16
WO2006017070A3 (en) 2006-06-15
US8247080B2 (en) 2012-08-21
WO2006005067A3 (en) 2006-04-06
DE112005001601T5 (de) 2007-05-16
WO2006005067A2 (en) 2006-01-12
CN101076614A (zh) 2007-11-21
US20060008676A1 (en) 2006-01-12

Similar Documents

Publication Publication Date Title
US20060165994A1 (en) Protective coating on a substrate and method of making thereof
US7446284B2 (en) Etch resistant wafer processing apparatus and method for producing the same
CN107916399B (zh) 稀土氧化物的顶部涂层的离子辅助沉积
KR102586972B1 (ko) 플라즈마 내침식성 희토류 옥사이드 기반 박막 코팅
KR100853972B1 (ko) 반응기 벽체 상의 다이아몬드 코팅막 및 그 제조방법
JP2007016272A (ja) 基板上に被覆形成される保護膜及びその製造方法
US10612121B2 (en) Plasma resistant coating with tailorable coefficient of thermal expansion
US11401599B2 (en) Erosion resistant metal silicate coatings
KR20030066756A (ko) 반도체 공정 설비의 보론 나이트라이드/이트리아 복합체부품 및 그 제조방법
WO2010147856A2 (en) Sealed plasma coatings
JP2007516921A (ja) 半導体材料処理装置におけるイットリアでコーティングされたセラミック部品及びその部品を製造する方法
KR101998440B1 (ko) 선택적 이트리아 상부층을 가지는 AlON 피복 기판
US20190136372A1 (en) Atomic layer deposition coatings for high temperature heaters
US20230348290A1 (en) Yttrium oxide based coating and bulk compositions
KR20070032049A (ko) 기판상의 보호 코팅 및 그의 제조 방법
KR20110117830A (ko) 내플라즈마 부재 및 그 제조방법
KR20070032050A (ko) 기판상의 보호 코팅 및 그의 제조 방법
TWI806656B (zh) 半導體製程設備部件及其製作方法
TW201334035A (zh) 抗電漿蝕刻膜,承載抗電漿蝕刻膜之物品及相關的方法
US20240175136A1 (en) Manufacturing method for graphene film
KR20230172414A (ko) 보호 코팅을 갖는 물품
Cheah et al. Development of a novel carbon based material for integrated passive application

Legal Events

Date Code Title Description
AS Assignment

Owner name: GENERAL ELECTRIC COMPANY, NEW YORK

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNORS:DALAKOS, GEORGE T.;EBATA, TOSHIKI;HUBBARD, PATRICIA;AND OTHERS;REEL/FRAME:016771/0152;SIGNING DATES FROM 20050701 TO 20050706

AS Assignment

Owner name: JPMORGAN CHASE BANK, N.A. AS ADMINISTRATIVE AGENT,

Free format text: SECURITY AGREEMENT;ASSIGNORS:MOMENTIVE PERFORMANCE MATERIALS HOLDINGS INC.;MOMENTIVE PERFORMANCE MATERIALS GMBH & CO. KG;MOMENTIVE PERFORMANCE MATERIALS JAPAN HOLDINGS GK;REEL/FRAME:019511/0166

Effective date: 20070228

AS Assignment

Owner name: THE BANK OF NEW YORK MELLON TRUST COMPANY, N.A., A

Free format text: SECURITY AGREEMENT;ASSIGNORS:MOMENTIVE PERFORMANCE MATERIALS, INC.;JUNIPER BOND HOLDINGS I LLC;JUNIPER BOND HOLDINGS II LLC;AND OTHERS;REEL/FRAME:022902/0461

Effective date: 20090615

STCB Information on status: application discontinuation

Free format text: ABANDONED -- FAILURE TO RESPOND TO AN OFFICE ACTION

AS Assignment

Owner name: MOMENTIVE PERFORMANCE MATERIALS JAPAN HOLDINGS GK, JAPAN

Free format text: RELEASE BY SECURED PARTY;ASSIGNOR:JPMORGAN CHASE BANK, N.A., AS ADMINISTRATIVE AGENT;REEL/FRAME:054387/0001

Effective date: 20201102

Owner name: MOMENTIVE PERFORMANCE MATERIALS INC., NEW YORK

Free format text: RELEASE BY SECURED PARTY;ASSIGNOR:JPMORGAN CHASE BANK, N.A., AS ADMINISTRATIVE AGENT;REEL/FRAME:054387/0001

Effective date: 20201102

Owner name: MOMENTIVE PERFORMANCE MATERIALS GMBH & CO KG, GERMANY

Free format text: RELEASE BY SECURED PARTY;ASSIGNOR:JPMORGAN CHASE BANK, N.A., AS ADMINISTRATIVE AGENT;REEL/FRAME:054387/0001

Effective date: 20201102

AS Assignment

Owner name: MOMENTIVE PERFORMANCE MATERIALS INC., NEW YORK

Free format text: RELEASE BY SECURED PARTY;ASSIGNOR:THE BANK OF NEW YORK MELLON TRUST COMPANY, N.A., AS COLLATERAL AGENT;REEL/FRAME:054883/0855

Effective date: 20201222