TWI709139B - 三維記憶體件中的記憶體內計算 - Google Patents

三維記憶體件中的記憶體內計算 Download PDF

Info

Publication number
TWI709139B
TWI709139B TW108144025A TW108144025A TWI709139B TW I709139 B TWI709139 B TW I709139B TW 108144025 A TW108144025 A TW 108144025A TW 108144025 A TW108144025 A TW 108144025A TW I709139 B TWI709139 B TW I709139B
Authority
TW
Taiwan
Prior art keywords
data
data processing
memory device
layer
processing circuit
Prior art date
Application number
TW108144025A
Other languages
English (en)
Other versions
TW202101471A (zh
Inventor
楊盛瑋
仲儀 夏
韓坤
Original Assignee
大陸商長江存儲科技有限責任公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 大陸商長江存儲科技有限責任公司 filed Critical 大陸商長江存儲科技有限責任公司
Application granted granted Critical
Publication of TWI709139B publication Critical patent/TWI709139B/zh
Publication of TW202101471A publication Critical patent/TW202101471A/zh

Links

Images

Classifications

    • GPHYSICS
    • G11INFORMATION STORAGE
    • G11CSTATIC STORES
    • G11C5/00Details of stores covered by group G11C11/00
    • G11C5/02Disposition of storage elements, e.g. in the form of a matrix array
    • G11C5/025Geometric lay-out considerations of storage- and peripheral-blocks in a semiconductor storage device
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F15/00Digital computers in general; Data processing equipment in general
    • G06F15/76Architectures of general purpose stored program computers
    • G06F15/78Architectures of general purpose stored program computers comprising a single central processing unit
    • G06F15/7807System on chip, i.e. computer system on a single chip; System in package, i.e. computer system on one or more chips in a single package
    • G06F15/7821Tightly coupled to memory, e.g. computational memory, smart memory, processor in memory
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F3/00Input arrangements for transferring data to be processed into a form capable of being handled by the computer; Output arrangements for transferring data from processing unit to output unit, e.g. interface arrangements
    • G06F3/06Digital input from, or digital output to, record carriers, e.g. RAID, emulated record carriers or networked record carriers
    • G06F3/0601Interfaces specially adapted for storage systems
    • G06F3/0602Interfaces specially adapted for storage systems specifically adapted to achieve a particular effect
    • G06F3/061Improving I/O performance
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F3/00Input arrangements for transferring data to be processed into a form capable of being handled by the computer; Output arrangements for transferring data from processing unit to output unit, e.g. interface arrangements
    • G06F3/06Digital input from, or digital output to, record carriers, e.g. RAID, emulated record carriers or networked record carriers
    • G06F3/0601Interfaces specially adapted for storage systems
    • G06F3/0628Interfaces specially adapted for storage systems making use of a particular technique
    • G06F3/0655Vertical data movement, i.e. input-output transfer; data movement between one or more hosts and one or more storage devices
    • GPHYSICS
    • G11INFORMATION STORAGE
    • G11CSTATIC STORES
    • G11C16/00Erasable programmable read-only memories
    • G11C16/02Erasable programmable read-only memories electrically programmable
    • G11C16/06Auxiliary circuits, e.g. for writing into memory
    • G11C16/10Programming or data input circuits
    • GPHYSICS
    • G11INFORMATION STORAGE
    • G11CSTATIC STORES
    • G11C16/00Erasable programmable read-only memories
    • G11C16/02Erasable programmable read-only memories electrically programmable
    • G11C16/06Auxiliary circuits, e.g. for writing into memory
    • G11C16/26Sensing or reading circuits; Data output circuits
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L25/00Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof
    • H01L25/18Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof the devices being of types provided for in two or more different subgroups of the same main group of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B41/00Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates
    • H10B41/20Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates characterised by three-dimensional arrangements, e.g. with cells on different height levels
    • H10B41/23Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates characterised by three-dimensional arrangements, e.g. with cells on different height levels with source and drain on different levels, e.g. with sloping channels
    • H10B41/27Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates characterised by three-dimensional arrangements, e.g. with cells on different height levels with source and drain on different levels, e.g. with sloping channels the channels comprising vertical portions, e.g. U-shaped channels
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B41/00Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates
    • H10B41/40Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates characterised by the peripheral circuit region
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B41/00Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates
    • H10B41/40Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates characterised by the peripheral circuit region
    • H10B41/41Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates characterised by the peripheral circuit region of a memory region comprising a cell select transistor, e.g. NAND
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B43/00EEPROM devices comprising charge-trapping gate insulators
    • H10B43/20EEPROM devices comprising charge-trapping gate insulators characterised by three-dimensional arrangements, e.g. with cells on different height levels
    • H10B43/23EEPROM devices comprising charge-trapping gate insulators characterised by three-dimensional arrangements, e.g. with cells on different height levels with source and drain on different levels, e.g. with sloping channels
    • H10B43/27EEPROM devices comprising charge-trapping gate insulators characterised by three-dimensional arrangements, e.g. with cells on different height levels with source and drain on different levels, e.g. with sloping channels the channels comprising vertical portions, e.g. U-shaped channels
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B43/00EEPROM devices comprising charge-trapping gate insulators
    • H10B43/40EEPROM devices comprising charge-trapping gate insulators characterised by the peripheral circuit region
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F11/00Error detection; Error correction; Monitoring
    • G06F11/07Responding to the occurrence of a fault, e.g. fault tolerance
    • G06F11/08Error detection or correction by redundancy in data representation, e.g. by using checking codes
    • G06F11/10Adding special bits or symbols to the coded information, e.g. parity check, casting out 9's or 11's
    • G06F11/1008Adding special bits or symbols to the coded information, e.g. parity check, casting out 9's or 11's in individual solid state devices
    • G06F11/1068Adding special bits or symbols to the coded information, e.g. parity check, casting out 9's or 11's in individual solid state devices in sector programmable memories, e.g. flash disk
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F3/00Input arrangements for transferring data to be processed into a form capable of being handled by the computer; Output arrangements for transferring data from processing unit to output unit, e.g. interface arrangements
    • G06F3/06Digital input from, or digital output to, record carriers, e.g. RAID, emulated record carriers or networked record carriers
    • G06F3/0601Interfaces specially adapted for storage systems
    • G06F3/0668Interfaces specially adapted for storage systems adopting a particular infrastructure
    • G06F3/0671In-line storage system
    • G06F3/0673Single storage device
    • G06F3/0679Non-volatile semiconductor memory device, e.g. flash memory, one time programmable memory [OTP]
    • GPHYSICS
    • G11INFORMATION STORAGE
    • G11CSTATIC STORES
    • G11C16/00Erasable programmable read-only memories
    • G11C16/02Erasable programmable read-only memories electrically programmable
    • G11C16/04Erasable programmable read-only memories electrically programmable using variable threshold transistors, e.g. FAMOS
    • G11C16/0483Erasable programmable read-only memories electrically programmable using variable threshold transistors, e.g. FAMOS comprising cells having several storage transistors connected in series
    • GPHYSICS
    • G11INFORMATION STORAGE
    • G11CSTATIC STORES
    • G11C29/00Checking stores for correct operation ; Subsequent repair; Testing stores during standby or offline operation
    • G11C29/04Detection or location of defective memory elements, e.g. cell constructio details, timing of test signals
    • G11C29/08Functional testing, e.g. testing during refresh, power-on self testing [POST] or distributed testing
    • G11C29/12Built-in arrangements for testing, e.g. built-in self testing [BIST] or interconnection details
    • G11C29/38Response verification devices
    • G11C29/42Response verification devices using error correcting codes [ECC] or parity check

Landscapes

  • Engineering & Computer Science (AREA)
  • Theoretical Computer Science (AREA)
  • General Engineering & Computer Science (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Computer Hardware Design (AREA)
  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Computing Systems (AREA)
  • Human Computer Interaction (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Power Engineering (AREA)
  • Semiconductor Memories (AREA)
  • Non-Volatile Memory (AREA)
  • Image Generation (AREA)

Abstract

本公開提供一種三維記憶體件。示例性三維記憶體件包括三維反及儲存陣列和在同一晶粒上耦合到三維反及儲存陣列的晶粒上資料處理電路。該晶粒上資料處理電路從輸入/輸出介面接收用於對儲存在三維反及儲存陣列中的資料執行操作的控制指令。該晶粒上資料處理電路還基於該控制指令提取來自三維反及儲存陣列的資料,並對提取的資料執行操作。此外,該晶粒上資料處理電路將該操作結果返回到輸入/輸出介面。

Description

三維記憶體件中的記憶體內計算
本公開的實施例涉及三維(3D)記憶體件及其製造方法。
透過改進製程技術、電路設計、程式設計演算法、和製造製程,使平面儲存單元縮小到更小的尺寸。然而,隨著儲存單元的特徵尺寸接近下限,平面製程和製造技術變得具有挑戰性且昂貴。結果,平面儲存單元的儲存密度接近上限。
3D儲存架構能夠解決平面儲存單元中密度限制。3D儲存架構包括儲存陣列和用於控制進出該儲存陣列的訊號的周邊裝置。
本文中公開了具有晶粒上(on-die)資料處理能力的3D記憶體件的實施例。
在一個示例中,3D記憶體件包括3D NAND(反及)儲存陣列和在同一晶粒上耦合到所述3D NAND儲存陣列的晶粒上資料處理電路。其中晶粒上資料處理電路被配置為從輸入/輸出(I/O)介面接收用於對儲存在3D NAND儲存陣列中的資料執行操作的控制指令。晶粒上資料處理電路還配置為基於所述控 制指令從所述3D NAND儲存陣列提取所述資料以及對所提取的資料執行操作。 此外,晶粒上資料處理電路被配置為將所述操作的結果返回給I/O介面。
在另一個示例中,一種操作三維(3D)記憶體件上的資料處理單元的系統,包括:主機和具有複數個NAND儲存單元並且被耦合到所述主機的儲存單元。所述資料處理單元被耦合到同一晶片上的儲存單元,所述資料處理單元被配置為從所述主機接收用於對儲存在所述NAND儲存單元中的資料執行操作的控制指令。所述資料處理單元基於所述控制指令從所述NAND儲存單元提取資料,對所提取的資料執行所述操作。此外所述資料處理單元將所述操作的結果返回到所述主機。
在又一個示例中,一種用於操作三維(3D)記憶體件上的邏輯電路的方法,包括:從輸入/輸出(I/O)介面接收用於對儲存在3D記憶體件中的資料執行操作的控制指令。該方法還包括基於所述控制指令,與所述3D記憶體件處在同一晶片上的邏輯電路從所述3D記憶體件提取所述資料。該方法進一步包括由所述邏輯電路對所提取的資料執行所述操作和由所述邏輯電路將所述操作的結果返回I/O介面。
100、101、300、400、800:3D記憶體件
1000、1100:方法
1002~1010、1102~1120:步驟
102、302、402:第一半導體結構
104、304、404:第二半導體結構
106、306、406、702:鍵合界面
200:半導體結構
202:字元線驅動器
204、806:頁緩衝器
206、314、444、804:資料處理電路
308、408:基底
310、438、510:裝置層
312、442:周邊電路
316、446、504:周邊電晶體
318、448:電晶體
320、450、507:電極接觸部
322、332、426、436、512、620:互連層
324、328、428、432、514、622:鍵合層
326、330、430、434、516、624:鍵合接觸部
333、410、604:儲存堆疊層
334、412:導體層
336、414、608:介電層
338、416、610:3D NAND儲存串
340、418、614:儲存膜
342、420:半導體通道
344、346、422、424、612、618:插塞
348、440、616、704:半導體層
350、452、706:襯墊外互連層
352、454:接觸襯墊
354、456、710:接觸部
502、602:矽基底
506:邏輯電晶體
606:導電層
708:襯墊接觸部
802:I/O介面
808:3D NAND記憶體
810:主機
812、814:資料傳輸
850、920:系統
908-1、908-M:儲存片
x、y:軸
被併入本文並形成說明書一部分的附圖闡明本公開的實施例,並且與說明書一起進一步用以解釋本公開的原理並使相關領域的技術人員能夠實現並使用本公開。
圖1A示出了根據一些實施例的帶有資料處理電路的示例性3D記憶體件的 橫截面示意圖;圖1B示出了根據一些實施例的帶有資料處理電路的另一示例性3D記憶體件的橫截面示意圖;圖2示出了根據一些實施例的具有周邊電路和資料處理電路的示例性半導體結構的平面示意圖;圖3示出了根據一些實施例的帶有資料處理電路的示例性3D記憶體件的橫截面;圖4示出了根據一些實施例的帶有資料處理電路的另一示例性3D記憶體件的橫截面;圖5A至圖5C示出了根據一些實施例的用於形成具有周邊電路和資料處理電路的示例性半導體結構的製造製程;圖6A和圖6B示出了根據一些實施例的用於形成具有3D NAND儲存串的示例性半導體結構的製造製程;圖7A和圖7B示出了根據一些實施例的用於形成帶有資料處理電路的示例性3D記憶體件的製造製程;圖8示出了根據一些實施例的具有3D記憶體件的示例性系統的示意框圖,該3D記憶體件帶有資料處理電路;圖9示出了根據一些實施例的具有3D記憶體件的示例性系統的示意框圖,該3D記憶體件帶有資料處理電路;圖10是根據一些實施例的用於操作帶有資料處理電路的3D記憶體件的示例性方法的流程圖;圖11是根據一些實施例的用於形成帶有資料處理電路的3D記憶體件的示例性方法的流程圖。
將參考附圖來描述本公開的實施例。
儘管討論了具體配置和佈置,但應該理解,這麼做僅僅出於說明的目的。相關領域的技術人員將認識到,在不脫離本公開的精神和範圍的情況下,可使用其他的配置和佈置。對於相關領域的技術人員將是顯而易見的,本公開還可用於各種其他應用中。
應注意,說明書中對“一個實施例”、“實施例”、“示例性實施例”、“一些實施例”等的引用表示所描述的實施例可包括特定的特徵、結構、或特性,但是未必每個實施例都包括該特定的特徵、結構、或特性。而且,這樣的短語未必指代同一個實施例。此外,當結合實施例描述特定的特徵、結構、或特性時,無論是否明確描述,結合其他實施例來實現這樣的特徵、結構、或特性將在相關領域的技術人員的知識範圍內。
一般而言,可至少部分地從上下文中的使用來理解術語。例如,至少部分地取決於上下文,本文所使用的術語“一個或複數個”可用來以單數意義描述任何特徵、結構、或特性,或者可用來以複數意義描述特徵、結構或特徵的組合。類似地,至少部分取決於上下文,再一次,諸如“一個”或“所述(該)”的術語可被理解來傳達單數用法或傳達複數用法。另外,還是至少部分地取決於上下文,術語“基於”可被理解為未必旨在傳達一組排他性因素,相反可以允許存在其他的未必明確表述的因素。
應該容易理解,本公開中“在……之上”、“在……上方”和“在……正上方”應以最寬泛的方式來解釋,使得“在……之上”不僅意味著 “直接在某物上”,而且包括其間具有中間特徵或層的“在某物上”的含義, 並且“在……上方”或“在……正上方”不僅意味著“在某物上方”或“在某物正上方”的含義,而且還可包括其間沒有中間特徵或層的“在某物上方”或“在某物正上方”的含義(即,直接在某物上)。
此外,為了便於描述如圖所示的一個元件或特徵與另一個(或複數個)元件或特徵的關係,可在本文中使用諸如“在……之下”、“在……下方”、“下端”、“在……上方”、“上端”等空間相對術語。除了圖中所述的方向外,空間相對術語旨在涵蓋裝置在使用中或操作中的不同方向。所述裝置可以其他方式定向(旋轉90度或沿其他方向)並且同樣可相應地解釋本文中使用的空間相對描述詞。
如本文所使用的,術語“基底”是指在其上添加後續材料層的材料。基底本身可被圖案化。添加在基底頂部上的材料可以被圖案化或可以保持未被圖案化。此外,基底可以包括各種各樣的半導體材料,例如矽、鍺、砷化鎵、磷化銦等。或者,基底可以由非導電材料形成,例如玻璃、塑膠或藍寶石晶圓。
如本文所使用的,術語“層”是指包括具有厚度的區域的材料部分。層可在整個下方結構或上方結構延伸,或者可以具有比下方或上方結構的範圍小的範圍。此外,層可以是均質或非均質連續結構的區域且厚度小於該連續結構的厚度。例如,層可以位於在連續結構的頂表面和底表面之間或在頂表面和底表面處的任何一對水準平面之間。層可以橫向延伸、垂直延伸和/或沿錐形表面延伸。基底可以是層,在其中可以包括一個或複數個層,和/或可以在其 上、其上方和/或其下方具有一個或複數個層。層可以包括複數個層。例如,互連層可以包括一個或複數個導體和接觸層(其中形成互連線和/或過孔接觸部)以及一個或複數個介電層。
如本文所使用的,術語“標稱/標稱地”是指在產品或製程的設計階段期間設定的部件或製程操作的特徵或參數的期望值或目標值、以及高於和/或低於期望值的值的範圍。該值的範圍可以是由於製造製程或公差的輕微變化而引起。如本文所使用的,術語“約”表示可基於與主題半導體裝置相關聯的特定技術節點而變化的給定量的值。基於特定的技術節點,術語“約”可表示給定量的值,該給給定量的值例如在該值的10%-30%內變化(例如,值的±10%、±20%或±30%)。
如本文所使用的,術語“3D記憶體件”是指在橫向取向的基底上具有垂直取向的儲存單元電晶體的串(在本文中稱為“儲存串”,例如NAND儲存串)的半導體設備,使得儲存串相對於基底在垂直方向上延伸。如本文所使用的,術語“垂直/垂直地”表示名義上垂直於基底的橫向表面。
在傳統的3D記憶體件中,在同一平面上儲存陣列裝置外部形成的周邊電路會佔據裝置晶片的大量面積,從而導致低陣列效率、大晶粒尺寸、和高成本。而且,與處理儲存陣列裝置相關聯的熱預算限制周邊電路的性能要求,使得難以實現3D記憶體件的高輸入/輸出(I/O)速度。此外,傳統的3D記憶體件依賴於外部設備(例如與記憶體件不在同一晶粒上)以執行資料處理操作。 結果是,儲存在3D記憶體件上的資料在由外部資料處理設備處理之前需要透過I/O介面(例如資料匯流排)被載入到外部資料處理設備中,對I/O介面造成沉重 負擔,尤其是當資料量很大時。因此,I/O介面通常成為限制主機系統總輸送量的瓶頸。
由於諸如體積和成本的考慮,資料處理電路通常不在諸如嵌入式多媒體儲存卡(eMMC)或通用快閃記憶體儲存(UFS)這樣的儲存封裝中形成。 在這樣的儲存封裝中通常不能執行晶粒上或記憶體內資料處理操作。結果是,這些儲存封裝中高速資料處理會被限制。在另一個示例中,記憶體件會使用大量資源(例如資料緩衝器和資料匯流排)以透過I/O介面將資料發送到外部資料處理設備。這會減慢其他操作。會限制記憶體件的整體性能。
依照本公開的各種實施例,提供了一種具有晶粒上、記憶體內資料處理電路(例如能夠執行資料處理操作的邏輯電路)的3D記憶體件,該3D記憶體件具有提高的I/O速度、輸送量、和儲存密度。晶粒上資料處理電路能與3D記憶體件的周邊電路在同一晶粒上形成。可在未被周邊電路佔用的區域放置晶粒上處理電路(例如與周邊電路相鄰的備用空間),並且因此,不需要形成額外的空間。晶粒上資料處理電路能夠對儲存在3D記憶體件的儲存單元中的資料進行高速資料處理操作。在一個實施例中,晶粒上資料處理電路被配置為對儲存在本機存放區陣列中的資料執行諸如搜索、加密、和預處理的邏輯操作,並且將操作結果返還給I/O介面,而不是將整個底層資料(underlying data)載入到I/O介面。晶粒上資料處理電路因此能改善I/O輸送量。
圖1A示出了根據一些實施例的帶有資料處理電路的示例性3D記憶體件100的橫截面示意圖。如本文所使用的,資料處理電路指的是被配置為對底層資料執行諸如搜索、加密、和/或預處理的資料處理操作的邏輯電路。3D記憶 體件100表示非單片3D記憶體件的示例。術語“非單片”意味著能在不同的基底上單獨形成3D記憶體件100的部件(例如周邊電路/資料處理電路和3D NAND記憶體),然後可連接所述部件以形成3D記憶體件。3D記憶體件100可包括第一半導體結構102,其包括周邊電路和一個或複數個資料處理電路。周邊電路和資料處理電路都可用先進邏輯製程(例如,90nm,80nm,65nm,55nm,45nm,40nm,32nm,28nm,22nm,20nm,16nm,14nm,10nm,7nm,5nm,3nm等的技術節點)來實施以實現高速。在一些實施例中,第一半導體結構102中的周邊電路和(一個或複數個)資料處理電路使用互補金屬氧化物半導體(CMOS)技術。
在一些實施例中,周邊電路包括用於促進3D記憶體件100的操作的任何適當的數位、類比、和/或混合訊號周邊電路。例如,周邊電路可包括頁緩衝器、解碼器(例如列解碼器和行解碼器)、讀出放大器、驅動器、電荷泵、電流或電壓參考或電路的任何有源或無源部件(例如電晶體,二極體,電阻器或電容器)。資料處理電路與周邊電路被集成在同一晶粒上,使得能夠進行記憶體內計算和資料處理。資料處理電路可與周邊電路一起被嵌入。周邊電路提供了與記憶體件100的基於儲存的操作更接近的功能,而資料處理電路提供計算能力以對儲存在3D記憶體件100中的資料實現基於演算法的操作。
3D記憶體裝置100也可包括第二半導體結構104,其包括3D NAND儲存串的陣列。也就是說,第二半導體結構104可以是NAND快閃記憶體裝置,其中以NAND儲存串的陣列的形式提供儲存單元。在一些實施例中,取決於NAND技術(例如儲存堆疊層中的級/層levels/tiers的數量),3D NAND儲存串通常由32至256個NAND儲存單元組成。可將3D NAND儲存串組織成頁,然後將這些頁組織成儲存塊,在該儲存塊中將每個3D NAND儲存串連接到稱為位元線(BL)的 單獨線。可透過控制閘極由字元線(WL)連接3D NAND儲存串中具有相同位置的所有單元。在一些實施例中,儲存片包含透過相同位元線連接的一定數量的儲存塊。第二半導體結構104可包括一個或複數個儲存片,並且需要執行所有讀/寫/擦除操作的周邊電路可包括在第一半導體結構102中。
如圖1A中所示,3D記憶體件100還包括垂直地在第一半導體結構102和第二半導體結構104之間的鍵合界面106。如以下詳細描述的,第一和第二半導體結構102和104可被單獨製造(和在一些實施例中平行製造)使得製造第一和第二半導體結構102和104中的一個的熱預算不限制製造第一和第二半導體結構102和104中的另一個的製程。此外,與電路板上的長距離的片到片資料匯流排相反,可穿過鍵合界面106形成大量互連(例如透過混合鍵合的鍵合接觸部),以在第一半導體結構102和第二半導體結構104之間形成直接的短的電連接,從而消除晶片界面延遲並實現具有降低的功耗的高速I/O輸送量。第二半導體結構104中的3D NAND儲存串的陣列與第一半導體結構102中的資料處理電路之間的資料傳輸可透過跨越鍵合界面106的互連(例如,透過混合鍵合的鍵合接觸部)來執行。此外,透過垂直集成第一和第二半導體結構102和104,可減小晶片尺寸,並且可增加儲存單元密度。
應理解,堆疊的第一和第二半導體結構102和104的相對位置不受限制。圖1B示出了根據一些實施例的帶有資料處理電路的另一個示例性3D記憶體件101的橫截面示意圖。與圖1A中的3D記憶體件100不同,圖1A中包括3D NAND儲存串的陣列的第二半導體結構104位於包括周邊電路和資料處理電路的第一半導體結構102的上方,在圖1B中的3D記憶體件100中,包括周邊電路和資料處理電路的第一半導體結構102位於包括3D NAND儲存串的陣列的第二半導體結 構104上方。然而,在3D記憶體件101中,鍵合界面106垂直地形成在第一和第二半導體結構102和104之間。根據一些實施例,透過鍵合(例如混合鍵合)垂直地連接第一和第二半導體結構102和104。可透過跨越鍵合界面106的互連(例如經由混合鍵合的鍵合接觸部)執行第二半導體結構104中的3D NAND儲存串的陣列與第一半導體結構102中的資料處理電路之間的資料傳輸。
圖2示出了根據一些實施例的具有周邊電路和資料處理電路的示例性半導體結構200的平面示意圖。半導體結構200可以是第一半導體結構102的一個示例。半導體結構200可包括用於控制和感測3D NAND記憶體件的周邊電路,該周邊電路包括字元線驅動器202、頁緩衝器204、和任何其他適合的電路。半導體結構200還可包括資料處理電路206,資料處理電路206與周邊電路在同一晶粒上,並且使用與製造周邊電路相同的邏輯製程來製造。圖2示出了周邊電路(例如字元線驅動器202、頁緩衝器204)和資料處理電路206的示例性佈局,其中在同一平面上的不同區域中形成周邊電路(例如字元線驅動器202、頁緩衝器204)和資料處理電路206。例如,可在周邊電路(例如字元線驅動器202、頁緩衝器204)之外形成資料處理電路206。應當理解,半導體結構200的佈局不限於圖2中的示例性佈局。在一些實施例中,在同一平面的非重疊區域中形成周邊電路(例如字元線驅動器202和頁緩衝器204)和資料處理電路206。在一些實施例中,在平面上,在不用於形成周邊電路的空間中形成資料處理電路206。在一些實施例中,周邊電路(例如字元線驅動器202和頁緩衝器204)和資料處理電路206一個被堆疊在另一個上,即在不同的平面上。例如,可在周邊電路(例如字元線驅動器202、頁緩衝器204)之上或之下形成資料處理電路206(例如邏輯閘陣列)以進一步減小晶片尺寸。
圖3示出了根據一些實施例的帶有資料處理電路的示例性3D記憶體件300的橫截面。作為以上關於圖1A所述的3D記憶體件100的一個示例,3D記憶體件300是非單片3D記憶體件,其包括第一半導體結構302和堆疊在第一半導體結構302之上的第二半導體結構304。在第一和第二半導體結構302和304之間的鍵合界面306處,第一和第二半導體結構302和304接合。如圖3中所示,第一半導體結構302可包括基底308,該基底308可包括矽(例如單晶矽)、矽鍺(SiGe)、砷化鎵(GaAs)、鍺(Ge)、矽覆絕緣體(SOI)、或任何其他適合的材料。
3D記憶體件300的第一半導體結構302可包括位於基底308上方的裝置層310。應注意,在圖3中添加x和y軸以進一步示出3D記憶體件300中部件的空間關係。基底308包括在x方向上(橫向方向或寬度方向)橫向延伸的兩個橫向表面(例如頂表面和底表面)。如本文所使用的,當基底在y方向上(垂直方向或厚度方向)被置於半導體裝置(例如3D記憶體件300)的最低平面中時,半導體裝置(例如3D記憶體件300)的一個部件(例如層或裝置)是否位於另一個部件(例如層或裝置)“之上”、“上方”、或“下方”,是在y方向上相對於半導體裝置的基底(例如基底308)來確定的。本公開至始至終應用描述空間關係的相同的注解法。
在一些實施例中,裝置層310包括位於基底308上的周邊電路312。裝置層310也包括位於基底308上和周邊電路312外部的資料處理電路314。在一些實施例中,周邊電路312包括形成用於促進3D記憶體件300的操作的任何適合的數位、類比、和/或混合訊號周邊電路的複數個周邊電晶體316,3D記憶體件300包括但不限於頁緩衝器、解碼器(例如列解碼器和行解碼器)、感測放大器、驅動器、電荷泵、電流或電壓參考。周邊電晶體316可在基底308“之上”形成,其 中周邊電晶體316的全部或一部分在基底308中(例如在基底308的頂表面下方)形成和/或直接在基底308之上形成。隔離區(例如淺溝槽隔離(STI))和摻雜區(例如周邊電晶體316的源區和汲區)也可在基底308中形成。
在一些實施例中,資料處理電路314包括複數個電晶體318(例如MOSFET)。在一些實施例中,電晶體318可形成現場可程式設計閘陣列(FPGA)的邏輯門。在一些實施例中,電晶體318可以是能夠執行計算、資料操作、和/或邏輯操作的專用積體電路(ASIC)、微控制器單元(MCU)、或其他資料處理電路的一部分。在一些實施例中,在基底308“之上”形成電晶體318,其中電晶體318的全部或一部分可在基底308中(例如在基底308的頂表面下方)形成和/或直接在基底308之上形成。隔離區(例如淺溝槽隔離(STI))和摻雜區(例如電晶體318的源區和汲區)也可在基底308中形成。如圖3中所示,電晶體318和周邊電晶體316可在同一平面例如在基底308上的不同區域中形成。也就是說,可在基底308上形成周邊電路312的區域之外形成電晶體318。為了便於說明,圖3僅描繪了有限數量的電晶體318。電極接觸部320可將一個或複數個電晶體318連接(例如經由MOSFET的電極)到鍵合接觸部326以促進資料處理電路314和第二半導體結構304中的儲存陣列之間穿過鍵合界面306進行資料通信。應理解,圖3中的配置,例如電晶體318的佈局和電晶體318與鍵合接觸部326之間的連接不反映電晶體和其他部件之間的實際佈局和電連接。
在一些實施例中,3D記憶體件300的第一半導體結構302還包括位於裝置層310上方的互連層322,以將電訊號傳輸到周邊電路312和資料處理電路314以及傳輸來自周邊電路312和資料處理電路314的電訊號。互連層322可包括複數個互連(本文中也稱為“接觸部”),該互連包括橫向互連線和垂直互連通 路(過孔)接觸部。如這裡所使用的,術語“互連”可寬泛地包括任何適當類型的互連,比如中段工序(MEOL)互連和後段工序(BEOL)互連。互連層322還可包括一個或複數個層間介電(ILD)層(也稱為“金屬間介電(IMD)層”),其中可形成互連線和過孔接觸部。也就是說,互連層322可包括複數個ILD層中的互連線和過孔接觸部。互連層322中的互連線和過孔接觸部可包括導電材料,導電材料包括但不限於鎢(W)、鈷(Co)、銅(Cu)、鋁(Al)、矽化物、或其任何組合。互連層322中的ILD層可包括介電材料,介電材料包括但不限於氧化矽、氮化矽、氮氧化矽、低介電常數(低k)介電質、或其任何組合。
如圖3中所示,3D記憶體件300的第一半導體結構302還可包括位於鍵合界面306處的和位於互連層322與裝置層310(包括周邊電路312和資料處理電路314)上方的鍵合層324。鍵合層324可包括複數個鍵合接觸部326和電隔離鍵合接觸部326的介電質。鍵合接觸部326可包括導電材料,該導電材料包括但不限於W、Co、Cu、Al、矽化物、或其任何組合。可用介電質形成鍵合層324的剩餘區域,該介電質包括但不限於氧化矽、氮化矽、氮氧化矽、低k介電質、或其任何組合。鍵合層中的鍵合接觸部326和圍繞鍵合接觸部326的介電質可被用於混合鍵合。
類似地,如圖3中所示,3D記憶體件300的第二半導體結構304也可包括位於鍵合界面306處和位於第一半導體結構302的鍵合層324上方的鍵合層328。鍵合層328可包括複數個鍵合接觸部330和電隔離鍵合接觸部330的介電質。鍵合接觸部330可包括導電材料,該導電材料包括但不限於W、Co、Cu、Al、矽化物、或其任何組合。可用介電質形成鍵合層328的剩餘區域,該介電質包括但不限於氧化矽、氮化矽、氮氧化矽,低k介電質、或其任何組合。鍵合層 328中的鍵合接觸部330和環繞的介電質可被用於混合鍵合。
如上所述,可在鍵合界面306處以面對面的方式將第二半導體結構304鍵合在第一半導體結構302的頂部上。在一些實施例中,鍵合界面306被設置在鍵合層324和328之間作為混合鍵合(也稱為“金屬/介電質混合鍵合”)的結果,混合鍵合是一種直接鍵合技術(例如在不使用中間層(比如焊料或黏合劑)的情況下在表面之間形成鍵合),並且可以同時獲得金屬-金屬鍵合和介電質-介電質鍵合。在一些實施例中,鍵合界面306是鍵合層324和328匯合並被鍵合的位置。實際上,鍵合界面306可以是具有一定厚度的層,其包括第一半導體結構302的鍵合層324的頂表面和第二半導體結構304的鍵合層328的底表面。
在一些實施例中,3D記憶體件300的第二半導體結構304還包括位於鍵合層328上方的互連層332以傳輸電訊號。互連層332可包括複數個互連,比如MEOL互連和BEOL互連。互連層332還可包括一個或複數個ILD層,可在所述複數個ILD層中形成互連線和過孔接觸部。互連層332中的互連線和過孔接觸部可包括導電材料,該導電材料包括但不限於W、Co、Cu、Al、矽化物、或其任何組合。互連層332中的ILD層可包括介電質材料,該介電質材料包括但不限於氧化矽、氮化矽、氮氧化矽、低k介電質、或其任何組合。
在一些實施例中,3D記憶體件300的第二半導體結構304包括NAND快閃記憶體裝置,其中以位於互連層332和鍵合層328上方的3D NAND儲存串338的陣列的形式提供儲存單元。根據一些實施例,每個3D NAND儲存串338垂直延伸穿過多對層,其中每一對層包括導體層334和介電層336。堆疊並交錯的導體層334和介電層336在本文中也稱為儲存堆疊層333。根據一些實施例,儲存堆疊 層333中的交錯的導體層334和介電層336在垂直方向交替。換句話說,除了儲存堆疊層333的頂部或底部的那些之外,每個導體層334可在兩側被兩個介電層336緊鄰,並且每個介電層336可在兩側被兩個導體層334緊鄰。導體層334可各自具有相同的厚度或不同的厚度。類似地,介電層336可各自具有相同的厚度或不同的厚度。導體層334可包括導體材料,該導體材料包括但不限於W、Co、Cu、Al、摻雜矽、矽化物、或其任何組合。介電層336可包括介電材料,該介電材料包括但不限於氧化矽、氮化矽、氮氧化矽、或其任何組合。
在一些實施例中,每個3D NAND儲存串338是“電荷阱”型的NAND儲存串,其包括半導體通道342和儲存膜340。在一些實施例中,半導體通道342包括矽,比如非晶矽、多晶矽、或單晶矽。在一些實施例中,儲存膜340是複合介電層,其包括穿隧層、儲存層(也稱為“電荷阱/儲存層”)、和阻障層。每個3D NAND儲存串338可具有圓柱形狀(例如,柱形)。根據一些實施例,沿著從柱的中心朝向外表面的方向依序佈置半導體通道342、儲存膜340的穿隧層、儲存層、和阻障層。穿隧層可包括氧化矽、氮氧化矽、或其任何組合。儲存層可包括氮化矽、氮氧化矽、矽、或其任何組合。阻障層可包括氧化矽、氮氧化矽、高介電常數(高k)介電質、或其任何組合。在一個示例中,阻障層可包括氧化矽/氧氮化矽/氧化矽(ONO)的複合層。在另一個示例中,阻障層可包括高k介電層,比如氧化鋁(Al2O3)層、氧化鉿(HfO2)層、氧化鉭(Ta2O5)層等。
在一些實施例中,3D NAND儲存串338還包括複數個控制閘極(每個控制閘極是字元線的一部分)。儲存堆疊層333中的每個導體層334可充當3D NAND儲存串338的每個儲存單元的控制閘極。在一些實施例中,每個3D NAND儲存串338包括在垂直方向上分別位於各自端的兩個插塞344和346。插塞344可 包括從半導體層348磊晶生長的半導體材料,例如單晶矽。插塞344可起由3D NAND儲存串338的源選擇閘極控制的通道的作用。插塞344可位於3D NAND儲存串338的上端並且與半導體通道342接觸。如本文所使用的,當基底308被置於3D記憶體件300的最低平面中時,部件(例如3D NAND儲存串338)的“上端”是在y方向上更遠離基底308的端,並且部件(例如3D NAND儲存串338)的“下端”是在y方向上更靠近基底308的端。另一個插塞346可包括半導體材料(例如多晶矽)或導體材料(例如金屬)。在一些實施例中,插塞346包括填充有鈦/氮化鈦(Ti/TiN作為阻障層)和鎢(作為導體)的開孔。在3D記憶體件300的製造期間,透過覆蓋3D NAND儲存串338的上端,插塞346可起蝕刻停止層的作用來防止蝕刻填充在3D NAND儲存串338中的介電質,例如氧化矽和氮化矽。在一些實施例中,插塞346起3D NAND儲存串338的汲極的作用。
在一些實施例中,第二半導體結構304還包括設置在儲存堆疊層333和3D NAND儲存串338上方的半導體層348。半導體層348可以是減薄的基底,在其上形成儲存堆疊層333和3D NAND儲存串338。在一些實施例中,半導體層348包括單晶矽,插塞344可從其磊晶生長。在一些實施例中,半導體層348可包括多晶矽、非晶矽、SiGe、GaAs、Ge、或任何其他適當的材料。半導體層348也可包括隔離區和摻雜區(例如起3D NAND儲存串338的陣列公共源的作用,未示出)。隔離區(未示出)可跨越半導體層348的整個厚度或部分厚度上延伸以電隔離摻雜區。在一些實施例中,包括氧化矽的襯墊氧化物層被設置在儲存堆疊層333和半導體層348之間。
應當理解,3D NAND儲存串338不限於“電荷阱”型的3D NAND儲存串,並且在其他實施例中可以是“浮閘”型的3D NAND儲存串。半導體層348 可包括多晶矽作為“浮閘”型的3D NAND儲存串的源極。
如圖3中所示,3D記憶體件300的第二半導體結構304還可包括位於半導體層348上方的襯墊外互連層(pad-out interconnect)350。襯墊外互連層350包括在一個或複數個ILD層中的互連,例如接觸襯墊352。可在半導體層348的相對兩側形成襯墊外互連層350和互連層332。在一些實施例中,襯墊外互連層350中的互連可在3D記憶體件300和外部電路(例如用於襯墊外的目的)之間傳輸電訊號。
在一些實施例中,第二半導體結構304還包括延伸穿過半導體層348的一個或複數個接觸部354以電連接襯墊外互連層350和互連層332與322。結果是,可透過互連層322與332以及鍵合接觸部326與330將資料處理電路314電連接到3D NAND儲存串338的陣列。此外,可透過接觸部354和襯墊外互連層350將周邊電路312、資料處理電路314、和3D NAND儲存串338的陣列電連接到外部電路。
圖4示出了根據一些實施例的帶有資料處理電路的另一個示例性3D記憶體件400的橫截面。類似於上面在圖3中描述的3D記憶體件300,3D記憶體件400表示非單片3D記憶體件的示例,包括3D NAND儲存串的第一半導體結構402和包括周邊電路與(一個或複數個)資料處理電路的第二半導體結構404在3D記憶體件400中分別地形成並且以面對面的方式在鍵合界面406處鍵合。與上面在圖3中描述的3D記憶體件300不同,3D記憶體件300中包括周邊電路和資料處理電路的第一半導體結構302位於包括3D NAND儲存串的第二半導體結構304下方,圖4中的3D記憶體件400中包括周邊電路和(一個或複數個)資料處理電路的第二半導體結構404設置在包括3D NAND儲存串的第一半導體結構402上 方。應當理解,3D記憶體件300和400中的類似結構(例如材料、製造製程、功能等)的細節在以下不再贅述。
3D記憶體件400的第一半導體結構402可包括基底408和儲存堆疊層410,儲存堆疊層410包括位於基底408上方的交錯的導體層412和介電層414。在一些實施例中,3D NAND儲存串416的陣列中的每個儲存串垂直延伸穿過位於基底408上方的儲存堆疊層410中的交錯的導體層412和介電層414。每個3D NAND儲存串416可包括半導體通道420和儲存膜418。每個3D NAND儲存串416還包括分別在其下端和上端包括兩個插塞422和424。3D NAND儲存串416可以是“電荷阱”型的3D NAND儲存串或“浮閘”型的3D NAND儲存串。在一些實施例中,在儲存堆疊層410和基底408之間設置包括氧化矽的襯墊氧化物層。
在一些實施例中,3D記憶體件400的第一半導體結構402也包括位於儲存堆疊層410和3D NAND儲存串416上方的互連層426以將電訊號傳輸到3D NAND儲存串416和傳輸來自3D NAND儲存串416的電訊號。互連層426可包括複數個互連,其包括互連線和過孔接觸部。在一些實施例中,互連層426中的互連也包括局部互連,比如位元線接觸部和字元線接觸部。在一些實施例中,3D記憶體件400的第一半導體結構402還包括位於鍵合界面406處並位於互連層426和儲存堆疊層410上方的鍵合層428。鍵合層428可包括複數個鍵合接觸部430和圍繞且電隔離鍵合接觸部430的介電質。
如圖4中所示,3D記憶體件400的第二半導體結構404包括位於鍵合界面406處並位於鍵合層428上方的另一個鍵合層432。鍵合層432可包括複數個鍵合接觸部434和圍繞且電隔離鍵合接觸部434的介電質。在一些實施例中,3D記 憶體件400的第二半導體結構404也包括位於鍵合層432上方互連層436以傳輸電訊號。互連層436可包括複數個互連,其包括互連線和過孔接觸。
3D記憶體件400的第二半導體結構404還可包括位於互連層436和鍵合層432上方的裝置層438。在一些實施例中,裝置層438包括位於互連層436和鍵合層432上方的周邊電路442,與位於互連層436和鍵合層432上方而且在周邊電路442外部的資料處理電路444。在一些實施例中,周邊電路442包括形成任何適合的數位、類比、和/或混合訊號周邊電路的複數個周邊電晶體446,用於促進3D記憶體件400的操作,3D記憶體件400包括但不限於頁緩衝器、解碼器(例如列解碼器和行解碼器)、檢測放大器(sense amplifier)、驅動器、電荷泵、電流或電壓參考。可在半導體層440“之上”形成周邊電晶體446,其中在半導體層440中形成和/或直接在半導體層440之上形成周邊電晶體446的全部或一部分。也可在半導體層440中也形成隔離區(例如淺溝槽隔離(STI))和摻雜區(例如周邊電晶體446的源區和汲區)。
在一些實施例中,資料處理電路444包括複數個電晶體448(例如MOSFET)。在一些實施例中,電晶體448可形成FPGA的邏輯門。在一些實施例中,電晶體448可以是能夠執行計算、資料操作、和/或邏輯操作的ASIC、MCU、或其他資料處理電路的一部分。在一些實施例中,在半導體層440“之上”形成電晶體448,其中在半導體層440中形成和/或直接在半導體層440上形成電晶體448的全部或一部分。也可在半導體層440中形成隔離區(例如,淺溝槽隔離(STI))和摻雜區(例如,電晶體448的源區和汲區)。如圖4中所示,可在同一平面上的不同區域中形成電晶體448和周邊電晶體446,例如在半導體層440上形成。也就是說,可在半導體層440上形成周邊電路442的區域之外形成電晶體 448。為了便於說明,圖4僅描繪了有限數量的電晶體448。電極接觸部450可將一個或複數個電晶體448連接(例如經由MOSFET的電極)到鍵合接觸部434,以促進資料處理電路444和第一半導體結構402中的儲存陣列之間透過鍵合界面406進行資料通信。應理解,圖4中的配置,例如電晶體的佈局以及電晶體448和鍵合接觸部434之間的連接,不反映電晶體和其他部件之間的實際佈局和電連接。
在一些實施例中,第二半導體結構404還包括設置在裝置層438上方的半導體層440。半導體層440可以是減薄的基底,在其上形成周邊電晶體446和電晶體448。在一些實施例中,半導體層440包括單晶矽。在一些實施例中,半導體層440可包括多晶矽、非晶矽、SiGe、GaAs、Ge、或任何其他適合的材料。 半導體層440也可包括隔離區和摻雜區。
如圖4中所示,3D記憶體件400的第二半導體結構404還可包括位於半導體層440上方的襯墊外互連層452。襯墊外互連層452包括一個或複數個ILD層中的互連,例如接觸襯墊454。在一些實施例中,襯墊外互連層452中的互連可在3D記憶體件400和外部電路(例如用於襯墊外目的)之間傳輸電訊號。在一些實施例中,第二半導體結構404還包括一個或複數個延伸穿過半導體層440的接觸部456,以電連接襯墊外互連層452和互連層436和426。結果是,可透過互連層426和436以及鍵合接觸部430和434將資料處理電路444電連接到3D NAND儲存串416的陣列。此外,周邊電路442、資料處理電路444、和3D NAND儲存串416的陣列可透過接觸部456和襯墊外互連層452電連接到外部電路。
圖5A至圖5C示出了根據一些實施例的形成具有周邊電路和資料處 理電路的示例性半導體結構的製造製程。圖6A和圖6B示出了根據一些實施例的形成帶有3D NAND儲存串的示例性半導體結構的製造製程。圖7A和圖7B示出了根據一些實施例的形成帶有資料處理電路的示例性3D記憶體件的製造製程。圖11是根據一些實施例的用於形成帶有資料處理電路的3D記憶體件的示例性方法1100的流程圖。圖5A至圖5C、圖6A和圖6B、圖7A和圖7B、以及圖11中描繪的3D記憶體件的示例包括圖3中描繪的3D記憶體件300和圖4中描繪的3D記憶體件400。將一起描述圖5A至圖5C、圖6A和圖6B、圖7A和圖7B、以及圖11。應當理解,方法1100中示出的步驟不是詳盡無遺的,並且可在任何所示的步驟之前、之後、或之間執行其他步驟。
如圖5A至圖5C所描繪的,形成了包括周邊電路、資料處理電路、和包括複數個第一鍵合接觸部的第一鍵合層的第一半導體結構。如圖6A和圖6B所描繪的,形成了包括3D NAND儲存串的陣列和包括複數個第二鍵合接觸部的第二鍵合層的第二半導體結構。如圖7A和圖7B所描繪的,以面對面的方式鍵合第一半導體結構和第二半導體結構,使得第一鍵合接觸部在鍵合界面處與第二鍵合接觸部接觸。
參考圖11,方法1100開始於步驟1102,其中在第一基底上形成周邊電路和資料處理電路。第一基底可以是矽基底。在一些實施例中,為了形成周邊電路和資料處理電路,在第一基底上形成複數個電晶體。如圖5A所示,在矽基底502上形成複數個電晶體(例如用於形成資料處理電路的周邊電晶體504和邏輯電晶體506)。電晶體504和506可透過多種製程形成,包括但不限於微影、乾/濕蝕刻、薄膜沉積、熱生長、注入、化學機械拋光(CMP)、和任何其他適當的製程。在一些實施例中,透過離子注入和/或熱擴散在矽基底502中形成摻雜 區,其起到例如電晶體504和506的源區和/或汲區的作用。在一些實施例中,還透過濕/乾蝕刻和薄膜沉積在矽基底502中形成隔離區(例如STIs)。
如圖5B中所示,也形成用於連接邏輯電晶體506的電極接觸部507。 由此形成包括周邊電路(具有周邊電晶體504)和資料處理電路(具有邏輯電晶體506)的裝置層510。
如圖11中所示,方法1100進行到步驟1104,其中在周邊電路和資料處理電路之上形成第一互連層。第一互連層可包括一個或複數個ILD層中的第一複數個互連。如圖5C中所示,互連層512可在包括周邊電路(具有周邊電晶體504)和資料處理電路(具有邏輯電晶體506)的裝置層510上方形成。互連層512可包括複數個ILD層中的MEOL和/或BEOL的互連以與裝置層510進行電連接。在一些實施例中,互連層512包括在複數個製程中形成的複數個ILD層和互連。例如,互連層512中的互連可包括透過一種或多種薄膜沉積製程沉積的導電材料,薄膜沉積製程包括但不限於CVD、PVD、ALD、電鍍、無電鍍、或其任何組合。形成互連的製造製程也可包括微影、CMP、濕/乾蝕刻、或任何其他適當的製程。 ILD層可包括透過一種或多種薄膜沉積製程沉積的介電材料,薄膜沉積製程包括但不限於CVD、PVD、ALD、或其任何組合。圖5C中所示的ILD層和互連可統稱為互連層512。
如圖11所示,方法1100進行到步驟1106,其中在第一互連層上方形成第一鍵合層。第一鍵合層可包括複數個第一鍵合接觸部。如圖5C中所示,在互連層512上方形成鍵合層514。鍵合層514可包括由介電質圍繞的複數個鍵合接觸部516。在一些實施例中,透過一種或多種薄膜沉積製程在互連層512的頂表 面上沉積介電層,薄膜沉積製程包括但不限於CVD、PVD、ALD、或其任何組合。然後,可使用圖案化製程(例如介電層中的介電材料的微影和乾/濕蝕刻)透過穿過該介電層首先圖案化接觸孔形成穿過該介電層並與互連層512中的互連接觸的鍵合接觸部516。可使用導體(例如銅)填充該接觸孔。在一些實施例中,填充接觸孔包括在沉積導體之前沉積阻障層、黏附層、和/或晶種層。
如圖11所示,方法1100進行到步驟1108,其中在第二基底上方形成儲存堆疊層。第二基底可以是矽基底。如圖6A中所示,在矽基底602上方形成交錯的犧牲層(未示出)和介電層608。交錯的犧牲層和介電層608可形成介電堆疊層(未示出)。在一些實施例中,每個犧牲層包括氮化矽層,並且每個介電層608包括氧化矽層。交錯的犧牲層和介電層608可透過一種或多種薄膜沉積製程形成,薄膜沉積製程包括但不限於CVD、PVD、ALD、或其任何組合。在一些實施例中,儲存堆疊層604可透過閘極替換製程形成,例如使用對介電層608有選擇性的犧牲層的濕/乾蝕刻,並且用導體層606填充所得到的凹槽來用導電層606替換犧牲層。結果是,儲存堆疊層604可包括交錯的導體層606和介電層608。 在一些實施例中,每個導體層606包括金屬層,比如鎢層。應當理解的是,在其他實施例中,可透過交替地沉積導電層(例如摻雜的多晶矽層)和介電層(例如氧化矽層)來形成儲存堆疊層604而無需閘極替換製程。在一些實施例中,在儲存堆疊層604和矽基底602之間形成包括氧化矽的襯墊氧化物層。
如圖11中所示,方法1100進行到步驟1110,其中形成垂直延伸穿過儲存堆疊層的3D NAND儲存串的陣列。如圖6A中所示,在矽基底602上方形成3D NAND儲存串610,每個3D NAND儲存串610垂直延伸穿過儲存堆疊層604的交錯的導電層606和介電層608。在一些實施例中,形成3D NAND儲存串610的製 造製程包括使用乾蝕刻/和/或濕蝕刻(比如深反應離子蝕刻(DRIE))形成穿過儲存堆疊層604並進入矽基底602的通道孔,然後在該通道孔的下部從矽基底602磊晶生長插塞612。在一些實施例中,形成3D NAND儲存串610的製造製程也包括隨後使用諸如ALD、CVD、PVD、或其任何組合的薄膜沉積製程用複數個層填充通道孔,所述複數個層比如儲存膜614(例如穿隧層、儲存層、和阻障層)和半導體層616。在一些實施例中,形成3D NAND儲存串610的製造製程還包括透過蝕刻3D NAND儲存串610的上端處的凹槽,並在通道孔的上部中形成另一個插塞618,隨後使用諸如ALD、CVD、PVD、或其任何組合的薄膜沉積製程用半導體材料填充該凹槽。
如圖11中所示,方法1100進行到步驟1112,其中在3D NAND儲存串陣列上方形成第二互連層。第二互連層可包括一個或複數個ILD層中的第二複數個互連。如圖6B中所示,可在儲存堆疊層604和3D NAND儲存串610的陣列上方形成互連層620。互連層620可以包括複數個ILD層中的MEOL和/或BEOL的互連以與3D NAND儲存串610進行電連接。在一些實施例中,互連層620包括在複數個製程中形成的複數個ILD層和互連。例如,互連層620中的互連可包括透過一種或多種薄膜沉積製程沉積的導電材料,薄膜沉積製程包括但不限於CVD、PVD、ALD、電鍍、無電鍍、或其任何組合。形成互連的製造製程還可包括微影、CMP、濕/乾蝕刻或任何其他適合的製程。ILD層可包括透過一種或多種薄膜沉積製程沉積的介電材料,薄膜沉積製程沉積包括但不限於CVD、PVD、ALD、或其任何組合。圖6B中所示的ILD層和互連可統稱為互連層620。
如圖11中所示,方法1100進行到步驟1114,其中在第二互連層上方形成第二鍵合層。第二鍵合層可包括複數個第二鍵合接觸部。如圖6B中所示, 在互連層620上方形成鍵合層622。鍵合層622可包括由介電質圍繞的複數個鍵合接觸部624。在一些實施例中,透過一個或複數個薄膜沉積製程在互連層620的頂表面上沉積介電層,所述薄膜沉積製程包括但不限於CVD、PVD、ALD、或其任何組合。然後,可使用圖案化製程(例如介電層中的介電材料的微影和乾/濕蝕刻)透過首先穿過介電層圖案化接觸孔,形成穿過該介電層並與互連層620中互連接觸的鍵合接觸部624。可用導體(例如銅)填充該接觸孔。在一些實施例中,填充接觸孔包括在沉積導體之前沉積阻障層、黏附層、和/或晶種層。
如圖11中所示,方法1100進行到步驟1116,其中以面對面的方式鍵合第一基底和第二基底,使得第一鍵合接觸部在鍵合界面處與第二鍵合接觸部接觸。鍵合可以是混合鍵合。在一些實施例中,在鍵合後,其上形成有周邊電路和資料處理電路的第一基底(例如第一半導體結構)被設置在其上形成3D NAND儲存串的第二基底(例如,第二半導體結構)上方。在一些實施例中,在鍵合後,其上形成3D NAND儲存串的第二基底(例如,第二半導體結構)被設置在其上形成有周邊電路和資料處理電路的第一基底(例如,第一半導體結構)上方。
如圖7A中所示,矽基底602和在其上形成的部件(例如,3D NAND儲存串610)被翻轉顛倒。面向下的鍵合層622與面向上的鍵合層514鍵合在一起,即以面對面的方式鍵合,從而形成鍵合界面702(如圖7B所示)。在一些實施例中,在鍵合之前將處理過程(例如電漿處理、濕處理、和/或熱處理)應用到鍵合界面。儘管未在圖7A中示出,但矽基底502和在其上形成的部件(例如裝置層510)可被翻轉顛倒,並且面向下的鍵合層514可與面向上的鍵合層622鍵合,即以面對面的方式鍵合,從而形成鍵合界面702。在鍵合之後,鍵合層622 中的鍵合接觸部624與鍵合層514中的鍵合接觸部516彼此對齊並彼此接觸,使得裝置層510(例如其中的周邊電路和資料處理電路)可以電連接到3D NAND儲存串610。應當理解,在鍵合的裝置中,3D NAND儲存串610可在裝置層510(例如其中的周邊電路和資料處理電路)上方或下方。然而,如圖7B所示,在鍵合之後,可在3D NAND儲存串610和裝置層510(例如其中的周邊電路和資料處理電路)之間形成鍵合界面702。
如圖11中所示,方法1100進行到步驟1118,其中將第一基底或第二基底減薄來形成半導體層。在一些實施例中,在鍵合之後在第二半導體結構的第二基底上方的第一半導體結構的第一基底被減薄以形成半導體層。在一些實施例中,在鍵合之後在第一半導體結構的第一基底上方的第二半導體結構的第二基底被減薄以形成半導體層。
如圖7B所示,在鍵合的3D記憶體件頂部的基底(例如,如圖7A所示的矽基底402)被減薄,使得減薄的頂部基底可充當半導體層704,例如單晶矽層。減薄的基底的厚度可在約200nm和約5μm之間,比如在200nm和5μm之間,或者在約150nm和約50μm之間,比如在150nm和50μm之間。矽基底402可透過包括但不限於晶片研磨、乾蝕刻、濕蝕刻、CMP、任何其他適合的製程、或其組合的製程來減薄。應當理解,當矽基底502是鍵合的3D記憶體件頂部上的基底時,可以透過減薄矽基底502以形成另一個半導體層。
如圖11中所示,方法1100進行到步驟1120,其中在半導體層上方形成襯墊外互連層。如圖7B中所示,在半導體層704(減薄的頂部基底)上方形成襯墊外互連層706。襯墊互連層706可包括形成在一個或複數個ILD層中的互連, 比如襯墊接觸部708。襯墊接觸部708可包括導電材料,該導電材料包括但不限於W、Co、Cu、Al、摻雜矽、矽化物、或其任何組合。ILD層可包括介電材料,該介電材料包括但不限於氧化矽、氮化矽、氮氧化矽、低k介電質、或其任何組合。在一些實施例中,在鍵合和減薄之後,例如透過濕/乾蝕刻然後沉積導電材料來形成垂直延伸穿過半導體層704的接觸部710。接觸部710可以與襯墊外互連層706中的互連接觸。
圖8示出了根據一些實施例的具有3D記憶體件的示例性系統850的示意框圖,該3D記憶體件帶有晶粒上資料處理系統。圖9示出了根據一些實施例的具有3D記憶體件的示例性系統920的示意框圖,該3D記憶體件帶有晶粒上資料處理電路。圖10是根據一些實施例的用於操作具有晶粒上資料處理電路的3D記憶體件的示例性方法1000的流程圖。與圖10一起描述圖9中所示的示例性系統。 應當理解,方法1000中示出的步驟不是詳盡無遺的,並且可在任何所示的步驟之前、之後、或之間執行其他步驟。此外,可同時執行一些步驟,或者以與圖10中所示不同的循序執行步驟。
圖8示出了根據一些實施例的具有晶粒上處理電路的系統850。系統850可具有主機810、I/O介面802(簡稱為“I/O”)、資料處理電路804、頁緩衝器806、和3D NAND記憶體(也稱為3D NAND儲存陣列)808。在一些實施例中,如上面詳細描述的,資料處理電路804與頁緩衝器806和3D NAND記憶體808在同一晶粒上形成。在一些實施例中,資料處理電路804、頁面緩衝器806、和3D NAND記憶體808形成3D記憶體件800。資料處理電路804可被稱為晶粒上資料處理電路,記憶體內計算電路,NAND上(on-NAND)資料處理電路等。資料(例如程式資料和控制指令)可在主機810和I/O介面802之間、I/O介面802和資料處理 電路804之間、資料處理電路804和頁緩衝器806之間、和3D NAND記憶體808和頁緩衝器806之間雙向傳輸。取決於資料處理電路804的功能,可啟用或禁用主機810和頁緩衝器806之間透過I/O介面802的資料傳輸812。例如,當資料處理電路804在3D記憶體件800中本地執行資料處理操作並將操作的結果返還或中繼到主機810時,可繞過或禁用資料傳輸812。當資料處理電路804不用於在3D記憶體件800中本地執行資料處理操作時,資料傳輸812可以是主機810和頁緩衝器806之間的雙向資料傳輸。也就是說,當調用資料處理電路804的資料處理能力時,可以在3D記憶體件800內執行對儲存在3D NAND記憶體808中的底層資料的大部分資料處理操作。結果是,由於僅透過I/O介面802傳輸操作結果,可減輕由於從3D記憶體件800向主機810傳輸底層資料而對I/O介面802施加的負擔。另一方面,當未調用資料處理電路804的資料處理能力時,主機810可繞過資料處理電路804,透過I/O介面802和頁緩衝器806訪問儲存在3D NAND記憶體808中的資料。
資料處理電路804和3D NAND記憶體808之間的資料傳輸814允許資料處理電路804直接訪問儲存在3D NAND記憶體808中的資料。在一些實施例中,資料傳輸814允許資料處理電路804基於儲存在3D NAND記憶體808中的程式來執行操作。可由主機810將程式寫入3D NAND記憶體808中(例如,透過資料傳輸812)。在一些實施例中,資料處理電路804可包括基於儲存在3D NAND記憶體808中的程式可程式設計的FPGA。例如,可基於儲存在3D NAND記憶體808中的程式,資料處理電路804可被程式設計和或/重新程式設計來執行各種或不同的操作。
主機810可以是生成資料和/或指令的任何適當的設備,比如一個或複 數個處理器。在一些實施例中,主機810包括中央處理單元(CPU)、圖形處理器(例如圖形處理單元(GPU))、應用處理器(AP)、通用處理器(例如APU,加速處理單元;GPGPU,GPU上的通用計算)或任何其他適當的處理器。I/O介面802可以是作為周邊電路一部分的、高速的、高輸送量的輸入/輸出電路。在一些實施例中,主機810包括系統控制器(例如控制系統850的各種操作的控制器)和/或記憶體控制器(例如控制3D記憶體件800的各種操作的控制器)。由主機810生成的任何適當類型的資料和/或指令可透過I/O介面802傳送到3D記憶體件800的資料處理電路804。例如,主機810可生成用於對儲存在3D NAND記憶體808中的資料執行某些操作的指令,並將該指令傳送到資料處理電路804。資料處理電路804可以站上和記憶體內的方式本地執行操作,並僅將操作的結果返還到主機810,而不是透過I/O介面802將用於這些操作的所有底層資料載入到主機810。 主機810和3D記憶體件800可以是任何適當設備的一部分,例如虛擬實境(VR)/增強現實(AR)設備(例如VR耳機等)、手持設備(例如非智慧手機或智慧手機、平板電腦等)、可穿戴設備(例如眼鏡、腕表等)、汽車控制站、遊戲控制台、電視機、筆記型電腦、臺式電腦、上網本、多媒體中心、機上盒、全球定位系統(GPS)、印表機、或任何其他適當的設備。
在一些實施例中,資料處理電路804包括複數個電晶體(例如邏輯電晶體)。在圖3、圖4、和圖5A至圖5C的描述中可找到資料處理電路804的細節,因此,在此不再贅述。可將資料處理電路804連接到頁緩衝器806,頁緩衝器806包括連接到3D NAND記憶體808中的各個頁的複數個緩衝區。
資料處理電路804可被當作3D記憶體件800的記憶體內計算單元使用以透過例如減少佔用I/O介面802的頻寬的資料量以提高資料操作的速度,從而提 高系統850的總輸送量。圖9示出了系統920,其中資料處理電路804被配置為對儲存在3D記憶體件800中的資料執行操作。為了便於描述,在圖9中省略了I/O介面802。
在一些實施例中,資料以頁的形式儲存在3D NAND記憶體808中,並且資料處理電路804可以頁面為單位提取來自3D NAND記憶體808的資料。如圖9中所示,3D NAND記憶體808可被描繪為複數個儲存片908(即908-1、……、908-M,M是正整數),每個儲存片表示由字元線和交叉儲存串形成的記憶體單元。儲存片908可包括多頁的儲存單元。在操作中,儲存在3D NAND記憶體808的一頁中的資料可一批地載入或緩存到頁緩衝器806中,然後可以作為一個資料單元由資料處理電路804提取。在一些實施例中,可以同時將多頁數據載入/緩存到一個或複數個頁緩衝器中。在其他實施例中,可以將多頁數據按順序載入/緩存到一個或複數個頁緩衝器中(例如一批之後立即另一批)。資料處理電路804可以並行的方式(例如同時提取多頁數據)或以串列的方式(例如在提取一頁數據之後立即提取另一頁數據)從頁緩衝器806提取緩存的資料。在以頁為單位提取資料之後,資料處理電路804可同時對提取到的資料執行操作(例如同時處理多頁數據)。在一些實施例中,資料處理電路804可按順序對提取到的資料執行操作(例如在處理一頁數據之後立即處理另一頁數據)。
在一些實施例中,資料處理電路804可直接透過資料傳輸814訪問儲存在3D NAND記憶體808中的資料。在這種情況下,儲存在3D NAND記憶體808中的資料可直接載入到資料處理電路804中。例如,3D NAND記憶體808可儲存資料處理電路804(例如資料處理電路804可以包括FPGA、MCU、ASIC等)可執行或可配置的程式碼。程式碼可透過資料傳輸814載入到資料處理電路804。 可以基於程式碼對資料處理電路804進行程式設計來對儲存在3D NAND記憶體808中的資料執行操作。在一些實施例中,可使用不同的程式碼對資料處理電路804重新程式設計來執行不同的操作。
參考圖10,方法1000開始於步驟1002,其中3D記憶體件800接收來自主機810的控制指令。控制指令可由主機810發出來用於對儲存在3D記憶體件800中(例如儲存在3D NAND記憶體808中)的資料執行某些操作。例如,控制指令可包括執行搜索操作來從儲存在3D記憶體件800中的資料庫中搜索某些(一個或複數個)記錄。常規地,需要將資料庫的底層資料發送到主機810,其中主機810將對資料執行搜索操作。當資料量很大時,傳輸整個資料庫的底層資料會給I/O介面802帶來沉重的負擔,限制了整個系統的輸送量。利用由資料處理電路804提供的晶粒上、記憶體內計算和資料處理能力,可在記憶體中執行大部分搜索操作,而不給I/O介面802帶來負擔。一旦搜索操作完成,只需要透過I/O介面802將搜索結果傳輸到主機810。因此,這種記憶體內計算功能可大大降低I/O介面802上的資料傳輸負擔。另外,因為資料處理電路804可穿過混合鍵合連接到3D NAND儲存陣列808,所以由於資料處理電路804和3D NAND儲存陣列808之間的短而直接的物理連接,可實現高資料傳輸速率。由資料處理電路804提供的記憶體內計算能力可用於在3D記憶體件800內執行各種操作,比如上面討論的搜索操作、資料加密、和資料預處理操作。
在步驟1004,資料處理電路804可基於控制指令從3D NAND儲存陣列808中提取資料。如上面結合圖8和圖9所討論的那樣,資料處理電路804可以頁為單位提取儲存在3D NAND儲存陣列808中的原始資料,並且可同時或按順序提取儲存在複數個頁中的資料。以搜索操作為例,主機810可指導資料處理電路 804執行搜索操作來從儲存在3D NAND記憶體808中的資料中搜索某些記錄。資料處理電路804可基於例如儲存在3D NAND儲存陣列808中的搜索程式來在3D記憶體件800內執行搜索操作,而不是將原始資料發送到主機810。換句話說,資料處理電路804可被程式設計為使用本機存放區在3D NAND儲存陣列808中的程式碼來執行搜索操作以對儲存在3D記憶體件800中的原始資料執行搜索操作。儲存在3D記憶體件800的頁中的資料可由資料處理電路804批量提取,例如一次一頁。在一些實施例中,可以並存執行資料提取,使得可將多頁數據載入到資料處理電路804中以便同時處理。在其他實施例中,可按循序執行資料提取,使得資料處理電路804一次提取一頁。
在步驟1006,資料處理電路804可對提取到的資料執行錯誤檢查和糾正(ECC)操作。例如資料處理電路804可包括內置ECC控制器來執行ECC操作。 在一些實施例中,內置ECC控制器可與資料處理電路804的其他部件(例如邏輯電晶體)一起形成。在一些實施例中,ECC控制器可基於例如儲存在3D NAND儲存陣列808中的程式碼,透過對資料處理電路804進行程式設計來實現。在另一個示例中,資料處理電路804可連接到外部ECC控制器。外部ECC控制器可以是周邊電路312/442的一部分。在一些實施例中,外部ECC控制器可在3D記憶體件800外部並連接到資料處理電路804。應注意,在一些應用中可以省略ECC操作。
在步驟1008,資料處理電路804可在記憶體內本地地對提取到的資料執行操作。在搜索操作示例中,資料處理電路804可遍歷從3D NAND儲存陣列808的頁提取到的原始資料來搜索滿足搜索標準的記錄。因為由於上面討論的混合鍵合技術,資料處理電路804和3D NAND儲存陣列808之間的資料傳輸可以實現 高速,所以可以非常有效地執行搜索操作,而不會給為系統850的部件之間的一般資料傳輸而設計的I/O介面802增加負擔。在另一示例中,資料處理電路804可對儲存在3D NAND儲存陣列808中的資料執行資料加密/解密,並將加密/解密的資料儲存回3D記憶體件800的儲存單元。加密的/解密的資料可以替換原始資料或儲存在不同的記憶體單元中。類似地,資料處理電路804可執行資料壓縮/解壓縮、資料預處理/預調整、圖像渲染、特徵學習/模型訓練、或需要對大量資料進行邏輯計算的任何其他操作。
在步驟1010,資料處理電路804可經由I/O介面802將操作的結果返還給主機810。例如,搜索操作的結果可包括滿足該搜索標準的一個或複數個記錄。在另一示例中,加密操作的結果可以包括確認碼、金鑰、校驗和等。在任何情況下,這些操作所針對的底層資料不需要經由I/O介面802傳輸到主機810,減輕了I/O介面802承載大量資料流程量的負擔。
3D NAND記憶體808可包括多級單元(MLC)NAND記憶體件,其中一定數量的複數個頁對應於儲存在儲存單元中的一定數量的比特。在一些實施例中,3D NAND記憶體808包括封裝在無RAM應用環境中的三級單元(TLC)NAND記憶體件中,例如eMMC或UFS。
在一些實施例中,3D記憶體件包括3D NAND儲存陣列和在同一晶粒上耦合到所述3D NAND儲存陣列的晶粒上資料處理電路。其中晶粒上資料處理電路被配置為從輸入/輸出(I/O)介面接收用於對儲存在3D NAND儲存陣列中的資料執行操作的控制指令。晶粒上資料處理電路還配置為基於所述控制指令從所述3D NAND儲存陣列提取所述資料以及對所提取的資料執行操作。此外, 晶粒上資料處理電路被配置為將所述操作的結果返回給I/O介面。
在一些實施例中,所述3D NAND儲存陣列包括複數個頁。所述晶粒上資料處理電路被配置為以頁為單位從所述3D NAND陣列提取資料。
在一些實施例中,所述晶粒上資料處理電路被配置為對同時從複數個頁中提取到的資料執行所述操作。
在一些實施例中,所述晶粒上資料處理電路被配置為對按順序從複數個頁中提取到的資料執行所述操作。
在一些實施例中,所述晶粒上資料處理電路被程式設計為基於儲存在所述3D NAND儲存陣列中的程式碼來執行所述操作。
在一些實施例中,所述晶粒上資料處理電路包括現場可程式設計閘陣列(FPGA)、微控制器單元(MCU)或專用積體電路(ASIC)中的至少一個。
在一些實施例中,3D記憶體件還包括:控制器,被配置為對所提取的資料執行錯誤檢查和糾錯(ECC)。
在一些實施例中,所述3D記憶體件被封裝在嵌入式多媒體儲存卡(eMMC)或通用快閃記憶體儲存(UFS)中的至少一個中。
在一些實施例中,3D記憶體件還包括被配置為執行所述3D記憶體件 的資料儲存操作的周邊電路,所述周邊電路和所述晶粒上資料處理電路佔據所述3D記憶體件的非重疊空間。
在一些實施例中,操作三維(3D)記憶體件上的資料處理單元的系統包括主機和具有複數個NAND儲存單元並且被耦合到所述主機的儲存單元。所述資料處理單元被耦合到同一晶片上的儲存單元,所述資料處理單元被配置為從所述主機接收用於對儲存在所述NAND儲存單元中的資料執行操作的控制指令。所述資料處理單元基於所述控制指令從所述NAND儲存單元提取資料,對所提取的資料執行所述操作。此外所述資料處理單元將所述操作的結果返回到所述主機。
在一些實施例中,所述NAND儲存單元被排列成多頁。所述資料處理單元被配置為以頁為單位從所述NAND儲存單元提取所述資料。
在一些實施例中,所述資料處理單元被配置為對同時從複數個頁中提取到的資料執行所述操作。
在一些實施例中,所述晶粒上資料處理電路被配置為對按順序從複數個頁中提取的資料執行所述操作。
在一些實施例中,所述資料處理單元被程式設計為基於儲存在所述NAND儲存單元中的程式碼來執行所述操作。
在一些實施例中,所述資料處理單元包括現場可程式設計閘陣列 (FPGA)、微控制器單元(MCU)、或專用積體電路(ASIC)中的至少一個。
在一些實施例中,所述系統還包括控制器,該控制器被配置為對所提取的資料執行錯誤檢查和糾錯(ECC)。
在一些實施例中,所述的系統還包括周邊電路,該周邊電路被配置為執行所述儲存單元的資料儲存操作,所述周邊電路和所述資料處理單元佔據所述儲存單元的非重疊空間。
在一些實施例中,一種用於操作三維(3D)記憶體件上的邏輯電路的方法包括從輸入/輸出(I/O)介面接收用於對儲存在3D記憶體件中的資料執行操作的控制指令。該方法還包括基於所述控制指令,與所述3D記憶體件處在同一晶片上的邏輯電路從所述3D記憶體件提取所述資料並由所述邏輯電路對所提取的資料執行所述操作。此外,該方法還包括由所述邏輯電路將所述操作的結果返回I/O介面。
在一些實施例中,所述3D記憶體件包括複數個頁,所述方法包括由所述邏輯電路以頁為單位從所述3D記憶體件提取所述資料。
在一些實施例中,所述的方法包括對同時從複數個頁提取到的資料執行所述操作。
在一些實施例中,所述方法包括對按順序從複數個頁提取到的資料執行所述操作。
在一些實施例中,所述的方法還包括:由與所述3D記憶體件處在同一晶片上的控制器對提取到的資料執行錯誤檢查和校錯(ECC)。
在一些實施例中,所述邏輯電路包括現場可程式設計閘陣列(FPGA),微控制器單元(MCU)、或專用積體電路(ASIC)中的至少一個。
在一些實施例中,所述的方法還包括由所述周邊電路執行所述3D記憶體件的儲存操作,所述周邊電路和所述邏輯電路佔據所述3D記憶體件的非重疊空間。
對特定實施例的上述說明因此將完全揭示本公開的一般性質,在不脫離本公開的總體構思的情況下,本領域技術人員不需要過多的試驗就能夠透過應用本領域技術的知識容易地針對各種應用修改和/或調整這樣的具體實施例。因此,基於本文提出的教導和指引,這種調整和修改旨在處於所公開的實施例的等同物的含義和範圍內。應當理解,本文中的措辭或術語是用於描述的目的,而不是為了進行限制,從而本說明書的術語或措辭將由技術人員按照所述教導和指導進行解釋。
上文已經借助於功能性方塊描述了本公開的實施例,該功能性方塊闡明了指定的功能及其關係的實現方式。在本文中出於方便描述的目的任意地定義了這些功能性方塊的邊界。可定義替代的邊界,只要適當執行指定的功能及其關係即可。
發明內容和摘要部分可闡述發明人所設想的本公開的一個或複數個示例性實施例,但並非所有示例性實施例,並且因此,發明內容和摘要部分並非旨在透過任何方式限制本公開和所附申請專利範圍。
本公開的廣度和範圍不應受任何上述示例性實施例的限制,並且應當僅根據以下申請專利範圍及其等同物來定義。
100:3D記憶體件
102:第一半導體結構
104:第二半導體結構
106:鍵合界面
x、y:軸

Claims (17)

  1. 一種三維(3D)記憶體件,包括:3D NAND儲存陣列;晶粒上資料處理電路,在同一晶粒上耦合到所述3D NAND儲存陣列,其中所述晶粒上資料處理電路被配置為:從輸入/輸出(I/O)介面接收用於對儲存在所述3D NAND儲存陣列中的資料執行基於演算法的操作的控制指令;基於所述控制指令從所述3D NAND儲存陣列提取所述資料;提供計算能力以對所提取的資料執行基於演算法的所述操作;和將所述操作的結果返回給所述I/O介面;和周邊電路,被配置為執行所述3D記憶體件的資料儲存操作,所述周邊電路和所述晶粒上資料處理電路佔據所述3D記憶體件的非重疊空間。
  2. 如請求項1所述的3D記憶體件,其中:所述3D NAND儲存陣列包括複數個頁;和所述晶粒上資料處理電路被配置為以頁為單位從所述3D NAND陣列提取資料。
  3. 如請求項2所述的3D記憶體件,其中所述晶粒上資料處理電路被配置為對同時從複數個頁中提取到的資料執行所述操作。
  4. 如請求項2所述的3D記憶體件,其中所述晶粒上資料處理電路被配置為對按順序從複數個頁中提取到的資料執行所述操作。
  5. 如請求項1所述的3D記憶體件,其中所述晶粒上資料處理電路被程式設計為基於儲存在所述3D NAND儲存陣列中的程式碼來執行所述操作。
  6. 如請求項1所述的3D記憶體件,還包括:控制器,被配置為對所提取的資料執行錯誤檢查和糾錯(ECC)。
  7. 一種用於操作三維(3D)記憶體件上的資料處理單元的系統,包括:主機;儲存單元,具有複數個NAND儲存單元並且被耦合到所述主機;和周邊電路,被配置為執行所述儲存單元的資料儲存操作;其中將所述資料處理單元被耦合到同一晶片上的所述儲存單元,所述資料處理單元被配置為:從所述主機接收用於對儲存在所述NAND儲存單元中的資料執行基於演算法的操作的控制指令;基於所述控制指令從所述NAND儲存單元提取所述資料;提供計算能力以對所提取的資料執行基於演算法的所述操作;和將所述操作的結果返回到所述主機;其中所述周邊電路和所述資料處理單元佔據所述儲存單元的非重疊空間。
  8. 如請求項7所述的系統,其中:所述NAND儲存單元被排列成多頁;和所述資料處理單元被配置為以頁為單位從所述NAND儲存單元提取所述資料。
  9. 如請求項8所述的系統,其中所述資料處理單元被配置為對同時從複數個頁中提取到的資料執行所述操作。
  10. 如請求項9所述的系統,其中所述晶粒上資料處理電路被配置為對按順序從複數個頁中提取的資料執行所述操作。
  11. 如請求項7所述的系統,其中所述資料處理單元被程式設計為基於儲存在所述NAND儲存單元中的程式碼來執行所述操作。
  12. 如請求項7所述的系統,還包括:控制器,被配置為對所提取的資料執行錯誤檢查和糾錯(ECC)。
  13. 一種用於操作三維(3D)記憶體件上的邏輯電路的方法,包括:從輸入/輸出(I/O)介面接收用於對儲存在3D記憶體件中的資料執行基於演算法的操作的控制指令;基於所述控制指令,與所述3D記憶體件處在同一晶片上的邏輯電路從所述3D記憶體件提取所述資料;由所述邏輯電路提供計算能力以對所提取的資料執行基於演算法的所述操作;由所述邏輯電路將所述操作的結果返回I/O介面;和由與所述3D記憶體件處在同一晶片上的周邊電路執行所述3D記憶體件的儲存操作,所述周邊電路和所述邏輯電路佔據所述3D記憶體件的非重疊空間。
  14. 如請求項13所述的方法,其中:所述3D記憶體件包括複數個頁;和所述方法包括:由所述邏輯電路以頁為單位從所述3D記憶體件提取所述資料。
  15. 如請求項14所述的方法,包括對同時從複數個頁提取到的資料執行所述操作。
  16. 如請求項14所述的方法,包括對按順序從複數個頁提取到的資料執行所述操作。
  17. 如請求項13所述的方法,還包括:由與所述3D記憶體件處在同一晶片上的控制器對提取到的資料執行錯誤檢查和校錯(ECC)。
TW108144025A 2019-06-28 2019-12-03 三維記憶體件中的記憶體內計算 TWI709139B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
PCT/CN2019/093477 WO2020258197A1 (en) 2019-06-28 2019-06-28 Computation-in-memory in three-dimensional memory device
WOPCT/CN2019/093477 2019-06-28

Publications (2)

Publication Number Publication Date
TWI709139B true TWI709139B (zh) 2020-11-01
TW202101471A TW202101471A (zh) 2021-01-01

Family

ID=68516949

Family Applications (1)

Application Number Title Priority Date Filing Date
TW108144025A TWI709139B (zh) 2019-06-28 2019-12-03 三維記憶體件中的記憶體內計算

Country Status (4)

Country Link
US (1) US11461266B2 (zh)
CN (2) CN110476209B (zh)
TW (1) TWI709139B (zh)
WO (1) WO2020258197A1 (zh)

Families Citing this family (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2021034560A (ja) * 2019-08-23 2021-03-01 キオクシア株式会社 半導体装置およびその製造方法
US11295053B2 (en) * 2019-09-12 2022-04-05 Arm Limited Dielet design techniques
JP2021048303A (ja) * 2019-09-19 2021-03-25 キオクシア株式会社 半導体装置
KR20210092090A (ko) 2020-01-15 2021-07-23 에스케이하이닉스 주식회사 반도체 메모리 장치 및 그 제조방법
CN111312719B (zh) * 2020-02-27 2021-08-13 长江存储科技有限责任公司 半导体结构及其制备方法
DE102021106752B4 (de) 2020-05-29 2023-10-26 Taiwan Semiconductor Manufacturing Company, Ltd. Speichervorichtung, integrierte schaltungsvorrichtung und verfahren
KR20220033781A (ko) * 2020-09-10 2022-03-17 에스케이하이닉스 주식회사 반도체 메모리 장치 및 그 제조방법
WO2022077148A1 (en) * 2020-10-12 2022-04-21 Yangtze Advanced Memory Industrial Innovation Center Co., Ltd Multiple integration scheme with asic or fpga chip bonding to 3d crosspoint chip
CN116097920A (zh) * 2021-08-31 2023-05-09 长江存储科技有限责任公司 具有垂直晶体管的存储器器件及其形成方法

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20070043938A1 (en) * 2003-08-01 2007-02-22 Symbian Software Limited Method of accessing data in a computing device
US20180374557A1 (en) * 2009-01-23 2018-12-27 Micron Technology, Inc. Memory devices and methods for managing error regions

Family Cites Families (64)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN100358147C (zh) 2000-08-14 2007-12-26 矩阵半导体公司 密集阵列和电荷存储器件及其制造方法
US6897514B2 (en) 2001-03-28 2005-05-24 Matrix Semiconductor, Inc. Two mask floating gate EEPROM and method of making
US6593624B2 (en) 2001-09-25 2003-07-15 Matrix Semiconductor, Inc. Thin film transistors with vertically offset drain regions
US6700176B2 (en) 2002-07-18 2004-03-02 Broadcom Corporation MOSFET anti-fuse structure and method for making same
KR100555506B1 (ko) * 2003-07-11 2006-03-03 삼성전자주식회사 프로그램된 메모리 셀들과 프로그램 및 소거 가능한메모리 셀들을 포함하는 메모리 장치
WO2006019156A1 (ja) 2004-08-20 2006-02-23 Zycube Co., Ltd. 三次元積層構造を持つ半導体装置の製造方法
CN100508196C (zh) 2004-11-05 2009-07-01 张国飙 三维存储器系统芯片
US20080028521A1 (en) 2006-07-17 2008-02-07 Sunil Mehta Formation of high voltage transistor with high breakdown voltage
JP2008251666A (ja) 2007-03-29 2008-10-16 Tohoku Univ 三次元構造半導体装置
US7929368B2 (en) 2008-12-30 2011-04-19 Micron Technology, Inc. Variable memory refresh devices and methods
US10388863B2 (en) 2009-10-12 2019-08-20 Monolithic 3D Inc. 3D memory device and structure
US9477636B2 (en) * 2009-10-21 2016-10-25 Micron Technology, Inc. Memory having internal processors and data communication methods in memory
US20190067109A1 (en) 2011-06-28 2019-02-28 Monolithic 3D Inc. 3d semiconductor device and system
JP2012204896A (ja) 2011-03-24 2012-10-22 Toshiba Corp 不揮発プログラマブルロジックスイッチ
JP5853389B2 (ja) 2011-03-28 2016-02-09 ソニー株式会社 半導体装置及び半導体装置の製造方法。
US20180107591A1 (en) * 2011-04-06 2018-04-19 P4tents1, LLC System, method and computer program product for fetching data between an execution of a plurality of threads
US20190074222A1 (en) 2011-06-28 2019-03-07 Monolithic 3D Inc. 3d semiconductor device and system
US8477555B2 (en) * 2011-06-30 2013-07-02 Intel Corporation Deselect drivers for a memory array
US9029173B2 (en) 2011-10-18 2015-05-12 Monolithic 3D Inc. Method for fabrication of a semiconductor device and structure
JP2013219319A (ja) 2012-03-16 2013-10-24 Sony Corp 半導体装置、半導体装置の製造方法、半導体ウエハ、及び、電子機器
JP5651632B2 (ja) 2012-03-26 2015-01-14 株式会社東芝 プログラマブルロジックスイッチ
JP2014053374A (ja) 2012-09-05 2014-03-20 Toshiba Corp 半導体集積回路
US9135185B2 (en) * 2012-12-23 2015-09-15 Advanced Micro Devices, Inc. Die-stacked memory device providing data translation
US9170948B2 (en) * 2012-12-23 2015-10-27 Advanced Micro Devices, Inc. Cache coherency using die-stacked memory device with logic die
US9093170B2 (en) * 2013-03-01 2015-07-28 Intel Corporation Multi-level cell (MLC) non-volatile memory data reading method and apparatus
US10840239B2 (en) 2014-08-26 2020-11-17 Monolithic 3D Inc. 3D semiconductor device and structure
US9047960B2 (en) 2013-08-02 2015-06-02 Qualcomm Incorporated Flash memory cell with capacitive coupling between a metal floating gate and a metal control gate
JP2015076502A (ja) 2013-10-09 2015-04-20 ソニー株式会社 半導体装置およびその製造方法、並びに電子機器
CN104576646B (zh) 2013-10-11 2017-09-05 苏州东微半导体有限公司 一种集成电路芯片及其制造方法
KR20150116175A (ko) 2014-04-07 2015-10-15 에스케이하이닉스 주식회사 소스라인 저항 감소를 위한 비휘발성 메모리 장치
KR102192539B1 (ko) 2014-05-21 2020-12-18 삼성전자주식회사 반도체 장치 및 이의 프로그램 방법
US20180374864A1 (en) 2014-09-12 2018-12-27 Toshiba Memory Corporation Semiconductor memory device
US9836277B2 (en) * 2014-10-01 2017-12-05 Samsung Electronics Co., Ltd. In-memory popcount support for real time analytics
KR102254100B1 (ko) * 2015-01-05 2021-05-20 삼성전자주식회사 메모리 장치, 메모리 시스템 및 메모리 장치의 동작 방법
WO2016154521A1 (en) 2015-03-25 2016-09-29 3B Technologies, Inc. Three dimensional integrated circuits employing thin film transistors
US20190057959A1 (en) 2015-06-06 2019-02-21 Monolithic 3D Inc. Semiconductor device and structure with thermal isolation
US10096612B2 (en) 2015-09-14 2018-10-09 Intel Corporation Three dimensional memory device having isolated periphery contacts through an active layer exhume process
CN108401468A (zh) 2015-09-21 2018-08-14 莫诺利特斯3D有限公司 3d半导体器件和结构
US10297599B2 (en) 2015-11-07 2019-05-21 Monolithic 3D Inc. Semiconductor memory device and structure
CN106876419B (zh) 2015-12-10 2019-07-30 中芯国际集成电路制造(上海)有限公司 Cmos图像传感器及其形成方法
KR102473209B1 (ko) * 2015-12-14 2022-12-02 삼성전자주식회사 스토리지 장치 및 스토리지 장치의 동작 방법
US11527523B2 (en) * 2018-12-10 2022-12-13 HangZhou HaiCun Information Technology Co., Ltd. Discrete three-dimensional processor
CN107169404B (zh) * 2016-03-07 2021-06-18 杭州海存信息技术有限公司 含有三维存储阵列的分布式模式处理器
US20170255834A1 (en) * 2016-03-07 2017-09-07 HangZhou HaiCun Information Technology Co., Ltd. Distributed Pattern Processor Comprising Three-Dimensional Memory Array
CN109145683A (zh) * 2017-06-19 2019-01-04 成都海存艾匹科技有限公司 兼具图像识别功能的存储器
KR20170121798A (ko) * 2016-04-26 2017-11-03 삼성전자주식회사 반도체 메모리 장치 및 이의 동작 방법
KR102479212B1 (ko) * 2016-08-17 2022-12-20 삼성전자주식회사 반도체 메모리 장치, 이를 포함하는 메모리 시스템 및 이의 동작 방법
US10199409B2 (en) 2016-09-26 2019-02-05 Stmicroelectronics (Crolles 2) Sas Trench between stacked semiconductor substrates making contact with source-drain region
US10991675B2 (en) 2016-10-10 2021-04-27 Monolithic 3D Inc. 3D semiconductor device and structure
US10268389B2 (en) * 2017-02-22 2019-04-23 Micron Technology, Inc. Apparatuses and methods for in-memory operations
CN106910746B (zh) 2017-03-08 2018-06-19 长江存储科技有限责任公司 一种3d nand存储器件及其制造方法、封装方法
US10684955B2 (en) * 2017-04-21 2020-06-16 Micron Technology, Inc. Memory devices and methods which may facilitate tensor memory access with memory maps based on memory operations
US10134479B2 (en) 2017-04-21 2018-11-20 Sandisk Technologies Llc Non-volatile memory with reduced program speed variation
CN107658315B (zh) 2017-08-21 2019-05-14 长江存储科技有限责任公司 半导体装置及其制备方法
WO2019037403A1 (en) 2017-08-21 2019-02-28 Yangtze Memory Technologies Co., Ltd. THREE-DIMENSIONAL STABLE MEMORY DEVICES AND METHODS OF FORMING THE SAME
CN108172255A (zh) * 2018-01-15 2018-06-15 上海新储集成电路有限公司 一种数据存储系统
US10651153B2 (en) 2018-06-18 2020-05-12 Intel Corporation Three-dimensional (3D) memory with shared control circuitry using wafer-to-wafer bonding
CN111430356B (zh) 2018-06-28 2021-05-25 长江存储科技有限责任公司 具有屏蔽层的三维存储器器件以及用于制造其的方法
KR102665410B1 (ko) * 2018-07-30 2024-05-13 삼성전자주식회사 메모리 장치의 내부 프로세싱 동작 방법
KR102587895B1 (ko) 2018-09-13 2023-10-12 삼성전자주식회사 픽셀 어레이와 메모리 셀 어레이가 병합된 이미지 센서 및 이를 포함하는 전자 장치
US10949123B2 (en) * 2018-10-18 2021-03-16 Western Digital Technologies, Inc. Using interleaved writes to separate die planes
CN109545764A (zh) 2018-11-14 2019-03-29 长江存储科技有限责任公司 三维存储器及其制造方法
KR20200082617A (ko) * 2018-12-31 2020-07-08 삼성전자주식회사 메모리 장치를 이용한 계산 방법 및 이를 수행하는 메모리 장치
US10985169B2 (en) * 2019-03-04 2021-04-20 Sandisk Technologies Llc Three-dimensional device with bonded structures including a support die and methods of making the same

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20070043938A1 (en) * 2003-08-01 2007-02-22 Symbian Software Limited Method of accessing data in a computing device
US20180374557A1 (en) * 2009-01-23 2018-12-27 Micron Technology, Inc. Memory devices and methods for managing error regions

Also Published As

Publication number Publication date
CN112466350A (zh) 2021-03-09
CN110476209B (zh) 2020-11-17
TW202101471A (zh) 2021-01-01
CN110476209A (zh) 2019-11-19
US20200409592A1 (en) 2020-12-31
US11461266B2 (en) 2022-10-04
WO2020258197A1 (en) 2020-12-30
CN112466350B (zh) 2023-05-12

Similar Documents

Publication Publication Date Title
TWI715097B (zh) 具有靜態隨機存取記憶體的三維記憶體元件
US11551753B2 (en) Three-dimensional memory device with embedded dynamic random-access memory
TWI709139B (zh) 三維記憶體件中的記憶體內計算
US11594531B2 (en) Computation-in-memory in three-dimensional memory device
WO2020220555A1 (en) Bonded semiconductor devices having processor and nand flash memory and methods for forming the same
US11864367B2 (en) Bonded semiconductor devices having processor and NAND flash memory and methods for forming the same
TWI707453B (zh) 三維記憶裝置、用於操作三維記憶裝置上的緩衝單元的系統以及用於操作三維記憶裝置上的數據緩衝器的方法
JP7407203B2 (ja) スタティックランダムアクセスメモリを有する3次元メモリデバイスのキャッシュプログラム動作