TWI632648B - 半導體裝置和在埋藏晶圓級晶片尺寸封裝中沿半導體晶粒之側邊和表面邊緣沉積囊封劑的方法 - Google Patents

半導體裝置和在埋藏晶圓級晶片尺寸封裝中沿半導體晶粒之側邊和表面邊緣沉積囊封劑的方法 Download PDF

Info

Publication number
TWI632648B
TWI632648B TW102125368A TW102125368A TWI632648B TW I632648 B TWI632648 B TW I632648B TW 102125368 A TW102125368 A TW 102125368A TW 102125368 A TW102125368 A TW 102125368A TW I632648 B TWI632648 B TW I632648B
Authority
TW
Taiwan
Prior art keywords
semiconductor die
semiconductor
conductive layer
encapsulant
carrier
Prior art date
Application number
TW102125368A
Other languages
English (en)
Other versions
TW201415585A (zh
Inventor
林耀劍
海茲 彼得 華茲
尹勝煜
潘迪C 瑪莉姆蘇
Original Assignee
史達晶片有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 史達晶片有限公司 filed Critical 史達晶片有限公司
Publication of TW201415585A publication Critical patent/TW201415585A/zh
Application granted granted Critical
Publication of TWI632648B publication Critical patent/TWI632648B/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/50Assembly of semiconductor devices using processes or apparatus not provided for in a single one of the subgroups H01L21/06 - H01L21/326, e.g. sealing of a cap to a base of a container
    • H01L21/56Encapsulations, e.g. encapsulation layers, coatings
    • H01L21/565Moulds
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/10Bump connectors ; Manufacturing methods related thereto
    • H01L24/11Manufacturing methods
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/31058After-treatment of organic layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/50Assembly of semiconductor devices using processes or apparatus not provided for in a single one of the subgroups H01L21/06 - H01L21/326, e.g. sealing of a cap to a base of a container
    • H01L21/56Encapsulations, e.g. encapsulation layers, coatings
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/50Assembly of semiconductor devices using processes or apparatus not provided for in a single one of the subgroups H01L21/06 - H01L21/326, e.g. sealing of a cap to a base of a container
    • H01L21/56Encapsulations, e.g. encapsulation layers, coatings
    • H01L21/561Batch processing
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/50Assembly of semiconductor devices using processes or apparatus not provided for in a single one of the subgroups H01L21/06 - H01L21/326, e.g. sealing of a cap to a base of a container
    • H01L21/56Encapsulations, e.g. encapsulation layers, coatings
    • H01L21/563Encapsulation of active face of flip-chip device, e.g. underfilling or underencapsulation of flip-chip, encapsulation preform on chip or mounting substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/28Encapsulations, e.g. encapsulating layers, coatings, e.g. for protection
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/28Encapsulations, e.g. encapsulating layers, coatings, e.g. for protection
    • H01L23/31Encapsulations, e.g. encapsulating layers, coatings, e.g. for protection characterised by the arrangement or shape
    • H01L23/3107Encapsulations, e.g. encapsulating layers, coatings, e.g. for protection characterised by the arrangement or shape the device being completely enclosed
    • H01L23/3114Encapsulations, e.g. encapsulating layers, coatings, e.g. for protection characterised by the arrangement or shape the device being completely enclosed the device being a chip scale package, e.g. CSP
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/28Encapsulations, e.g. encapsulating layers, coatings, e.g. for protection
    • H01L23/31Encapsulations, e.g. encapsulating layers, coatings, e.g. for protection characterised by the arrangement or shape
    • H01L23/3107Encapsulations, e.g. encapsulating layers, coatings, e.g. for protection characterised by the arrangement or shape the device being completely enclosed
    • H01L23/3121Encapsulations, e.g. encapsulating layers, coatings, e.g. for protection characterised by the arrangement or shape the device being completely enclosed a substrate forming part of the encapsulation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/28Encapsulations, e.g. encapsulating layers, coatings, e.g. for protection
    • H01L23/31Encapsulations, e.g. encapsulating layers, coatings, e.g. for protection characterised by the arrangement or shape
    • H01L23/3107Encapsulations, e.g. encapsulating layers, coatings, e.g. for protection characterised by the arrangement or shape the device being completely enclosed
    • H01L23/3121Encapsulations, e.g. encapsulating layers, coatings, e.g. for protection characterised by the arrangement or shape the device being completely enclosed a substrate forming part of the encapsulation
    • H01L23/3128Encapsulations, e.g. encapsulating layers, coatings, e.g. for protection characterised by the arrangement or shape the device being completely enclosed a substrate forming part of the encapsulation the substrate having spherical bumps for external connection
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/28Encapsulations, e.g. encapsulating layers, coatings, e.g. for protection
    • H01L23/31Encapsulations, e.g. encapsulating layers, coatings, e.g. for protection characterised by the arrangement or shape
    • H01L23/3107Encapsulations, e.g. encapsulating layers, coatings, e.g. for protection characterised by the arrangement or shape the device being completely enclosed
    • H01L23/3135Double encapsulation or coating and encapsulation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/28Encapsulations, e.g. encapsulating layers, coatings, e.g. for protection
    • H01L23/31Encapsulations, e.g. encapsulating layers, coatings, e.g. for protection characterised by the arrangement or shape
    • H01L23/3107Encapsulations, e.g. encapsulating layers, coatings, e.g. for protection characterised by the arrangement or shape the device being completely enclosed
    • H01L23/3142Sealing arrangements between parts, e.g. adhesion promotors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • H01L24/81Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected using a bump connector
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/93Batch processes
    • H01L24/95Batch processes at chip-level, i.e. with connecting carried out on a plurality of singulated devices, i.e. on diced chips
    • H01L24/96Batch processes at chip-level, i.e. with connecting carried out on a plurality of singulated devices, i.e. on diced chips the devices being encapsulated in a common layer, e.g. neo-wafer or pseudo-wafer, said common layer being separable into individual assemblies after connecting
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/93Batch processes
    • H01L24/95Batch processes at chip-level, i.e. with connecting carried out on a plurality of singulated devices, i.e. on diced chips
    • H01L24/97Batch processes at chip-level, i.e. with connecting carried out on a plurality of singulated devices, i.e. on diced chips the devices being connected to a common substrate, e.g. interposer, said common substrate being separable into individual assemblies after connecting
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L25/00Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof
    • H01L25/03Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes
    • H01L25/04Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes the devices not having separate containers
    • H01L25/065Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes the devices not having separate containers the devices being of a type provided for in group H01L27/00
    • H01L25/0655Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes the devices not having separate containers the devices being of a type provided for in group H01L27/00 the devices being arranged next to each other
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/50Assembly of semiconductor devices using processes or apparatus not provided for in a single one of the subgroups H01L21/06 - H01L21/326, e.g. sealing of a cap to a base of a container
    • H01L21/56Encapsulations, e.g. encapsulation layers, coatings
    • H01L21/568Temporary substrate used as encapsulation process aid
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/04105Bonding areas formed on an encapsulation of the semiconductor or solid-state body, e.g. bonding areas on chip-scale packages
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • H01L2224/05001Internal layers
    • H01L2224/05075Plural internal layers
    • H01L2224/0508Plural internal layers being stacked
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • H01L2224/05001Internal layers
    • H01L2224/05099Material
    • H01L2224/051Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof
    • H01L2224/05101Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof the principal constituent melting at a temperature of less than 400°C
    • H01L2224/05111Tin [Sn] as principal constituent
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • H01L2224/05001Internal layers
    • H01L2224/05099Material
    • H01L2224/051Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof
    • H01L2224/05117Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof the principal constituent melting at a temperature of greater than or equal to 400°C and less than 950°C
    • H01L2224/05124Aluminium [Al] as principal constituent
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • H01L2224/05001Internal layers
    • H01L2224/05099Material
    • H01L2224/051Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof
    • H01L2224/05138Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof the principal constituent melting at a temperature of greater than or equal to 950°C and less than 1550°C
    • H01L2224/05139Silver [Ag] as principal constituent
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • H01L2224/05001Internal layers
    • H01L2224/05099Material
    • H01L2224/051Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof
    • H01L2224/05138Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof the principal constituent melting at a temperature of greater than or equal to 950°C and less than 1550°C
    • H01L2224/05144Gold [Au] as principal constituent
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • H01L2224/05001Internal layers
    • H01L2224/05099Material
    • H01L2224/051Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof
    • H01L2224/05138Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof the principal constituent melting at a temperature of greater than or equal to 950°C and less than 1550°C
    • H01L2224/05147Copper [Cu] as principal constituent
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • H01L2224/05001Internal layers
    • H01L2224/05099Material
    • H01L2224/051Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof
    • H01L2224/05138Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof the principal constituent melting at a temperature of greater than or equal to 950°C and less than 1550°C
    • H01L2224/05155Nickel [Ni] as principal constituent
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • H01L2224/05001Internal layers
    • H01L2224/05099Material
    • H01L2224/051Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof
    • H01L2224/05163Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof the principal constituent melting at a temperature of greater than 1550°C
    • H01L2224/05164Palladium [Pd] as principal constituent
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • H01L2224/05001Internal layers
    • H01L2224/05099Material
    • H01L2224/051Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof
    • H01L2224/05163Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof the principal constituent melting at a temperature of greater than 1550°C
    • H01L2224/05166Titanium [Ti] as principal constituent
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • H01L2224/05001Internal layers
    • H01L2224/05099Material
    • H01L2224/051Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof
    • H01L2224/05163Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof the principal constituent melting at a temperature of greater than 1550°C
    • H01L2224/05169Platinum [Pt] as principal constituent
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • H01L2224/05001Internal layers
    • H01L2224/05099Material
    • H01L2224/051Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof
    • H01L2224/05163Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof the principal constituent melting at a temperature of greater than 1550°C
    • H01L2224/05171Chromium [Cr] as principal constituent
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • H01L2224/05001Internal layers
    • H01L2224/05099Material
    • H01L2224/051Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof
    • H01L2224/05163Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof the principal constituent melting at a temperature of greater than 1550°C
    • H01L2224/05172Vanadium [V] as principal constituent
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • H01L2224/05001Internal layers
    • H01L2224/05099Material
    • H01L2224/051Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof
    • H01L2224/05163Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof the principal constituent melting at a temperature of greater than 1550°C
    • H01L2224/05184Tungsten [W] as principal constituent
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/11Manufacturing methods
    • H01L2224/113Manufacturing methods by local deposition of the material of the bump connector
    • H01L2224/1133Manufacturing methods by local deposition of the material of the bump connector in solid form
    • H01L2224/1134Stud bumping, i.e. using a wire-bonding apparatus
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/12Structure, shape, material or disposition of the bump connectors prior to the connecting process
    • H01L2224/12105Bump connectors formed on an encapsulation of the semiconductor or solid-state body, e.g. bumps on chip-scale packages
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/12Structure, shape, material or disposition of the bump connectors prior to the connecting process
    • H01L2224/13Structure, shape, material or disposition of the bump connectors prior to the connecting process of an individual bump connector
    • H01L2224/13001Core members of the bump connector
    • H01L2224/13099Material
    • H01L2224/131Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof
    • H01L2224/13101Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof the principal constituent melting at a temperature of less than 400°C
    • H01L2224/13111Tin [Sn] as principal constituent
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/12Structure, shape, material or disposition of the bump connectors prior to the connecting process
    • H01L2224/13Structure, shape, material or disposition of the bump connectors prior to the connecting process of an individual bump connector
    • H01L2224/13001Core members of the bump connector
    • H01L2224/13099Material
    • H01L2224/131Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof
    • H01L2224/13101Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof the principal constituent melting at a temperature of less than 400°C
    • H01L2224/13113Bismuth [Bi] as principal constituent
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/12Structure, shape, material or disposition of the bump connectors prior to the connecting process
    • H01L2224/13Structure, shape, material or disposition of the bump connectors prior to the connecting process of an individual bump connector
    • H01L2224/13001Core members of the bump connector
    • H01L2224/13099Material
    • H01L2224/131Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof
    • H01L2224/13101Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof the principal constituent melting at a temperature of less than 400°C
    • H01L2224/13116Lead [Pb] as principal constituent
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/12Structure, shape, material or disposition of the bump connectors prior to the connecting process
    • H01L2224/13Structure, shape, material or disposition of the bump connectors prior to the connecting process of an individual bump connector
    • H01L2224/13001Core members of the bump connector
    • H01L2224/13099Material
    • H01L2224/131Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof
    • H01L2224/13117Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof the principal constituent melting at a temperature of greater than or equal to 400°C and less than 950°C
    • H01L2224/13124Aluminium [Al] as principal constituent
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/12Structure, shape, material or disposition of the bump connectors prior to the connecting process
    • H01L2224/13Structure, shape, material or disposition of the bump connectors prior to the connecting process of an individual bump connector
    • H01L2224/13001Core members of the bump connector
    • H01L2224/13099Material
    • H01L2224/131Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof
    • H01L2224/13138Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof the principal constituent melting at a temperature of greater than or equal to 950°C and less than 1550°C
    • H01L2224/13139Silver [Ag] as principal constituent
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/12Structure, shape, material or disposition of the bump connectors prior to the connecting process
    • H01L2224/13Structure, shape, material or disposition of the bump connectors prior to the connecting process of an individual bump connector
    • H01L2224/13001Core members of the bump connector
    • H01L2224/13099Material
    • H01L2224/131Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof
    • H01L2224/13138Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof the principal constituent melting at a temperature of greater than or equal to 950°C and less than 1550°C
    • H01L2224/13144Gold [Au] as principal constituent
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/12Structure, shape, material or disposition of the bump connectors prior to the connecting process
    • H01L2224/13Structure, shape, material or disposition of the bump connectors prior to the connecting process of an individual bump connector
    • H01L2224/13001Core members of the bump connector
    • H01L2224/13099Material
    • H01L2224/131Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof
    • H01L2224/13138Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof the principal constituent melting at a temperature of greater than or equal to 950°C and less than 1550°C
    • H01L2224/13147Copper [Cu] as principal constituent
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/12Structure, shape, material or disposition of the bump connectors prior to the connecting process
    • H01L2224/13Structure, shape, material or disposition of the bump connectors prior to the connecting process of an individual bump connector
    • H01L2224/13001Core members of the bump connector
    • H01L2224/13099Material
    • H01L2224/131Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof
    • H01L2224/13138Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof the principal constituent melting at a temperature of greater than or equal to 950°C and less than 1550°C
    • H01L2224/13155Nickel [Ni] as principal constituent
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/15Structure, shape, material or disposition of the bump connectors after the connecting process
    • H01L2224/16Structure, shape, material or disposition of the bump connectors after the connecting process of an individual bump connector
    • H01L2224/161Disposition
    • H01L2224/16151Disposition the bump connector connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive
    • H01L2224/16221Disposition the bump connector connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive the body and the item being stacked
    • H01L2224/16225Disposition the bump connector connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive the body and the item being stacked the item being non-metallic, e.g. insulating substrate with or without metallisation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/26Layer connectors, e.g. plate connectors, solder or adhesive layers; Manufacturing methods related thereto
    • H01L2224/31Structure, shape, material or disposition of the layer connectors after the connecting process
    • H01L2224/32Structure, shape, material or disposition of the layer connectors after the connecting process of an individual layer connector
    • H01L2224/321Disposition
    • H01L2224/32151Disposition the layer connector connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive
    • H01L2224/32221Disposition the layer connector connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive the body and the item being stacked
    • H01L2224/32225Disposition the layer connector connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive the body and the item being stacked the item being non-metallic, e.g. insulating substrate with or without metallisation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/42Wire connectors; Manufacturing methods related thereto
    • H01L2224/47Structure, shape, material or disposition of the wire connectors after the connecting process
    • H01L2224/48Structure, shape, material or disposition of the wire connectors after the connecting process of an individual wire connector
    • H01L2224/4805Shape
    • H01L2224/4809Loop shape
    • H01L2224/48091Arched
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/42Wire connectors; Manufacturing methods related thereto
    • H01L2224/47Structure, shape, material or disposition of the wire connectors after the connecting process
    • H01L2224/48Structure, shape, material or disposition of the wire connectors after the connecting process of an individual wire connector
    • H01L2224/481Disposition
    • H01L2224/48151Connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive
    • H01L2224/48221Connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive the body and the item being stacked
    • H01L2224/48225Connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive the body and the item being stacked the item being non-metallic, e.g. insulating substrate with or without metallisation
    • H01L2224/48227Connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive the body and the item being stacked the item being non-metallic, e.g. insulating substrate with or without metallisation connecting the wire to a bond pad of the item
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/73Means for bonding being of different types provided for in two or more of groups H01L2224/10, H01L2224/18, H01L2224/26, H01L2224/34, H01L2224/42, H01L2224/50, H01L2224/63, H01L2224/71
    • H01L2224/732Location after the connecting process
    • H01L2224/73251Location after the connecting process on different surfaces
    • H01L2224/73265Layer and wire connectors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/93Batch processes
    • H01L2224/95Batch processes at chip-level, i.e. with connecting carried out on a plurality of singulated devices, i.e. on diced chips
    • H01L2224/96Batch processes at chip-level, i.e. with connecting carried out on a plurality of singulated devices, i.e. on diced chips the devices being encapsulated in a common layer, e.g. neo-wafer or pseudo-wafer, said common layer being separable into individual assemblies after connecting
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/93Batch processes
    • H01L2224/95Batch processes at chip-level, i.e. with connecting carried out on a plurality of singulated devices, i.e. on diced chips
    • H01L2224/97Batch processes at chip-level, i.e. with connecting carried out on a plurality of singulated devices, i.e. on diced chips the devices being connected to a common substrate, e.g. interposer, said common substrate being separable into individual assemblies after connecting
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/0001Technical content checked by a classifier
    • H01L2924/00011Not relevant to the scope of the group, the symbol of which is combined with the symbol of this group
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/013Alloys
    • H01L2924/0132Binary Alloys
    • H01L2924/01322Eutectic Alloys, i.e. obtained by a liquid transforming into two solid phases
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/10Details of semiconductor or other solid state devices to be connected
    • H01L2924/102Material of the semiconductor or solid state bodies
    • H01L2924/1025Semiconducting materials
    • H01L2924/10251Elemental semiconductors, i.e. Group IV
    • H01L2924/10252Germanium [Ge]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/10Details of semiconductor or other solid state devices to be connected
    • H01L2924/102Material of the semiconductor or solid state bodies
    • H01L2924/1025Semiconducting materials
    • H01L2924/10251Elemental semiconductors, i.e. Group IV
    • H01L2924/10253Silicon [Si]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/10Details of semiconductor or other solid state devices to be connected
    • H01L2924/102Material of the semiconductor or solid state bodies
    • H01L2924/1025Semiconducting materials
    • H01L2924/1026Compound semiconductors
    • H01L2924/1027IV
    • H01L2924/10272Silicon Carbide [SiC]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/10Details of semiconductor or other solid state devices to be connected
    • H01L2924/102Material of the semiconductor or solid state bodies
    • H01L2924/1025Semiconducting materials
    • H01L2924/1026Compound semiconductors
    • H01L2924/1032III-V
    • H01L2924/10329Gallium arsenide [GaAs]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/10Details of semiconductor or other solid state devices to be connected
    • H01L2924/102Material of the semiconductor or solid state bodies
    • H01L2924/1025Semiconducting materials
    • H01L2924/1026Compound semiconductors
    • H01L2924/1032III-V
    • H01L2924/10335Indium phosphide [InP]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/10Details of semiconductor or other solid state devices to be connected
    • H01L2924/11Device type
    • H01L2924/12Passive devices, e.g. 2 terminal devices
    • H01L2924/1204Optical Diode
    • H01L2924/12041LED
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/10Details of semiconductor or other solid state devices to be connected
    • H01L2924/11Device type
    • H01L2924/12Passive devices, e.g. 2 terminal devices
    • H01L2924/1204Optical Diode
    • H01L2924/12042LASER
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/10Details of semiconductor or other solid state devices to be connected
    • H01L2924/11Device type
    • H01L2924/13Discrete devices, e.g. 3 terminal devices
    • H01L2924/1304Transistor
    • H01L2924/1306Field-effect transistor [FET]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/10Details of semiconductor or other solid state devices to be connected
    • H01L2924/11Device type
    • H01L2924/13Discrete devices, e.g. 3 terminal devices
    • H01L2924/1304Transistor
    • H01L2924/1306Field-effect transistor [FET]
    • H01L2924/13091Metal-Oxide-Semiconductor Field-Effect Transistor [MOSFET]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/15Details of package parts other than the semiconductor or other solid state devices to be connected
    • H01L2924/181Encapsulation

Landscapes

  • Engineering & Computer Science (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Computer Hardware Design (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Structures Or Materials For Encapsulating Or Coating Semiconductor Devices Or Solid State Devices (AREA)
  • Encapsulation Of And Coatings For Semiconductor Or Solid State Devices (AREA)
  • Semiconductor Integrated Circuits (AREA)

Abstract

一種半導體裝置係具有一包含複數個半導體晶粒的半導體晶圓。一絕緣層係形成在該半導體晶圓之上。該絕緣層的一部分係藉由雷射直接剝蝕(LDA)來加以移除,以露出該半導體晶粒的一主動表面的一部分。一第一導電層係形成在該半導體晶粒的該主動表面上的一接觸墊之上。該半導體晶圓係被單粒化以分開該半導體晶粒。該半導體晶粒係被設置在一載體之上,其中該半導體晶粒的該主動表面係從該載體加以偏置。一種囊封劑係沉積在該半導體晶粒及載體之上,以覆蓋該半導體晶粒的一側邊以及該主動表面的該露出的部分。一互連結構係形成在該第一導電層之上。或者是,一模製底膠填充(MUF)材料係沉積在該半導體晶粒的一側邊以及該主動表面的該露出的部分之上。

Description

半導體裝置和在埋藏晶圓級晶片尺寸封裝中沿半導體晶粒之側邊和表面邊緣沉積囊封劑的方法
本發明係大致有關於半導體裝置,並且更具體而言係有關於一種半導體裝置和在一扇出式晶圓級晶片尺寸封裝(Fo-WLCSP)中在一半導體晶粒的側邊以及一主動表面的一露出的部分之上沉積一種囊封劑的方法。
優先權主張
本申請案係主張2012年10月2日申請的美國臨時申請案號61/744,699的利益,該申請案係被納入在此作為參考。
半導體裝置係常見於現代的電子產品中。半導體裝置係在電氣構件的數目及密度上變化。離散的半導體裝置一般包含一類型的電氣構件,例如,發光二極體(LED)、小信號電晶體、電阻器、電容器、電感器、以及功率金屬氧化物半導體場效電晶體(MOSFET)。集積的半導體裝置通常包含數百到數百萬個電氣構件。集積的半導體裝置的例子係包含微控制器、微處理器、電荷耦合裝置(CCD)、太陽能電池、以及數位微鏡裝置(DMD)。
半導體裝置係執行廣範圍的功能,例如,信號處理、高速的計算、傳送及接收電磁信號、控制電子裝置、轉換太陽光成為電力、以及產生用於電視顯示器的視覺投影。半導體裝置係見於娛樂、通訊、電力轉換、網路、電腦以及消費者產品的領域中。半導體裝置亦見於軍事的應用、航空、汽車、工業用的控制器、以及辦公室設備。
半導體裝置係利用半導體材料的電氣特性。半導體材料的結構係容許其導電度能夠藉由一電場或基極電流的施加或是透過摻雜的製程來加以操縱。摻雜係將雜質帶入半導體材料中,以操縱及控制半導體裝置的導電度。
一半導體裝置係包含主動及被動的電性結構。包含雙載子及場效電晶體的主動結構係控制電流的流動。藉由改變摻雜的程度以及一電場或基極電流的施加,該電晶體不是提升、就是限制電流的流動。包含電阻器、電容器及電感器的被動結構係在電壓及電流之間產生執行各種電氣功能所必要的一種關係。該被動及主動結構係電連接以形成電路,此係使得該半導體裝置能夠執行高速的計算及其它有用的功能。
半導體裝置一般是利用兩個複雜的製程,亦即,前端製造及後端製造來加以製造,每個製造潛在涉及數百道步驟。前端製造係牽涉到複數個晶粒在一半導體晶圓的表面上的形成。每個半導體晶粒通常是相同的,並且包含藉由電連接主動及被動構件所形成的電路。後端製造係牽涉到從完成的晶圓單粒化(singulating)個別的半導體晶粒並且封裝該晶粒以提供結構的支撐以及環境的隔離。如同在此所用的術語“半導體晶粒”係指該字的單數與複數形兩者,並且於是可以指稱單一半導體裝置及多個半導 體裝置兩者。
半導體製造的一目標是產出較小的半導體裝置。較小的裝置通常消耗較低的功率,具有較高的效能,並且可以更有效率地加以生產。此外,較小的半導體裝置具有一較小的覆蓋區,此係較小的終端產品所期望的。較小的半導體晶粒尺寸可藉由在產生具有較小且較高密度的主動及被動構件之半導體晶粒的前端製程中的改良來達成。後端製程可以藉由在電互連及封裝材料上的改良來產生具有較小覆蓋區的半導體裝置封裝。
半導體晶粒通常是為了該晶粒的電互連、結構的支撐以及環境的保護而封入一半導體封裝之內。若該半導體晶粒的一部分曝露到外部的元素,特別是當表面安裝該晶粒時,該半導體可能會遭受到損壞或劣化。例如,該半導體晶粒在處理及曝光期間可能會受損或劣化。
對於保護在一半導體封裝內之半導體晶粒係存在著需求。於是,在一實施例中,本發明是一種製造一半導體裝置之方法,其係包括以下的步驟:提供一包含複數個半導體晶粒的半導體晶圓,在該半導體晶圓之上形成一絕緣層,移除該絕緣層的一部分以露出該半導體晶粒的一主動表面的一部分,單粒化該半導體晶圓以分開該半導體晶粒,以及在該半導體晶粒之上沉積一種囊封劑以覆蓋該半導體晶粒的一側邊以及該半導體晶粒的該主動表面的該露出的部分。
在另一實施例中,本發明是一種製造一半導體裝置之方法,其係包括以下的步驟:提供一半導體晶粒,在該半導體晶粒之上形成一絕緣層,移除該絕緣層的一部分以露出該半導體晶粒的一表面的一部分,以及 在該半導體晶粒之上沉積一種囊封劑以覆蓋該半導體晶粒的一側邊以及該半導體晶粒的該主動表面的該露出的部分。
在另一實施例中,本發明是一種半導體裝置,其係包括一半導體晶粒以及形成在該半導體晶粒之上的絕緣層,其中該半導體晶粒的一表面的一部分並沒有該絕緣層。一種囊封劑係沉積在該半導體晶粒之上,以覆蓋該半導體晶粒的一側邊以及該半導體晶粒的該表面的沒有該絕緣層的該部分。
在另一實施例中,本發明是一種半導體裝置,其係包括一半導體晶粒以及形成在該半導體晶粒之上的絕緣層,其中該半導體晶粒的一表面的一部分並沒有該絕緣層。一種囊封劑係沉積在該半導體晶粒之上以覆蓋該半導體晶粒的該表面的沒有該絕緣層的該部分。
在另一實施例中,本發明是一種製造一半導體裝置之方法,其係包括以下的步驟:提供一基板,安裝一半導體晶粒至該基板,在該半導體晶粒的一側表面以及該半導體晶粒的一露出的表面之上沉積一模製底膠填充材料,以及在該模製底膠填充材料之上沉積一種囊封劑。
50‧‧‧電子裝置
52‧‧‧印刷電路板(PCB)
54‧‧‧信號線路
56‧‧‧接合線封裝
58‧‧‧覆晶
60‧‧‧球格陣列(BGA)
62‧‧‧凸塊晶片載體(BCC)
64‧‧‧雙排型封裝(DIP)
66‧‧‧平台柵格陣列(LGA)
68‧‧‧多晶片模組(MCM)
70‧‧‧四邊扁平無引腳封裝(QFN)
72‧‧‧四邊扁平封裝
74‧‧‧半導體晶粒
76‧‧‧接觸墊
78‧‧‧中間載體
80‧‧‧導線
82‧‧‧接合線
84‧‧‧囊封劑
88‧‧‧半導體晶粒
90‧‧‧載體
92‧‧‧底膠填充(環氧樹脂黏著材料)
94‧‧‧接合線
96、98‧‧‧接觸墊
100‧‧‧模製化合物(囊封劑)
102‧‧‧接觸墊
104‧‧‧凸塊
106‧‧‧中間載體
108‧‧‧主動區
110、112‧‧‧凸塊
114‧‧‧信號線
116‧‧‧模製化合物(囊封劑)
120‧‧‧晶圓
122‧‧‧主體基板材料
124‧‧‧半導體晶粒(構件)
126‧‧‧切割道
128‧‧‧背表面
130‧‧‧主動表面
132‧‧‧導電層
134‧‧‧第一絕緣(保護)層(第二絕緣(保護)層)
136‧‧‧導電層(重新分配層(RDL))
138‧‧‧雷射
140‧‧‧部分
142‧‧‧導電層
144‧‧‧鋸刀(雷射切割工具)
150‧‧‧載體(臨時的基板)
152‧‧‧介面層(雙面帶)
153‧‧‧重組晶圓
154‧‧‧囊封劑(模製化合物)
156‧‧‧雷射
160‧‧‧球(凸塊)
162‧‧‧鋸刀(雷射切割工具)
164‧‧‧WLCSP
170‧‧‧半導體晶圓
172‧‧‧主體基板材料
174‧‧‧半導體晶粒(構件)
176‧‧‧切割道
178‧‧‧背表面
180‧‧‧主動表面
182‧‧‧導電層
184‧‧‧導電層
186‧‧‧第一絕緣(保護)層(第二絕緣(保護)層)
188‧‧‧導電層(RDL)
190‧‧‧雷射
192‧‧‧部分
194‧‧‧鋸刀(雷射切割工具)
200‧‧‧載體(臨時的基板)
202‧‧‧介面層(雙面帶)
203‧‧‧重組晶圓
204‧‧‧囊封劑(模製化合物)
206‧‧‧雷射
210‧‧‧球(凸塊)
212‧‧‧鋸刀(雷射切割工具)
214‧‧‧WLCSP
220‧‧‧半導體晶粒
222‧‧‧背表面
224‧‧‧主動表面
226‧‧‧導電層
228‧‧‧導電層
230‧‧‧球(凸塊)
232‧‧‧基板
234‧‧‧導電線路
236‧‧‧重組晶圓
239‧‧‧鋸刀(雷射切割工具)
240‧‧‧模製底膠填充(MUF)材料
242‧‧‧載體(臨時的基板)
243‧‧‧介面層(雙面帶)
244‧‧‧囊封劑(模製化合物)
245‧‧‧雷射
246‧‧‧球(凸塊)
248‧‧‧鋸刀(雷射切割工具)
250‧‧‧WLCSP
254‧‧‧WLCSP
260‧‧‧半導體晶粒
262‧‧‧背表面
264‧‧‧主動表面
266‧‧‧導電層
268‧‧‧基板
270‧‧‧晶粒附接黏著劑
272‧‧‧導電的線路
274‧‧‧接合線
276‧‧‧囊封劑(模製化合物)
280‧‧‧球(凸塊)
282‧‧‧WLCSP
圖1係描繪一具有不同類型的封裝安裝到其表面的印刷電路板(PCB);圖2a-2c係描繪安裝到該PCB之代表性的半導體封裝的進一步細節;圖3a-3d係描繪一具有複數個藉由切割道分開的半導體晶粒之半導體晶圓;圖4a-4e係描繪一種在一WLCSP中,在一半導體晶粒的側邊以及一主 動表面的一露出的部分之上沉積一種囊封劑的製程;圖5係描繪其中該半導體晶粒的側邊及主動表面的露出的部分被該囊封劑所覆蓋的WLCSP;圖6a-6c係描繪一具有複數個藉由切割道分開的半導體晶粒之半導體晶圓;圖7a-7e係描繪另一種在一WLCSP中,在一半導體晶粒的側邊以及一主動表面的一露出的部分之上沉積一種囊封劑的製程;圖8係描繪其中該半導體晶粒的側邊及主動表面的露出的部分被該囊封劑所覆蓋的WLCSP;圖9a-9h係描繪一種在一WLCSP中,在一半導體晶粒的側邊以及一主動表面的一部分沉積一MUF材料的製程;圖10係描繪其中該半導體晶粒的側邊及主動表面的部分被該MUF材料所覆蓋的WLCSP;圖11係描繪設置在該半導體晶粒及基板之間的MUF材料;以及圖12係描繪另一其中該半導體晶粒的側邊及主動表面的部分被該MUF材料所覆蓋的WLCSP。
本發明係在以下參考該些圖式的說明中,以一或多個實施例來加以描述,其中相同的元件符號係代表相同或類似的元件。儘管本發明係以用於達成本發明之目的之最佳模式來加以描述,但熟習此項技術者將會體認到的是,其係欲涵蓋可內含在藉由所附的申請專利範圍及其由以下的揭露內容及圖式所支持的等同項所界定的本發明的精神與範疇內的替換 物、修改以及等同物。
半導體裝置一般是利用兩個複雜的製程:前端製造及後端製造來加以製造。前端製造係牽涉到複數個晶粒在一半導體晶圓的表面上的形成。在該晶圓上的每個晶粒係包含電連接以形成功能電路的主動及被動電氣構件。例如是電晶體及二極體的主動電氣構件係具有控制電流的流動之能力。例如是電容器、電感器及電阻器的被動電氣構件係產生執行電路功能所必要的電壓及電流之間的一種關係。
被動及主動構件係藉由一系列的製程步驟而形成在半導體晶圓的表面之上,該些製程步驟包含摻雜、沉積、微影、蝕刻及平坦化。摻雜係藉由例如是離子植入或熱擴散的技術以將雜質帶入半導體材料中。該摻雜製程係修改主動元件中的半導體材料的導電度,其係藉由響應於一電場或基極電流來動態地改變該半導體材料的導電度。電晶體係包含具有不同類型及程度的摻雜的區域,該些區域係以使得該電晶體在電場或基極電流的施加時提升或限制電流的流動所必要的來加以配置。
主動及被動構件係藉由具有不同電氣特性的材料層來加以形成。該些層可藉由各種沉積技術來形成,該技術部分是由被沉積的材料類型來加以決定的。例如,薄膜沉積可能牽涉到化學氣相沉積(CVD)、物理氣相沉積(PVD)、電解的電鍍以及無電的電鍍製程。每個層一般是被圖案化,以形成主動構件、被動構件或是構件間的電連接的部分。
後端製造係指切割或單粒化完成的晶圓成為個別的半導體晶粒並且接著為了結構的支撐及環境的隔離來封裝該半導體晶粒。為了單粒化該半導體晶粒,晶圓係沿著該晶圓的非功能區域(稱為切割道或劃線) 來加以劃線且截斷。該晶圓係利用一雷射切割工具或鋸刀而被單粒化。在單粒化之後,該個別的半導體晶粒係被安裝到一封裝基板,該封裝基板係包含用於和其它系統構件互連的接腳或接觸墊。形成在半導體晶粒之上的接觸墊係接著連接至該封裝內的接觸墊。該些電連接可以利用焊料凸塊、柱形凸塊、導電膏、或是接合線來做成。一囊封劑或是其它模製材料係沉積在該封裝之上,以提供實體支撐及電氣隔離。該完成的封裝係接著被插入一電氣系統中,並且使得該半導體裝置的功能為可供其它系統構件利用的。
圖1係描繪具有複數個安裝於其表面上之半導體封裝的晶片載體基板或印刷電路板(PCB)52之電子裝置50。視應用而定,電子裝置50可具有一種類型之半導體封裝或多種類型之半導體封裝。不同類型之半導體封裝係為了說明之目的而展示於圖1中。
電子裝置50可以是一使用該些半導體封裝以執行一或多種電功能之獨立的系統。或者,電子裝置50可以是一較大系統之子構件。舉例而言,電子裝置50可以是行動電話、個人數位助理(PDA)、數位視訊攝影機(DVC)、或是其它電子通訊裝置的一部份。或者是,電子裝置50可以是一可插入電腦中之顯示卡、網路介面卡或其它信號處理卡。該半導體封裝可包括微處理器、記憶體、特殊應用積體電路(ASIC)、邏輯電路、類比電路、RF電路、離散裝置或其它半導體晶粒或電氣構件。小型化及重量減輕是這些產品能夠被市場接受所不可少的。在半導體裝置間的距離必須縮短以達到更高的密度。
在圖1中,PCB 52係提供一般的基板以供安裝在該PCB上 之半導體封裝的結構支撐及電性互連。導電的信號線路54係利用蒸鍍、電解的電鍍、無電的電鍍、網版印刷、或其它適合的金屬沉積製程而被形成在PCB 52的一表面之上或是在層內。信號線路54係提供在半導體封裝、安裝的構件、以及其它外部的系統構件的每一個之間的電通訊。線路54亦提供電源及接地連接給該些半導體封裝的每一個。
在某些實施例中,一半導體裝置係具有兩個封裝層級。第一層級的封裝是一種用於將半導體晶粒機械及電氣地附接至一中間載體的技術。第二層級的封裝係牽涉到將該中間載體機械及電性地附接至PCB。在其它實施例中,一半導體裝置可以只有該第一層級的封裝,其中晶粒是直接機械及電性地安裝到PCB上。
為了說明之目的,包含接合線封裝56及覆晶58之數種類型的第一層級的封裝係被展示在PCB 52上。此外,包含球格陣列(BGA)60、凸塊晶片載體(BCC)62、雙排型封裝(DIP)64、平台柵格陣列(LGA)66、多晶片模組(MCM)68、四邊扁平無引腳封裝(QFN)70及四邊扁平封裝72之數種類型的第二層級的封裝係被展示安裝在PCB 52上。視系統需求而定,以第一及第二層級的封裝類型的任意組合來配置的半導體封裝的任何組合及其它電子構件都可連接至PCB 52。在某些實施例中,電子裝置50係包含單一附接的半導體封裝,而其它實施例需要多個互連的封裝。藉由在單一基板之上組合一或多個半導體封裝,製造商可將預製的構件納入電子裝置及系統中。由於半導體封裝包括複雜的功能,因此可使用較便宜構件及流線化製程來製造電子裝置。所產生的裝置不太可能發生故障且製造費用較低,從而降低消費者成本。
圖2a-2c係展示範例的半導體封裝。圖2a係描繪安裝在PCB 52上的DIP 64之進一步的細節。半導體晶粒74係包括一含有類比或數位電路的主動區,該些類比或數位電路係被實施為形成在晶粒內並且根據該晶粒的電設計而電互連之主動元件、被動元件、導電層及介電層。例如,該電路可包含形成在半導體晶粒74的主動區內之一或多個電晶體、二極體、電感器、電容器、電阻器、以及其它電路元件。接觸墊76是一或多層的導電材料,例如鋁(Al)、銅(Cu)、錫(Sn)、鎳(Ni)、金(Au)或銀(Ag),並且電連接至形成在半導體晶粒74內之電路元件。在DIP 64的組裝期間,半導體晶粒74係利用一金矽共晶層或例如是熱環氧樹脂的黏著材料而被安裝到一中間載體78。該封裝主體係包含一種例如是聚合物或陶瓷的絕緣封裝材料。導線80及接合線82係在半導體晶粒74及PCB 52之間提供電互連。囊封劑84係為了環境保護而沉積在該封裝之上,以防止濕氣及微粒進入該封裝且污染半導體晶粒74或接合線82。
圖2b係描繪安裝在PCB 52上之BCC 62的進一步細節。半導體晶粒88係利用一種底膠填充(underfill)或是環氧樹脂黏著材料92而被安裝在載體90之上。接合線94係在接觸墊96及98之間提供第一層級的封裝互連。模製化合物或囊封劑100係沉積在半導體晶粒88及接合線94之上,以提供實體支撐及電氣隔離給該裝置。接觸墊102係利用一例如是電解的電鍍或無電的電鍍之合適的金屬沉積製程而被形成在PCB 52的一表面之上以避免氧化。接觸墊102係電連接至PCB 52中的一或多個導電信號線路54。凸塊104係形成在BCC 62的接觸墊98以及PCB 52的接觸墊102之間。
在圖2c中,半導體晶粒58係以覆晶型第一層級的封裝面向 下而安裝到中間載體106。半導體晶粒58的主動區108係包含類比或數位電路,該些類比或數位電路係被實施為根據該晶粒的電設計所形成的主動元件、被動元件、導電層及介電層。例如,該電路可包含一或多個電晶體、二極體、電感器、電容器、電阻器以及主動區108內之其它電路元件。半導體晶粒58係透過凸塊110電性及機械地連接至載體106。
BGA 60係以BGA型第二層級的封裝而利用凸塊112以電性及機械地連接至PCB 52。半導體晶粒58係透過凸塊110、信號線114及凸塊112而電連接至PCB 52中的導電信號線路54。一種模製化合物或囊封劑116係沉積在半導體晶粒58及載體106之上,以提供實體支撐及電氣隔離給該裝置。該覆晶半導體裝置係提供從半導體晶粒58上的主動元件到PCB 52上的導電跡線之短的導電路徑,以便縮短信號傳遞距離、降低電容以及改善整體電路效能。在另一實施例中,半導體晶粒58可在無中間載體106的情況下,利用覆晶型第一層級的封裝直接機械及電連接至PCB 52。
圖3a係展示一具有一種例如是矽、鍺、砷化鎵、磷化銦或矽碳化物的主體基板材料122以供結構支撐的半導體晶圓120。如上所述,複數個半導體晶粒或構件124係形成在晶圓120上,且藉由非主動的晶粒間的晶圓區域或切割道126加以分開。切割道126係提供切割區域以單粒化半導體晶圓120成為個別的半導體晶粒124。在一實施例中,半導體晶圓120是直徑200-300毫米(mm)。
圖3b係展示半導體晶圓120的一部份的橫截面圖。每個半導體晶粒124係具有一背表面128以及包含類比或數位電路的主動表面130,該些類比或數位電路被實施為形成在該晶粒內且根據該晶粒的電設計 及功能來電互連的主動元件、被動元件、導電層以及介電層。例如,該電路可包含一或多個電晶體、二極體以及其它形成在主動表面130內之電路元件以實施類比電路或數位電路,例如數位信號處理器(DSP)、ASIC、記憶體或是其它信號處理電路。半導體晶粒124亦可包含整合被動裝置(IPD),例如電感器、電容器及電阻器,以供RF信號處理使用。
一導電層132係利用PVD、CVD、電解的電鍍、無電的電鍍製程、或是其它合適的金屬沉積製程而形成在主動表面130之上。導電層132可以是一或多層的Al、Cu、Sn、Ni、Au、Ag、或是其它合適的導電材料。導電層132係運作為接觸墊,該些接觸墊係電連接至主動表面130上的電路。如同圖3b中所示,導電層132可形成為接觸墊,該些接觸墊係和半導體晶粒124的邊緣相隔一第一距離而並排地加以設置。或者是,導電層132可形成為接觸墊,該些接觸墊是以多個列加以偏置,使得一第一列的接觸墊係和該晶粒的邊緣相隔一第一距離地加以設置,並且一和該第一列交錯的第二列的接觸墊係和該晶粒的邊緣相隔一第二距離地加以設置。
一第一絕緣或保護層134係利用PVD、CVD、印刷、旋轉塗覆、噴霧塗覆、燒結或是熱氧化而形成在半導體晶粒124及導電層132之上。該絕緣層134係包含一或多層的二氧化矽(SiO2)、矽氮化物(Si3N4)、氮氧化矽(SiON)、五氧化二鉭(Ta2O5)、鋁氧化物(Al2O3)、鉿氧化物(HfO2)、苯環丁烯(BCB)、聚醯亞胺(PI)、聚苯並噁唑(PBO)、聚合物、或是其它具有類似結構及絕緣的性質之介電材料。
一導電層或是重新分配層(RDL)136係利用一例如是濺鍍、電解的電鍍以及無電的電鍍之圖案化及金屬沉積製程以形成在該第一絕緣 層134之上。導電層136可以是一或多層的Al、Cu、Sn、Ni、Au、Ag、或是其它合適的導電材料。導電層136的一部分係電連接至半導體晶粒124的導電層132。根據半導體晶粒124的設計及功能,導電層136的其它部分可以是電性共通或電性隔離的。
一第二絕緣或保護層134係形成在導電層136以及該第一絕緣層134之上。多個絕緣層134及導電層136可形成在半導體晶粒124的主動表面130之上。一表面檢查可被執行以偵測保護或RDL的缺陷。
絕緣層134的一部分係藉由利用雷射138的雷射直接剝蝕(LDA)來加以移除,以露出導電層132以及沿著半導體晶粒124的一表面邊緣的主動表面130的部分140。換言之,沿著半導體晶粒124的一表面邊緣的主動表面130的部分140是沒有絕緣層134。或者是,絕緣層134的一部分係藉由一蝕刻製程透過一圖案化的光阻層來加以移除,以露出導電層132以及沿著半導體晶粒124的該表面邊緣的主動表面130的部分140。
在圖3c中,一導電層142係在最後的再次鈍化之後,利用PVD、CVD、蒸鍍、電解的電鍍、無電的電鍍、或是其它合適的金屬沉積製程以形成在導電層132的該露出的部分以及絕緣層134之上。導電層142可以是Al、Cu、Sn、Ni、Au、Ag、鎢(W)、或是其它合適的導電材料。導電層142是一電連接至導電層132的UBM。UBM 142可以是一具有黏著層、阻障層及晶種或潤濕層之多金屬的堆疊。該黏著層係形成在導電層132之上,並且可以是鈦(Ti)、氮化鈦(錫)、鈦鎢(TiW)、Al或是鉻(Cr)。該阻障層係形成在該黏著層之上,並且可以是Ni、NiV、鉑(Pt)、鈀(Pd)、TiW或是鉻銅(CrCu)。該阻障層係抑制Cu的擴散到該晶粒的主動區域中。該晶種層係 形成在該阻障層之上,並且可以是Cu、Ni、NiV、Au或是Al。UBM 142係提供一低電阻性互連至導電層132,並且提供一對於焊料擴散的阻障以及用於焊料可潤濕性的晶種層。
在圖3d中,半導體晶圓120係利用一鋸刀或是雷射切割工具144穿過切割道126而被單粒化成為個別的半導體晶粒124。
圖4a-4e係相關於圖1及2a-2c來描繪一種在一WLCSP中沉積一種囊封劑在一半導體晶粒的側邊以及一主動表面的一露出的部分之上的製程。圖4a係展示一載體或是臨時的基板150的一部分的橫截面圖,其係包含例如是矽、聚合物、鈹氧化物、玻璃、或是其它合適的低成本的剛性材料之犧牲基底材料以用於結構的支撐。一介面層或是雙面帶152係形成在載體150之上以作為一暫時的黏著接合膜、蝕刻停止層或是熱剝離層。載體150可以是一具有用於多個半導體晶粒124的容量之大的圓形或矩形面板(大於300mm)。
來自圖3d的半導體晶粒124係在絕緣層134被定向朝向該載體下,利用例如是一拾放的操作而被安裝到載體150及介面層152。圖4b係展示半導體晶粒124被安裝到載體150的介面層152以作為重組晶圓153。半導體晶粒124的主動表面130係因為絕緣層134及/或接觸該介面層的導電層142的本質而被保持偏離介面層152或是相對介面層152被偏置,亦即,在主動表面130的部分140以及介面層152之間有一間隙。
在圖4c中,一種囊封劑或是模製化合物154係利用一膏印刷、壓縮模製、轉移模製、液體囊封劑模製、真空疊層、旋轉塗覆、或是其它合適的施用器而沉積在半導體晶粒124及載體150之上。囊封劑154可 以是聚合物複合材料,例如具有填充物的環氧樹脂、具有填充物的環氧丙烯酸酯、或是具有合適的填充物的聚合物。囊封劑154是非導電的,並且環境上保護該半導體裝置免於外部的元素及污染物。尤其,囊封劑154係沿著半導體晶粒124的側邊以及在主動表面130及介面層152之間的間隙中加以設置,並且因此覆蓋半導體晶粒124的側邊以及主動表面130沿著該半導體晶粒的表面邊緣之露出的部分140而到達絕緣層134。於是,囊封劑154係覆蓋或是接觸半導體晶粒124的至少五個表面,亦即,該半導體晶粒的四個側表面及主動表面130的部分140。
在圖4d中,載體150及介面層152係藉由化學蝕刻、機械式剝離、化學機械平坦化(CMP)、機械式研磨、熱烘烤、UV光、雷射掃描、或是濕式剝除來加以移除,以露出絕緣層134及導電層142。囊封劑154的一部分係藉由利用雷射156的LDA來加以移除。或者是,囊封劑154的一部分係藉由一蝕刻製程透過一圖案化的光阻層來加以移除。主動表面130沿著半導體晶粒124的表面邊緣的部分140以及該半導體晶粒的側邊係保持被囊封劑154所覆蓋以作為一保護面板來增加良率,特別是當表面安裝該半導體晶粒時。囊封劑154亦保護半導體晶粒124不會因為由於曝露到光而劣化。半導體晶粒124係藉由利用電漿、濕式溶劑、銅氧化物、或是乾式清洗中的一或多個步驟來清洗絕緣層134及導電層142而備妥以用於電氣測試。
在圖4e中,一種導電的凸塊材料係利用一蒸鍍、電解的電鍍、無電的電鍍、球式滴落、或是網版印刷製程而沉積在導電層142之上。在一實施例中,該凸塊材料係利用一球式滴落模板來加以沉積,亦即,不 需要光罩。該凸塊材料可以是具有一選配的助熔溶劑之Al、Sn、Ni、Au、Ag、鉛(Pb)、Bi、Cu、焊料以及其之組合。例如,該凸塊材料可以是共晶Sn/Pb、高鉛的焊料、或是無鉛的焊料。該凸塊材料係利用一合適的安裝或是接合製程而被接合到導電層142。在一實施例中,該凸塊材料係藉由加熱該材料超過其熔點來加以回焊,以形成球或凸塊160。在某些應用中,凸塊160係被回焊第二次以改善至導電層142的電性接觸。凸塊160亦可以被壓縮接合或是熱壓接合到導電層142。凸塊160係代表一種可被形成在導電層142之上的互連結構類型。該互連結構亦可以使用接合線、導電膏、柱形凸塊、微凸塊、或是其它電互連。雷射雕刻可以在凸塊形成之前或之後、或是在載體150的移除之後加以執行。
半導體晶粒124係利用鋸刀或是雷射切割工具162,穿過囊封劑154而被單粒化成為個別的埋藏式晶圓級球格陣列(eWLB)或是晶圓級晶片尺寸封裝(WLCSP)164。圖5係展示在單粒化之後的WLCSP 164。在一實施例中,WLCSP 164係具有0.4mm間距之3.0x2.6x0.7毫米(mm)的尺寸。半導體晶粒124係電連接至凸塊160以用於外部的互連。囊封劑154係覆蓋半導體晶粒124的側邊以及主動表面130的部分140,以保護該半導體晶粒的側邊及表面邊緣並且增高製造良率,特別是當表面安裝該半導體晶粒時。囊封劑154亦保護半導體晶粒124免於因為曝露到光所造成的劣化。WLCSP 164係在單粒化之前或之後進行電性測試。
圖6a-6c係描繪半導體晶圓170的另一實施例,類似於圖3a,其係具有一例如是矽、鍺、砷化鎵、磷化銦或是矽碳化物的主體基板材料172,以用於結構的支撐。如上所述,複數個半導體晶粒或構件174係形成 在晶圓170上,其係藉由一非主動的晶粒間的晶圓區域或是切割道176加以分開。切割道176係提供切割區域以單粒化半導體晶圓170成為個別的半導體晶粒174。在一實施例中,半導體晶圓170是直徑200-300毫米(mm)。
圖6a係展示半導體晶圓170的一部分的橫截面圖。每個半導體晶粒174係具有一背表面178以及包含類比或數位電路的主動表面180,該些類比或數位電路係被實施為形成在晶粒內並且根據該晶粒的電設計及功能而電互連之主動元件、被動元件、導電層及介電層。例如,該電路可包含一或多個電晶體、二極體以及其它形成在主動表面180內之電路元件以實施類比電路或數位電路,例如DSP、ASIC、記憶體、或是其它信號處理電路。半導體晶粒174亦可包含例如是電感器、電容器及電阻器的IPD,以用於RF信號處理。
一導電層182係利用PVD、CVD、電解的電鍍、無電的電鍍製程、或是其它合適的金屬沉積製程以形成在主動表面180之上。導電層182可以是一或多層的Al、Cu、Sn、Ni、Au、Ag、或是其它合適的導電材料。導電層182係運作為電連接至主動表面180上的電路之接觸墊。如同在圖6a中所示,導電層182可被形成為和半導體晶粒174的邊緣相隔一第一距離而被並排設置的接觸墊。或者是,導電層182可形成為接觸墊,該些接觸墊是以多個列加以偏置,使得一第一列的接觸墊係和該晶粒的邊緣相隔一第一距離地加以設置,並且一和該第一列交錯的第二列的接觸墊係和該晶粒的邊緣相隔一第二距離地加以設置。
一導電層184係利用PVD、CVD、蒸鍍、電解的電鍍、無電的電鍍、或是其它合適的金屬沉積製程以形成在導電層182之上。導電層 184可以是Al、Cu、Sn、Ni、Au、Ag、W、或是其它合適的導電材料。導電層184是一電連接至導電層182的UBM。UBM 184可以是一具有黏著層、阻障層及晶種或潤濕層之多金屬的堆疊。該黏著層係形成在導電層182之上,並且可以是Ti、TiN、TiW、Al或是Cr。該阻障層係形成在該黏著層之上,並且可以是Ni、NiV、Pt、Pd、TiW或是CrCu。該阻障層係抑制Cu的擴散到該晶粒的主動區域中。該晶種層係形成在該阻障層之上,並且可以是Cu、Ni、NiV、Au或是Al。UBM 184係提供一低電阻性互連至導電層182、以及一對於焊料擴散的阻障以及用於焊料可潤濕性的晶種層。
在圖6b中,一第一絕緣或保護層186係利用PVD、CVD、印刷、旋轉塗覆、噴霧塗覆、燒結或是熱氧化以形成在半導體晶粒174及導電層184之上,亦即,保護係發生在UBM 184的形成之後。該絕緣層186係包含一或多層的SiO2、Si3N4、SiON、Ta2O5、Al2O3、HfO2、BCB、PI、PBO、聚合物、或是其它具有類似的結構及絕緣性質的介電材料。
一導電層或是RDL 188係利用一例如是濺鍍、電解的電鍍以及無電的電鍍之圖案化及金屬沉積製程以形成在該第一絕緣層186之上。導電層188可以是一或多層的Al、Cu、Sn、Ni、Au、Ag、或是其它合適的導電材料。導電層188的一部分係電連接至半導體晶粒174的導電層182。根據半導體晶粒174的設計及功能,導電層188的其它部分可以是電性共通或電性隔離的。
一第二絕緣或保護層186係形成在導電層188以及該第一絕緣層186之上。多個絕緣層186及導電層188可被形成在半導體晶粒174的主動表面180之上。一表面檢查可被執行以偵測保護或是RDL的缺陷。
絕緣層186的一部分係藉由利用雷射190的LDA來加以移除,以露出導電層184以及主動表面180沿著半導體晶粒174的一表面邊緣的部分192。換言之,主動表面180沿著半導體晶粒174的一表面邊緣的部分192並沒有絕緣層186。或者是,絕緣層186的一部分係藉由一蝕刻製程透過一圖案化的光阻層來加以移除,以露出導電層182以及主動表面180沿著半導體晶粒174的該表面邊緣的部分192。
在圖6c中,半導體晶圓170係利用一鋸刀或是雷射切割工具194,穿過切割道176而被單粒化成為個別的半導體晶粒174。
圖7a-7e係相關於圖1及2a-2c來描繪另一種在一WLCSP中,在一半導體晶粒的側邊以及一主動表面的一露出的部分之上沉積一種囊封劑的製程。圖7a係展示一載體或是臨時的基板200的一部分的橫截面圖,其係包含例如是矽、聚合物、鈹氧化物、玻璃、或是其它合適的低成本的剛性材料之犧牲基底材料以用於結構的支撐。一介面層或是雙面帶202係形成在載體200之上以作為一暫時的黏著接合膜、蝕刻停止層或是熱剝離層。載體200可以是一具有用於多個半導體晶粒174的容量之大的圓形或矩形面板(大於300mm)。
來自圖6c的半導體晶粒174係在絕緣層186被定向朝向該載體下,利用例如是一拾放的操作而被安裝到載體200及介面層202。圖7b係展示半導體晶粒174被安裝到載體200的介面層202以作為重組晶圓203。半導體晶粒174的主動表面180係因為絕緣層186接觸該介面層的本質而被保持偏離介面層202或是相對介面層202而被偏置,亦即,在主動表面180的部分192以及介面層202之間有一間隙。
在圖7c中,一種囊封劑或是模製化合物204係利用一膏印刷、壓縮模製、轉移模製、液體囊封劑模製、真空疊層、旋轉塗覆、或是其它合適的施用器而沉積在半導體晶粒174及載體200之上。囊封劑204可以是聚合物複合材料,例如具有填充物的環氧樹脂、具有填充物的環氧丙烯酸酯、或是具有合適的填充物的聚合物。囊封劑204是非導電的,並且環境上保護該半導體裝置免於外部的元素及污染物。尤其,囊封劑204係沿著半導體晶粒174的側邊以及在主動表面180以及介面層202之間的間隙中加以設置,並且因此覆蓋半導體晶粒174的側邊以及主動表面180沿著該半導體晶粒的該表面邊緣的露出的部分192而到達絕緣層186。於是,囊封劑204係覆蓋或接觸半導體晶粒174的至少五個表面,亦即,該半導體晶粒的四個側表面以及主動表面180的部分192。
在圖7d中,載體200及介面層202係藉由化學蝕刻、機械式剝離、CMP、機械式研磨、熱烘烤、UV光、雷射掃描或是濕式剝除來加以移除,以露出絕緣層186以及導電層184。囊封劑204的一部分係藉由利用雷射206的LDA來加以移除。或者是,囊封劑204的一部分係藉由一蝕刻製程透過一圖案化的光阻層來加以移除。主動表面180沿著半導體晶粒124的該表面邊緣的部分192以及該半導體晶粒的側邊係保持被囊封劑204覆蓋以作為一保護面板來增加良率,特別是當表面安裝該半導體晶粒時。囊封劑204亦保護半導體晶粒174免於因為曝露到光所造成的劣化。半導體晶粒174係藉由利用電漿、濕式溶劑、銅氧化物、或是乾式清洗中的一或多個步驟來清洗絕緣層186及導電層184而備妥以用於電性測試。
在圖7e中,一種導電的凸塊材料係利用一蒸鍍、電解的電 鍍、無電的電鍍、球式滴落、或是網版印刷製程而沉積在導電層184之上。在一實施例中,該凸塊材料係利用一球式滴落模板來加以沉積,亦即,不需要光罩。該凸塊材料可以是具有一選配的助熔溶劑之Al、Sn、Ni、Au、Ag、Pb、Bi、Cu、焊料以及其之組合。例如,該凸塊材料可以是共晶Sn/Pb、高鉛的焊料、或是無鉛的焊料。該凸塊材料係利用一合適的安裝或是接合製程而被接合到導電層184。在一實施例中,該凸塊材料係藉由加熱該材料超過其熔點來加以回焊,以形成球或凸塊210。在某些應用中,凸塊210係被回焊第二次以改善至導電層184的電性接觸。凸塊210亦可以被壓縮接合或是熱壓接合到導電層184。凸塊210係代表一種可被形成在導電層184之上的互連結構類型。該互連結構亦可以使用接合線、導電膏、柱形凸塊、微凸塊、或是其它電互連。雷射雕刻可以在凸塊形成之前或之後、或是在載體200的移除之後加以執行。
半導體晶粒174係利用鋸刀或是雷射切割工具212,穿過囊封劑204而被單粒化成為個別的eWLB或是WLCSP 214。圖8係展示在單粒化之後的WLCSP 214。在一實施例中,WLCSP 214係具有0.4mm間距的3.0x2.6x0.7毫米(mm)的尺寸。半導體晶粒174係電連接至凸塊210,以用於外部的互連。囊封劑204係覆蓋半導體晶粒174的側邊以及主動表面180的部分192以保護半導體晶粒174的側邊及表面邊緣並且增高製造良率,特別是當表面安裝該半導體晶粒時。囊封劑204亦保護半導體晶粒174免於因為曝露到光所造成的劣化。WLCSP 214係在單粒化之前或是之後進行電性測試。
圖9a-9h係相關於圖1及2a-2c來描繪一種在一WLCSP中, 在一半導體晶粒的側邊以及一主動表面的一露出的部分沉積MUF材料的製程。圖9a係展示來自一類似於圖3a的半導體晶圓之一半導體晶粒220,其係具有一背表面222以及包含類比或數位電路的主動表面224,該些類比或數位電路係被實施為形成在晶粒內並且根據該晶粒的電設計及功能而電互連之主動元件、被動元件、導電層及介電層。例如,該電路可包含一或多個電晶體、二極體以及其它形成在主動表面224內之電路元件以實施類比電路或數位電路,例如DSP、ASIC、記憶體、或是其它信號處理電路。半導體晶粒220亦可包含例如是電感器、電容器及電阻器的IPD,以用於RF信號處理。在一實施例中,半導體晶粒220是一覆晶型半導體晶粒。
一導電層226係利用PVD、CVD、電解的電鍍、無電的電鍍製程、或是其它合適的金屬沉積製程以形成在主動表面224之上。導電層226可以是一或多層的Al、Cu、Sn、Ni、Au、Ag、或是其它合適的導電材料。導電層226係運作為電連接至主動表面224上的電路之接觸墊。
一導電層228係利用一例如是濺鍍、電解的電鍍以及無電的電鍍之圖案化及金屬沉積製程以形成在導電層226之上。導電層228可以是Al、Cu、Sn、Ni、Au、Ag、W、或是其它合適的導電材料。導電層228是一電連接至導電層226的UBM。UBM 228可以是一具有黏著層、阻障層及晶種或潤濕層之多金屬的堆疊。該黏著層係形成在導電層226之上,並且可以是Ti、TiN、TiW、Al或是Cr。該阻障層係形成在該黏著層之上,並且可以是Ni、NiV、Pt、Pd、TiW或是CrCu。該阻障層係抑制Cu的擴散到該晶粒的主動區域中。該晶種層係形成在該阻障層之上,並且可以是Cu、Ni、NiV、Au或是Al。UBM 228係提供一低電阻性互連至導電層226、以及一 對於焊料擴散的阻障及用於焊料可潤濕性的晶種層。
一種導電的凸塊材料係利用一蒸鍍、電解的電鍍、無電的電鍍、球式滴落、或是網版印刷製程以沉積在導電層228之上。該凸塊材料可以是具有一選配的助熔溶劑之Al、Sn、Ni、Au、Ag、Pb、Bi、Cu、焊料以及其之組合。例如,該凸塊材料可以是共晶Sn/Pb、高鉛的焊料、或是無鉛的焊料。該凸塊材料係利用一合適的安裝或是接合製程而被接合到導電層228。在一實施例中,該凸塊材料係藉由加熱該材料超過其熔點來加以回焊,以形成球或凸塊230。在某些應用中,凸塊230係被回焊第二次以改善至導電層228的電性接觸。凸塊230亦可以被壓縮接合或是熱壓接合到導電層228。凸塊230係代表一種可被形成在導電層228之上的互連結構類型。該互連結構亦可以使用柱形凸塊、微凸塊或是其它電互連。
半導體晶粒220係在凸塊230被定向朝向該基板下,利用例如是一拾放的操作而被安裝到基板232。基板232係包含用於穿過該基板的垂直及橫向互連的導電線路234。圖9b係展示半導體晶粒220被安裝到基板232以作為重組晶圓236,其中凸塊230係冶金及電氣地接合到導電線路234。半導體晶粒220的主動表面224係因為凸塊230的本質而被保持偏離基板232或是相對基板232而被偏置,亦即,在主動表面224的部分238以及基板232之間有一間隙。基板232可以是一具有用於多個半導體晶粒220的容量之大的圓形或矩形面板(大於300mm)。
在圖9c中,一模製底膠填充(MUF)材料240係利用一膏印刷、壓縮模製、轉移模製、液體囊封劑模製、真空疊層、旋轉塗覆、模製底膠填充或是其它合適的應用製程而沉積在半導體晶粒220及基板232之 上。MUF材料240可以是聚合物複合材料,例如具有填充物的環氧樹脂、具有填充物的環氧丙烯酸酯、或是具有合適的填充物的聚合物。MUF材料240是非導電的,並且環境上保護該半導體裝置免於外部的元素及污染物。尤其,MUF材料240係沿著半導體晶粒220的側邊以及在主動表面224及基板232之間的間隙中來加以設置,並且因此覆蓋半導體晶粒220的側邊以及主動表面224沿著該半導體晶粒的該表面邊緣的露出的部分238。
在圖9d中,半導體晶粒220係利用鋸刀或是雷射切割工具239,穿過MUF材料240及基板232而被單粒化,以分開該半導體晶粒及基板單元。
圖9e係展示一載體或是臨時的基板242的一部分的橫截面圖,其係包含例如是矽、聚合物、鈹氧化物、玻璃、或是其它合適的低成本的剛性材料之犧牲基底材料以用於結構的支撐。一介面層或是雙面帶243係形成在載體150之上以作為一暫時的黏著接合膜、蝕刻停止層或是熱剝離層。載體242可以是一具有用於多個半導體晶粒220及基板232單元的容量之大的圓形或矩形面板(大於300mm)。
半導體晶粒220及基板232單元係在該基板被定向朝向該載體下利用例如是一拾放的操作而被安裝到載體242及介面層243。圖9f係展示安裝到載體242的介面層243之半導體晶粒220及基板232單元。
一種囊封劑或是模製化合物244係利用一膏印刷、壓縮模製、轉移模製、液體囊封劑模製、真空疊層、旋轉塗覆、或是其它合適的施用器而沉積在MUF材料240、基板232及載體242之上。囊封劑244可以是聚合物複合材料,例如具有填充物的環氧樹脂、具有填充物的環氧丙 烯酸酯、或是具有合適的填充物的聚合物。囊封劑244是非導電的,並且環境上保護該半導體裝置免於外部的元素及污染物。
在圖9g中,載體242及介面層243係藉由化學蝕刻、機械式剝離、CMP、機械式研磨、熱烘烤、UV光、雷射掃描或是濕式剝除來加以移除,以露出基板232及囊封劑244。囊封劑244的一部分係藉由利用雷射245的LDA來加以移除。或者是,囊封劑244的一部分係藉由一蝕刻製程透過一圖案化的光阻層來加以移除。
在圖9h中,一種導電的凸塊材料係利用一蒸鍍、電解的電鍍、無電的電鍍、球式滴落、或是網版印刷製程而沉積在基板232的相對半導體晶粒220的導電層234之上。該凸塊材料可以是具有一選配的助熔溶劑之Al、Sn、Ni、Au、Ag、Pb、Bi、Cu、焊料以及其之組合。例如,該凸塊材料可以是共晶Sn/Pb、高鉛的焊料、或是無鉛的焊料。該凸塊材料係利用一合適的安裝或是接合製程而被接合到導電層234。在一實施例中,該凸塊材料係藉由加熱該材料超過其熔點來加以回焊,以形成球或凸塊246。在某些應用中,凸塊246係被回焊第二次以改善至導電層234的電性接觸。凸塊246亦可以被壓縮接合或是熱壓接合到導電層234。凸塊246係代表一種可被形成在導電層234之上的互連結構類型。該互連結構亦可以使用接合線、導電膏、柱形凸塊、微凸塊、或是其它電互連。
雷射雕刻可以在凸塊形成之前或之後、或是在載體242的移除之後加以執行。該組件係進行電漿清洗以及助熔劑印刷。
半導體晶粒220係利用鋸刀或是雷射切割工具248,穿過囊封劑244而被單粒化成為個別的eWLB或是WLCSP 250。圖10係展示在單 粒化之後的WLCSP 250。在一實施例中,WLCSP 250係具有0.4mm間距的3.0x2.6x0.7毫米(mm)的尺寸。半導體晶粒220係電連接至基板232及凸塊246,以用於外部的互連。MUF材料240係覆蓋半導體晶粒220的側邊以及主動表面224的部分238,以保護該半導體晶粒的側邊及表面邊緣並且增高製造良率,特別是當表面安裝該半導體晶粒時。MUF材料240亦保護半導體晶粒220免於因為曝露到光所造成的劣化。WLCSP 250係在單粒化之前或是之後進行電性測試。
圖11係描繪類似於圖10的WLCSP 254的一實施例,其中MUF材料240係被設置在半導體晶粒220之下,並且囊封劑244係覆蓋該半導體晶粒的側表面。
圖12係描繪包含來自一類似於圖3a的半導體晶圓之半導體晶粒260的另一實施例,其係具有一背表面262以及包含類比或數位電路的主動表面264,該些類比或數位電路係被實施為形成在晶粒內並且根據該晶粒的電設計及功能而電互連之主動元件、被動元件、導電層及介電層。例如,該電路可包含一或多個電晶體、二極體以及其它形成在主動表面264內之電路元件以實施類比電路或數位電路,例如DSP、ASIC、記憶體、或是其它信號處理電路。半導體晶粒260亦可包含例如是電感器、電容器及電阻器的IPD,以用於RF信號處理。在一實施例中,半導體晶粒260是一引線接合類型的半導體晶粒。
一導電層266係利用PVD、CVD、電解的電鍍、無電的電鍍製程、或是其它合適的金屬沉積製程以形成在主動表面264之上。導電層266可以是一或多層的Al、Cu、Sn、Ni、Au、Ag、或是其它合適的導電材 料。導電層266係運作為電連接至主動表面264上的電路之接觸墊。
類似於圖9a-9b,半導體晶粒260係利用例如是環氧樹脂的晶粒附接黏著劑270而被安裝到基板268。基板268係包含用於穿過該基板的垂直及橫向互連的導電的線路272。接合線274係形成在半導體晶粒260的導電層266以及基板268上的導電的線路272之間。基板268可以是一具有用於多個半導體晶粒260的容量之大的圓形或矩形面板(大於300mm)。
類似於圖9c,一種囊封劑或是模製化合物276係利用一膏印刷、壓縮模製、轉移模製、液體囊封劑模製、真空疊層、旋轉塗覆、或是其它合適的施用器而沉積在半導體晶粒260及基板268之上。囊封劑276可以是聚合物複合材料,例如具有填充物的環氧樹脂、具有填充物的環氧丙烯酸酯、或是具有合適的填充物的聚合物。囊封劑276是非導電的,並且環境上保護該半導體裝置免於外部的元素及污染物。
類似於圖9d,半導體晶粒260係穿過囊封劑276及基板268而被單粒化。類似於圖9e,該被單粒化的半導體晶粒260及基板268係被安裝到一載體。類似於圖9f,一種囊封劑或是模製化合物278係利用一膏印刷、壓縮模製、轉移模製、液體囊封劑模製、真空疊層、旋轉塗覆、或是其它合適的施用器而沉積在囊封劑276及基板268之上。囊封劑278可以是聚合物複合材料,例如具有填充物的環氧樹脂、具有填充物的環氧丙烯酸酯、或是具有合適的填充物的聚合物。囊封劑278是非導電的,並且在環境上保護該半導體裝置免於外部的元素及污染物。該載體係被移除。
一種導電的凸塊材料係利用一蒸鍍、電解的電鍍、無電的電鍍、球式滴落、或是網版印刷製程而沉積在基板268的相對半導體晶粒260 的導電層272之上。該凸塊材料可以是具有一選配的助熔溶劑之Al、Sn、Ni、Au、Ag、Pb、Bi、Cu、焊料以及其之組合。例如,該凸塊材料可以是共晶Sn/Pb、高鉛的焊料、或是無鉛的焊料。該凸塊材料係利用一合適的安裝或是接合製程而被接合到導電層272。在一實施例中,該凸塊材料係藉由加熱該材料超過其熔點來加以回焊,以形成球或凸塊280。在某些應用中,凸塊280係被回焊第二次以改善至導電層272的電性接觸。凸塊280亦可以被壓縮接合或是熱壓接合到導電層272。凸塊280係代表一種可被形成在導電層272之上的互連結構類型。該互連結構亦可以使用接合線、導電膏、柱形凸塊、微凸塊、或是其它電互連。
雷射雕刻可以在凸塊形成之前或之後、或是在該載體的移除之後加以執行。該組件係進行電漿清洗以及助熔劑印刷。
半導體晶粒260係穿過囊封劑244而被單粒化成為個別的eWLB或是WLCSP 282,其係具有0.4mm間距的3.0x2.6x0.7毫米(mm)的尺寸。半導體晶粒260係電連接至基板268及凸塊280以用於外部的互連。囊封劑276係覆蓋半導體晶粒260的側表面以保護該半導體晶粒的表面邊緣並且增高製造良率,特別是當表面安裝該半導體晶粒時。
儘管本發明的一或多個實施例已經詳細地描述,但是本領域技術人員將會體認到對於該些實施例的修改及調適可以在不脫離如以下的申請專利範圍中所闡述之本發明的範疇下加以完成。

Claims (14)

  1. 一種製造一半導體裝置之方法,其係包括:提供包含複數個半導體晶粒的一半導體晶圓;在該半導體晶圓之上形成一絕緣層;在該半導體晶圓之上形成一導電層;移除該絕緣層的一部分以露出該半導體晶粒的一主動表面的一邊緣;單粒化該半導體晶圓以分開該半導體晶粒;在將該半導體晶圓單粒化之後,將該半導體晶粒設置在一載體上,其中該絕緣層係設置在該半導體晶粒和該載體之間,且該絕緣層的經移除部分提供了在該半導體晶粒和該載體之間的間隙;在該半導體晶粒和該載體之上沉積一種囊封劑,以接觸垂直於該主動表面之該半導體晶粒的一側邊,其中該囊封劑填充在該半導體晶粒的該主動表面以及該載體之間的該間隙;以及在沉積該囊封劑後,在該導電層上形成一導電凸塊。
  2. 如申請專利範圍第1項之方法,其進一步包含在該半導體晶粒的該主動表面上的一接觸墊之上形成該導電層。
  3. 如申請專利範圍第1項之方法,其進一步包含在該絕緣層之上形成該導電層。
  4. 如申請專利範圍第1項之方法,其進一步包含藉由雷射直接剝蝕來移除該絕緣層的該部分。
  5. 一種製造一半導體裝置之方法,其係包括:提供一半導體晶粒;在該半導體晶粒之上形成一絕緣層;移除該絕緣層的一部分以露出該半導體晶粒的一表面的一部分;以及在該半導體晶粒之上沉積一種囊封劑以覆蓋該半導體晶粒的一側邊以及該半導體晶粒的該表面的露出的該部分。
  6. 如申請專利範圍第5項之方法,其進一步包含:提供一載體;設置該半導體晶粒在該載體之上,其中該半導體晶粒的該表面係相對該載體加以偏置;在該半導體晶粒及該載體之上沉積該囊封劑,以覆蓋該半導體晶粒的該側邊以及該半導體晶粒的該表面的露出的該部分;以及移除該載體。
  7. 如申請專利範圍第5項之方法,其進一步包含在沉積該囊封劑之前,在該半導體晶粒的該表面上的一接觸墊之上形成一導電層。
  8. 如申請專利範圍第7項之方法,其進一步包含在沉積該囊封劑之後,在該導電層之上形成一互連結構。
  9. 如申請專利範圍第5項之方法,其進一步包含在該絕緣層之內形成一導電層。
  10. 一種半導體裝置,其係包括:一半導體晶粒;形成在該半導體晶粒之上的一絕緣層,其中該半導體晶粒的一表面的一邊緣並沒有該絕緣層;以及沉積在該半導體晶粒之上的一種囊封劑,以覆蓋該半導體晶粒的一側邊以及該半導體晶粒的該表面的沒有該絕緣層的該邊緣,其中該囊封劑接觸該半導體晶粒的至少五個表面。
  11. 如申請專利範圍第10項之半導體裝置,其進一步包含形成在該絕緣層之上的一導電層以及在該半導體晶粒的該表面上的一接觸墊。
  12. 如申請專利範圍第10項之半導體裝置,其進一步包含形成在該半導體晶粒的該表面上的一接觸墊之上的一導電層。
  13. 如申請專利範圍第12項之半導體裝置,其進一步包含形成在該導電層之上的一互連結構。
  14. 如申請專利範圍第10項之半導體裝置,其進一步包含形成在該絕緣層之內的一導電層。
TW102125368A 2012-10-02 2013-07-16 半導體裝置和在埋藏晶圓級晶片尺寸封裝中沿半導體晶粒之側邊和表面邊緣沉積囊封劑的方法 TWI632648B (zh)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US201261744699P 2012-10-02 2012-10-02
US61/744,699 2012-10-02
US13/832,809 US9496195B2 (en) 2012-10-02 2013-03-15 Semiconductor device and method of depositing encapsulant along sides and surface edge of semiconductor die in embedded WLCSP
US13/832,809 2013-03-15

Publications (2)

Publication Number Publication Date
TW201415585A TW201415585A (zh) 2014-04-16
TWI632648B true TWI632648B (zh) 2018-08-11

Family

ID=50384422

Family Applications (2)

Application Number Title Priority Date Filing Date
TW107122625A TWI689075B (zh) 2012-10-02 2013-07-16 半導體裝置和在埋藏晶圓級晶片尺寸封裝中沿半導體晶粒之側邊和表面邊緣沉積囊封劑的方法
TW102125368A TWI632648B (zh) 2012-10-02 2013-07-16 半導體裝置和在埋藏晶圓級晶片尺寸封裝中沿半導體晶粒之側邊和表面邊緣沉積囊封劑的方法

Family Applications Before (1)

Application Number Title Priority Date Filing Date
TW107122625A TWI689075B (zh) 2012-10-02 2013-07-16 半導體裝置和在埋藏晶圓級晶片尺寸封裝中沿半導體晶粒之側邊和表面邊緣沉積囊封劑的方法

Country Status (5)

Country Link
US (4) US9496195B2 (zh)
KR (2) KR101886888B1 (zh)
CN (2) CN103715108A (zh)
SG (3) SG10201709560YA (zh)
TW (2) TWI689075B (zh)

Families Citing this family (29)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9496195B2 (en) 2012-10-02 2016-11-15 STATS ChipPAC Pte. Ltd. Semiconductor device and method of depositing encapsulant along sides and surface edge of semiconductor die in embedded WLCSP
US9620413B2 (en) 2012-10-02 2017-04-11 STATS ChipPAC Pte. Ltd. Semiconductor device and method of using a standardized carrier in semiconductor packaging
US9721862B2 (en) 2013-01-03 2017-08-01 STATS ChipPAC Pte. Ltd. Semiconductor device and method of using a standardized carrier to form embedded wafer level chip scale packages
US9704824B2 (en) 2013-01-03 2017-07-11 STATS ChipPAC Pte. Ltd. Semiconductor device and method of forming embedded wafer level chip scale packages
US9379041B2 (en) * 2013-12-11 2016-06-28 Taiwan Semiconductor Manufacturing Company, Ltd. Fan out package structure
US9704769B2 (en) 2014-02-27 2017-07-11 STATS ChipPAC Pte. Ltd. Semiconductor device and method of forming encapsulated wafer level chip scale package (EWLCSP)
US9508623B2 (en) 2014-06-08 2016-11-29 UTAC Headquarters Pte. Ltd. Semiconductor packages and methods of packaging semiconductor devices
US9449908B2 (en) * 2014-07-30 2016-09-20 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor package system and method
US20160064299A1 (en) * 2014-08-29 2016-03-03 Nishant Lakhera Structure and method to minimize warpage of packaged semiconductor devices
US9484227B1 (en) * 2015-06-22 2016-11-01 Taiwan Semiconductor Manufacturing Company, Ltd. Dicing in wafer level package
DE102015122294B4 (de) * 2015-07-06 2021-04-22 Infineon Technologies Ag Isolierter Die
JP2017054888A (ja) * 2015-09-08 2017-03-16 株式会社ディスコ ウエーハの加工方法
US10276421B2 (en) * 2016-03-15 2019-04-30 Taiwan Semiconductor Manufacturing Co., Ltd. Integrated fan-out package, integrated fan-out package array, and method of manufacturing integrated fan-out packages
US11272618B2 (en) 2016-04-26 2022-03-08 Analog Devices International Unlimited Company Mechanically-compliant and electrically and thermally conductive leadframes for component-on-package circuits
CN106098625B (zh) * 2016-08-08 2023-05-02 华天科技(昆山)电子有限公司 等离子划片的芯片包封结构及制作方法
KR102034307B1 (ko) * 2017-05-04 2019-10-18 삼성전자주식회사 반도체 패키지 제조방법 및 이에 이용되는 제조장치
JP2018206797A (ja) 2017-05-30 2018-12-27 アオイ電子株式会社 半導体装置および半導体装置の製造方法
US10431575B2 (en) 2017-12-19 2019-10-01 Nxp B.V. Multi-die array device
US10910287B2 (en) * 2018-02-28 2021-02-02 Stmicroelectronics Pte Ltd Semiconductor package with protected sidewall and method of forming the same
US10497635B2 (en) 2018-03-27 2019-12-03 Linear Technology Holding Llc Stacked circuit package with molded base having laser drilled openings for upper package
US20200312732A1 (en) 2018-09-14 2020-10-01 Mediatek Inc. Chip scale package structure and method of forming the same
US11450606B2 (en) * 2018-09-14 2022-09-20 Mediatek Inc. Chip scale package structure and method of forming the same
US11410977B2 (en) 2018-11-13 2022-08-09 Analog Devices International Unlimited Company Electronic module for high power applications
CN114258580A (zh) 2020-01-28 2022-03-29 Tdk电子股份有限公司 制造和钝化管芯的方法
US11626337B2 (en) * 2020-05-19 2023-04-11 Amkor Technology Singapore Holding Pte. Ltd. Semiconductor devices and methods of manufacturing semiconductor devices
US11844178B2 (en) 2020-06-02 2023-12-12 Analog Devices International Unlimited Company Electronic component
KR20220006931A (ko) 2020-07-09 2022-01-18 삼성전자주식회사 인터포저 및 이를 포함하는 반도체 패키지
KR20220029232A (ko) 2020-09-01 2022-03-08 삼성전자주식회사 반도체 패키지 및 이를 포함하는 반도체 장치
US11935878B2 (en) * 2021-09-10 2024-03-19 Vanguard International Semiconductor Corporation Package structure and method for manufacturing the same

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20100095739A1 (en) * 2008-10-21 2010-04-22 Teledyne Scientific & Imaging, Llc Method for adjusting resonance frequencies of a vibrating microelectromechanical device
US20110001238A1 (en) * 2009-07-03 2011-01-06 Casio Computer Co., Ltd. Semiconductor construct and manufacturing method thereof as well as semiconductor device and manufacturing method thereof
US20120187584A1 (en) * 2011-01-21 2012-07-26 Stats Chippac, Ltd. Semiconductor Device and Method for Forming Semiconductor Package Having Build-Up Interconnect Structure Over Semiconductor Die with Different CTE Insulating Layers

Family Cites Families (99)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2936669B2 (ja) 1990-08-07 1999-08-23 株式会社デンソー 樹脂封止型半導体装置
US5148266A (en) 1990-09-24 1992-09-15 Ist Associates, Inc. Semiconductor chip assemblies having interposer and flexible lead
JPH0574932A (ja) 1991-09-17 1993-03-26 Fujitsu Ltd 半導体ウエハのダイシング方法
JPH08306828A (ja) * 1995-05-11 1996-11-22 Nitto Denko Corp 半導体装置
US6136137A (en) 1998-07-06 2000-10-24 Micron Technology, Inc. System and method for dicing semiconductor components
JP3455948B2 (ja) * 2000-05-19 2003-10-14 カシオ計算機株式会社 半導体装置およびその製造方法
US7190080B1 (en) * 2000-10-13 2007-03-13 Bridge Semiconductor Corporation Semiconductor chip assembly with embedded metal pillar
US6525407B1 (en) 2001-06-29 2003-02-25 Novellus Systems, Inc. Integrated circuit package
US7135356B2 (en) 2002-02-07 2006-11-14 Semiconductor Components Industries, L.L.C. Semiconductor device and method of producing a high contrast identification mark
US20030170450A1 (en) * 2002-03-05 2003-09-11 Stewart Steven L. Attachment of surface mount devices to printed circuit boards using a thermoplastic adhesive
US6908784B1 (en) 2002-03-06 2005-06-21 Micron Technology, Inc. Method for fabricating encapsulated semiconductor components
US7388294B2 (en) 2003-01-27 2008-06-17 Micron Technology, Inc. Semiconductor components having stacked dice
TWI250622B (en) 2003-09-10 2006-03-01 Siliconware Precision Industries Co Ltd Semiconductor package having high quantity of I/O connections and method for making the same
WO2005078789A1 (en) 2004-01-13 2005-08-25 Infineon Technologies Ag Chip-sized filp-chip semiconductor package and method for making the same
US7109587B1 (en) 2004-05-25 2006-09-19 National Semiconductor Corporation Apparatus and method for enhanced thermal conductivity packages for high powered semiconductor devices
KR100640580B1 (ko) * 2004-06-08 2006-10-31 삼성전자주식회사 측면이 봉지재로 감싸진 반도체 패키지 및 그 제조방법
DE102005046737B4 (de) 2005-09-29 2009-07-02 Infineon Technologies Ag Nutzen zur Herstellung eines elektronischen Bauteils, Bauteil mit Chip-Durchkontakten und Verfahren
JP4779581B2 (ja) * 2005-11-08 2011-09-28 パナソニック株式会社 電子部品パッケージ
US8575018B2 (en) * 2006-02-07 2013-11-05 Stats Chippac, Ltd. Semiconductor device and method of forming bump structure with multi-layer UBM around bump formation area
JP4811233B2 (ja) * 2006-02-27 2011-11-09 パナソニック株式会社 電子部品パッケージ
JP4812525B2 (ja) * 2006-06-12 2011-11-09 パナソニック株式会社 半導体装置および半導体装置の実装体および半導体装置の製造方法
US7838424B2 (en) 2007-07-03 2010-11-23 Taiwan Semiconductor Manufacturing Company, Ltd. Enhanced reliability of wafer-level chip-scale packaging (WLCSP) die separation using dry etching
US7745910B1 (en) * 2007-07-10 2010-06-29 Amkor Technology, Inc. Semiconductor device having RF shielding and method therefor
US9941245B2 (en) 2007-09-25 2018-04-10 Intel Corporation Integrated circuit packages including high density bump-less build up layers and a lesser density core or coreless substrate
KR100887479B1 (ko) * 2007-10-09 2009-03-10 주식회사 네패스 내균열성 반도체 패키지 및 그 제조 방법
US8343809B2 (en) 2010-03-15 2013-01-01 Stats Chippac, Ltd. Semiconductor device and method of forming repassivation layer with reduced opening to contact pad of semiconductor die
US8456002B2 (en) 2007-12-14 2013-06-04 Stats Chippac Ltd. Semiconductor device and method of forming insulating layer disposed over the semiconductor die for stress relief
US8035210B2 (en) 2007-12-28 2011-10-11 Stats Chippac Ltd. Integrated circuit package system with interposer
US8309864B2 (en) 2008-01-31 2012-11-13 Sanyo Electric Co., Ltd. Device mounting board and manufacturing method therefor, and semiconductor module
CN101521165B (zh) 2008-02-26 2012-01-11 上海凯虹电子有限公司 芯片级封装方法
JP5588601B2 (ja) * 2008-05-14 2014-09-10 ローム株式会社 半導体装置の製造方法
US7964450B2 (en) 2008-05-23 2011-06-21 Stats Chippac, Ltd. Wirebondless wafer level package with plated bumps and interconnects
TW201003880A (en) 2008-05-30 2010-01-16 Advanced Micro Devices Inc Semiconductor device comprising a chip internal electrical test structure allowing electrical measurements during the fabrication process
US20100252828A1 (en) 2009-04-03 2010-10-07 Michael Grillberger Semiconductor device comprising a chip internal electrical test structure allowing electrical measurements during the fabrication process
US8367415B2 (en) 2008-09-05 2013-02-05 University Of South Carolina Specific gene polymorphisms in breast cancer diagnosis, prevention and treatment
US8916452B2 (en) 2008-11-23 2014-12-23 Stats Chippac, Ltd. Semiconductor device and method of forming WLCSP using wafer sections containing multiple die
KR101227078B1 (ko) 2008-11-25 2013-01-29 삼성전자주식회사 반도체 패키지 및 그 형성방법
US9082806B2 (en) 2008-12-12 2015-07-14 Stats Chippac, Ltd. Semiconductor device and method of forming a vertical interconnect structure for 3-D FO-WLCSP
US7642128B1 (en) * 2008-12-12 2010-01-05 Stats Chippac, Ltd. Semiconductor device and method of forming a vertical interconnect structure for 3-D FO-WLCSP
US9064936B2 (en) 2008-12-12 2015-06-23 Stats Chippac, Ltd. Semiconductor device and method of forming a vertical interconnect structure for 3-D FO-WLCSP
US8569877B2 (en) 2009-03-12 2013-10-29 Utac Thai Limited Metallic solderability preservation coating on metal part of semiconductor package to prevent oxide
US8466542B2 (en) 2009-03-13 2013-06-18 Tessera, Inc. Stacked microelectronic assemblies having vias extending through bond pads
JP5543125B2 (ja) 2009-04-08 2014-07-09 ピーエスフォー ルクスコ エスエイアールエル 半導体装置および半導体装置の製造方法
US20110014746A1 (en) 2009-07-17 2011-01-20 Stats Chippac, Ltd. Semiconductor Device and Method of Forming Conductive TSV in Peripheral Region of Die Prior to Wafer Singulaton
US8263434B2 (en) * 2009-07-31 2012-09-11 Stats Chippac, Ltd. Semiconductor device and method of mounting die with TSV in cavity of substrate for electrical interconnect of Fi-PoP
US8039304B2 (en) * 2009-08-12 2011-10-18 Stats Chippac, Ltd. Semiconductor device and method of dual-molding die formed on opposite sides of build-up interconnect structures
TWI528514B (zh) 2009-08-20 2016-04-01 精材科技股份有限公司 晶片封裝體及其製造方法
US9397050B2 (en) * 2009-08-31 2016-07-19 STATS ChipPAC Pte. Ltd. Semiconductor device and method of forming pre-molded semiconductor die having bumps embedded in encapsulant
EP2296168A1 (en) 2009-09-09 2011-03-16 Kulicke & Soffa Die Bonding GmbH Tool for picking a planar object from a supply station
US8772087B2 (en) 2009-10-22 2014-07-08 Infineon Technologies Ag Method and apparatus for semiconductor device fabrication using a reconstituted wafer
US8008121B2 (en) 2009-11-04 2011-08-30 Stats Chippac, Ltd. Semiconductor package and method of mounting semiconductor die to opposite sides of TSV substrate
US8460972B2 (en) * 2009-11-05 2013-06-11 Freescale Semiconductor, Inc. Method of forming semiconductor package
US9177926B2 (en) 2011-12-30 2015-11-03 Deca Technologies Inc Semiconductor device and method comprising thickened redistribution layers
US8604600B2 (en) * 2011-12-30 2013-12-10 Deca Technologies Inc. Fully molded fan-out
US8535978B2 (en) 2011-12-30 2013-09-17 Deca Technologies Inc. Die up fully molded fan-out wafer level packaging
US8822281B2 (en) 2010-02-23 2014-09-02 Stats Chippac, Ltd. Semiconductor device and method of forming TMV and TSV in WLCSP using same carrier
JP5460388B2 (ja) 2010-03-10 2014-04-02 新光電気工業株式会社 半導体装置及びその製造方法
US8759209B2 (en) * 2010-03-25 2014-06-24 Stats Chippac, Ltd. Semiconductor device and method of forming a dual UBM structure for lead free bump connections
US8258633B2 (en) 2010-03-31 2012-09-04 Infineon Technologies Ag Semiconductor package and multichip arrangement having a polymer layer and an encapsulant
US9431316B2 (en) 2010-05-04 2016-08-30 STATS ChipPAC Pte. Ltd. Semiconductor device and method of forming channels in back surface of FO-WLCSP for heat dissipation
US8241964B2 (en) 2010-05-13 2012-08-14 Stats Chippac, Ltd. Semiconductor device and method of embedding bumps formed on semiconductor die into penetrable adhesive layer to reduce die shifting during encapsulation
US8258012B2 (en) 2010-05-14 2012-09-04 Stats Chippac, Ltd. Semiconductor device and method of forming discontinuous ESD protection layers between semiconductor die
KR101678054B1 (ko) 2010-06-28 2016-11-22 삼성전자 주식회사 반도체 패키지 및 그 반도체 패키지 제조방법
US20120001339A1 (en) 2010-06-30 2012-01-05 Pramod Malatkar Bumpless build-up layer package design with an interposer
CN102339763B (zh) * 2010-07-21 2016-01-27 飞思卡尔半导体公司 装配集成电路器件的方法
US9202713B2 (en) 2010-07-26 2015-12-01 Stats Chippac, Ltd. Semiconductor device and method of forming RDL over contact pad with high alignment tolerance or reduced interconnect pitch
US8501618B2 (en) 2010-07-26 2013-08-06 Stats Chippac, Ltd. Semiconductor device and method of forming RDL wider than contact pad along first axis and narrower than contact pad along second axis
US8193610B2 (en) * 2010-08-10 2012-06-05 Stats Chippac, Ltd. Semiconductor device and method of forming B-stage conductive polymer over contact pads of semiconductor die in Fo-WLCSP
US8501544B2 (en) 2010-08-31 2013-08-06 Stats Chippac, Ltd. Semiconductor device and method of forming adhesive material over semiconductor die and carrier to reduce die shifting during encapsulation
US8993377B2 (en) 2010-09-29 2015-03-31 Stats Chippac, Ltd. Semiconductor device and method of bonding different size semiconductor die at the wafer level
EP2453474A1 (en) 2010-11-10 2012-05-16 Nxp B.V. Semiconductor device packaging method and semiconductor device package
US8659166B2 (en) 2010-11-18 2014-02-25 Headway Technologies, Inc. Memory device, laminated semiconductor substrate and method of manufacturing the same
US9171769B2 (en) 2010-12-06 2015-10-27 Stats Chippac, Ltd. Semiconductor device and method of forming openings through encapsulant to reduce warpage and stress on semiconductor package
US8445990B2 (en) 2010-12-10 2013-05-21 Stats Chippac, Ltd. Semiconductor device and method of forming an inductor within interconnect layer vertically separated from semiconductor die
US8878071B2 (en) * 2011-01-20 2014-11-04 International Business Machines Corporation Integrated device with defined heat flow
TWI528466B (zh) 2011-01-21 2016-04-01 史達晶片有限公司 形成沈積在半導體晶粒上用於應力緩和之絕緣層的半導體裝置及方法
US8648470B2 (en) 2011-01-21 2014-02-11 Stats Chippac, Ltd. Semiconductor device and method of forming FO-WLCSP with multiple encapsulants
US8409917B2 (en) 2011-03-22 2013-04-02 Stats Chippac Ltd. Integrated circuit packaging system with an interposer substrate and method of manufacture thereof
US8367475B2 (en) 2011-03-25 2013-02-05 Broadcom Corporation Chip scale package assembly in reconstitution panel process format
US8883561B2 (en) 2011-04-30 2014-11-11 Stats Chippac, Ltd. Semiconductor device and method of embedding TSV semiconductor die within encapsulant with TMV for vertical interconnect in POP
US9087701B2 (en) 2011-04-30 2015-07-21 Stats Chippac, Ltd. Semiconductor device and method of embedding TSV semiconductor die within substrate for vertical interconnect in POP
US9559004B2 (en) 2011-05-12 2017-01-31 STATS ChipPAC Pte. Ltd. Semiconductor device and method of singulating thin semiconductor wafer on carrier along modified region within non-active region formed by irradiating energy
CN102903642B (zh) 2011-07-29 2015-04-15 万国半导体(开曼)股份有限公司 一种将芯片底部和周边包封的芯片级封装方法
US8642385B2 (en) 2011-08-09 2014-02-04 Alpha & Omega Semiconductor, Inc. Wafer level package structure and the fabrication method thereof
CN103035578B (zh) 2011-10-06 2017-08-18 新科金朋有限公司 形成具有较大载体的重构晶片的半导体器件和方法
US8524577B2 (en) 2011-10-06 2013-09-03 Stats Chippac, Ltd. Semiconductor device and method of forming reconstituted wafer with larger carrier to achieve more eWLB packages per wafer with encapsulant deposited under temperature and pressure
US8513098B2 (en) 2011-10-06 2013-08-20 Stats Chippac, Ltd. Semiconductor device and method of forming reconstituted wafer with larger carrier to achieve more eWLB packages per wafer with encapsulant deposited under temperature and pressure
US8486803B2 (en) 2011-10-13 2013-07-16 Alpha & Omega Semiconductor, Inc. Wafer level packaging method of encapsulating the bottom and side of a semiconductor chip
CN103117232B (zh) 2011-11-16 2015-07-01 美新半导体(无锡)有限公司 晶圆级封装方法及其封装结构
US8664040B2 (en) * 2011-12-20 2014-03-04 Taiwan Semiconductor Manufacturing Company, Ltd. Exposing connectors in packages through selective treatment
US9842798B2 (en) 2012-03-23 2017-12-12 STATS ChipPAC Pte. Ltd. Semiconductor device and method of forming a PoP device with embedded vertical interconnect units
TWI463619B (zh) 2012-06-22 2014-12-01 矽品精密工業股份有限公司 半導體封裝件及其製法
US9349663B2 (en) * 2012-06-29 2016-05-24 Taiwan Semiconductor Manufacturing Co., Ltd. Package-on-package structure having polymer-based material for warpage control
US8890319B2 (en) * 2012-09-12 2014-11-18 Infineon Technologies Ag Chip to package interface
US9496195B2 (en) 2012-10-02 2016-11-15 STATS ChipPAC Pte. Ltd. Semiconductor device and method of depositing encapsulant along sides and surface edge of semiconductor die in embedded WLCSP
CN203288575U (zh) 2012-10-02 2013-11-13 新科金朋有限公司 半导体装置
US8975726B2 (en) 2012-10-11 2015-03-10 Taiwan Semiconductor Manufacturing Company, Ltd. POP structures and methods of forming the same
US9196537B2 (en) 2012-10-23 2015-11-24 Nxp B.V. Protection of a wafer-level chip scale package (WLCSP)
US9721862B2 (en) 2013-01-03 2017-08-01 STATS ChipPAC Pte. Ltd. Semiconductor device and method of using a standardized carrier to form embedded wafer level chip scale packages

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20100095739A1 (en) * 2008-10-21 2010-04-22 Teledyne Scientific & Imaging, Llc Method for adjusting resonance frequencies of a vibrating microelectromechanical device
US20110001238A1 (en) * 2009-07-03 2011-01-06 Casio Computer Co., Ltd. Semiconductor construct and manufacturing method thereof as well as semiconductor device and manufacturing method thereof
US20120187584A1 (en) * 2011-01-21 2012-07-26 Stats Chippac, Ltd. Semiconductor Device and Method for Forming Semiconductor Package Having Build-Up Interconnect Structure Over Semiconductor Die with Different CTE Insulating Layers

Also Published As

Publication number Publication date
KR20140043859A (ko) 2014-04-11
US11222793B2 (en) 2022-01-11
US20220093417A1 (en) 2022-03-24
US20200090954A1 (en) 2020-03-19
US12094729B2 (en) 2024-09-17
TW201415585A (zh) 2014-04-16
US9496195B2 (en) 2016-11-15
SG10201709560YA (en) 2018-01-30
CN103715108A (zh) 2014-04-09
SG2013054978A (en) 2014-05-29
SG10201602415VA (en) 2016-05-30
KR101886888B1 (ko) 2018-08-08
KR102024472B1 (ko) 2019-09-23
US20170011936A1 (en) 2017-01-12
US20140091482A1 (en) 2014-04-03
TWI689075B (zh) 2020-03-21
US10515828B2 (en) 2019-12-24
TW201838131A (zh) 2018-10-16
CN110993514A (zh) 2020-04-10
KR20180090768A (ko) 2018-08-13

Similar Documents

Publication Publication Date Title
TWI632648B (zh) 半導體裝置和在埋藏晶圓級晶片尺寸封裝中沿半導體晶粒之側邊和表面邊緣沉積囊封劑的方法
US11961764B2 (en) Semiconductor device and method of making a wafer-level chip-scale package
US9842775B2 (en) Semiconductor device and method of forming a thin wafer without a carrier
US10998248B2 (en) Semiconductor device and method of forming sacrificial adhesive over contact pads of semiconductor die
US8993377B2 (en) Semiconductor device and method of bonding different size semiconductor die at the wafer level
US9780063B2 (en) Semiconductor device and method of forming bump structure with insulating buffer layer to reduce stress on semiconductor wafer
US9275877B2 (en) Semiconductor device and method of forming semiconductor package using panel form carrier
US20120032340A1 (en) Semiconductor Die and Method of Forming FO-WLCSP Vertical Interconnect Using TSV and TMV
US20100140815A1 (en) Semiconductor device and method of forming an interconnect structure for 3-d devices using encapsulant for structural support
US20120217645A1 (en) Semiconductor Device and Method of Forming Interposer and Opposing Build-Up Interconnect Structure with Connecting Conductive TMV for Electrical Interconnect of FO-WLCSP
US8642469B2 (en) Semiconductor device and method of forming multi-layered UBM with intermediate insulating buffer layer to reduce stress for semiconductor wafer
US8367467B2 (en) Semiconductor method of forming bump on substrate to prevent ELK ILD delamination during reflow process
US11127666B2 (en) Semiconductor device and method of forming openings through insulating layer over encapsulant for enhanced adhesion of interconnect structure
US20120241915A1 (en) Semiconductor Device and Method of Forming Leadframe With Notched Fingers for Stacking Semiconductor Die