TWI604510B - 使用次解析度開口以幫助影像反轉、定向自組裝及選擇性沉積 - Google Patents

使用次解析度開口以幫助影像反轉、定向自組裝及選擇性沉積 Download PDF

Info

Publication number
TWI604510B
TWI604510B TW105111006A TW105111006A TWI604510B TW I604510 B TWI604510 B TW I604510B TW 105111006 A TW105111006 A TW 105111006A TW 105111006 A TW105111006 A TW 105111006A TW I604510 B TWI604510 B TW I604510B
Authority
TW
Taiwan
Prior art keywords
chemical template
microelectronic substrate
layer
processing
groove structure
Prior art date
Application number
TW105111006A
Other languages
English (en)
Other versions
TW201719720A (zh
Inventor
班傑明 M 盧斯薩克
馬克 H 桑末薇拉
Original Assignee
東京威力科創股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 東京威力科創股份有限公司 filed Critical 東京威力科創股份有限公司
Publication of TW201719720A publication Critical patent/TW201719720A/zh
Application granted granted Critical
Publication of TWI604510B publication Critical patent/TWI604510B/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0273Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0273Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
    • H01L21/0274Photolithographic processes
    • H01L21/0275Photolithographic processes using lasers
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/0002Lithographic processes using patterning methods other than those involving the exposure to radiation, e.g. by stamping
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/40Treatment after imagewise removal, e.g. baking
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/0445Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising crystalline silicon carbide
    • H01L21/0475Changing the shape of the semiconductor body, e.g. forming recesses
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/31058After-treatment of organic layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31127Etching organic layers
    • H01L21/31133Etching organic layers by chemical means
    • H01L21/31138Etching organic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31144Etching the insulating layers by chemical or physical means using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32139Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67063Apparatus for fluid treatment for etching
    • H01L21/67069Apparatus for fluid treatment for etching for drying etching

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • Optics & Photonics (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Plasma & Fusion (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Drying Of Semiconductors (AREA)
  • Exposure Of Semiconductors, Excluding Electron Or Ion Beam Exposure (AREA)
  • Inorganic Chemistry (AREA)

Description

使用次解析度開口以幫助影像反轉、定向自組裝及選擇性沉積
本發明相關於使用次解析度開口以幫助影像反轉、定向自組裝、及選擇性沉積的設備及方法。
半導體裝置的生產中,在成本及性能方面保持競爭力的需求提高了對持續增加積體電路之裝置密度的要求。為在半導體積體電路小型化的情況下達成更高程度的整合,需要強而有力的方法來減小半導體基板上所形成之電路圖案的尺度。該等趨勢及需求對於在電路圖案製造期間製備電性結構隔絕的能力造成了不斷增長的挑戰性。
光微影係藉由將遮罩上之幾何形狀及圖案轉移至半導體晶圓之表面而用來製造半導體積體電路的主要技術。原理上,使光敏性材料曝露於圖案化光,以改變該光敏性材料在顯影溶液中的溶解度。一旦進行成像及顯影,光敏性材料之可溶於顯影化學成分的部分被移除,並留下電路圖案。更進一步講,為使光學微影進步,並考量其不足,因此正在進行不斷的躍進,以建立替代的圖案化策略,從而為次30-nm技術世代的半導體加工產業提供設備。
相應於先進的圖案化技術,需要先進的、高度選擇性的蝕刻技術來轉移次30-nm特徵部。此外,先進的蝕刻方法尤其就符合輪廓控制、各向異性、及速率方面的要求而言,係有挑戰性的。
本發明提供處理微電子式基板的方法,以形成化學模板。該方法包含:圖案化基板,以形成具有複數凹槽的凹槽結構,該複數凹槽具有定義凹槽寬度;以及沉積光活化材料於該基板上,以使該凹槽結構滿溢,從而形成該複數凹槽中的填充部分、以及該凹槽結構之上的滿溢部分。該方法更包含使該光活化材料曝露於包含一波長的電磁輻射,該波長為該定義凹槽寬度之至少四倍大,使得滿溢部分藉由曝露而改質,而該電磁輻射無法穿透進入該複數凹槽,留下未改質的填充部分。該方法更包含移除該光活化材料之經改質的滿溢部分,以形成一平坦化的填充凹槽結構,以便用作選擇性反應性離子蝕刻、選擇性沉積、或定向自組裝的化學模板。
本發明提供處理微電子式基板的另一方法,以形成化學模板。該方法包含:圖案化該基板,以形成具有複數凹槽的凹槽結構,該複數凹槽具有定義凹槽寬度;以及沉積光活化材料於該基板上,以使該凹槽結構滿溢,從而形成複數凹槽中的填充部分、以及凹槽結構之上的滿溢部分。該方法更包含:使該光活化材料曝露於包含一波長的電磁輻射,該波長為該定義凹槽寬度之至少四倍大,使得該滿溢部分藉由曝露而改質,而該電磁輻射無法穿透進入該複數凹槽,留下未經改質的填充部分;以及移除該光活化材料之經改質的滿溢部分,以形成一平坦化的填充凹槽結構。進一步講,該方法包含:利用酸塗佈該平坦化填充凹槽結構;使該酸擴散進入填充部分,以在該光活化材料的填充部分上形成去保護層;以及在該去保護層中併入金屬或半金屬原子,以形成化學模板,用於選擇性反應性離子蝕刻、選擇性沉積、或定性自組裝。
本發明的實施例概括性關於處理微電子式基板的方法,以形成化學模板。化學模板可用於如凹槽圖案之起始圖案的影像反轉、化學磊晶定向自組裝(DSA, directed self-assembly)、及選擇性沉積(例如材料層的選擇性生長)。概括地參考圖1A-1D及圖6,在本發明的一實施例中,顯示產生化學模板以供凹槽圖案之進一步處理的方法。圖1A顯示包含第一層12、第二層14、及第三層16的微電子式基板10。藉由範例且非限制的方式,第一層12可為矽晶圓,且第二層14可為原生氧化物層。第三層16的例示性材料於以下進行討論。在步驟40中,在微電子式基板10上使凹槽結構18圖案化,並定義隔開寬度W的一系列凹槽20。藉由範例且非限制的範例,所描繪之凹槽結構18係形成為具有3:1的工作循環,指的是複數凹槽20之間之凹槽結構18的寬度為凹槽寬度W的三倍。
步驟42中,且如圖1B中顯示,凹槽20係利用填材料而滿溢,以形成光活化膜22。光活化膜22的有機填充材料可進行調整,使其具有不同於凹槽結構18之材料的光學特性。舉例而言,光活化膜22可被調整成具有針對給定波長的不同折射率(n)、以及針對該給定波長的不同吸光率(k)。當此情況發生時,給定波長的光無法可察知地穿透進入凹槽20,且反而僅使光活化膜22之凹槽結構18之上的部分曝露。光活化膜22的填充材料含有溶解切換機制,該溶解切換機制容許光活化膜22的滿溢部分受到處理,且在之後透過濕式顯影製程(下述)而移除。舉例而言,未經處理的填充材料在給定化學成分的情形中藉由濕式顯影係不可溶解的,而經處理的填充材料切換成可溶解於給定化學成分中。
現在參考圖1C、1D、及6,步驟44中,光活化膜22的滿溢材料受到處理,且在步驟46中被移除。步驟44中,如圖1C中顯示,光活化膜22被曝露於電磁輻射的泛光曝露,該電磁輻射具有波長大於凹槽20之寬度W的四倍的光24。在光學特性已被調整為不同的一實例中,波長λ的光無法穿透小於λ之1/4的開口。據此,當適當尺寸的凹槽、或凹槽圖案用光活化材料(在光學上不同於凹槽結構18的材料)填充、或滿溢時,藉由光曝露,光活化材料之處於凹槽內的部分可不藉由光曝露而進行化學上的改變,例如,其不會被致使可溶解於給定的濕式顯影化學成分中。然而,凹槽外側或上方的光化學材料可起因於光曝露而在化學上進行改變,例如可被致使可溶解於給定的濕式顯影化學成分中。以此方式,光活化材料的部分可被選擇性地改變,使得經改變及未改變的部分對後續處理以不同方式回應。因此,凹槽20內的光敏感性光活化膜22在基板10被曝露於光24時可不受影響,或者受減少的影響。因此,凹槽20內光活化膜22之未改變部分中的光酸沒有曝露於圖1C中顯示的泛光曝露,且因此凹槽20內的材料在曝露之後保持為非酸性。換句話說,凹槽20內側之膜22的未改變部分(亦即,填充部分)可保留未曝露之光活化材料的特性,而凹槽20外側之膜22的經改變部分(亦即,滿溢部分)可具有已曝露之光光活化材料的特性。步驟46中,且如圖1D中顯示,然後可執行濕式顯影製程,以移除光活化膜22之曝露於泛光曝露的經改變滿溢部分。
依此製程序列來形成化學模板消除了出現於光活化膜22之不均勻性的潛在問題。考慮由具有與圖1A中顯示之凹槽相同尺寸、但為5:1的工作循環(未顯示)之複數凹槽組成的一陣列,對比圖1A中顯示的較密集凹槽20,光活化膜22將更加地使該等凹槽滿溢。泛光曝露便容許跨不同凹槽密度的膜高度為相等或平坦的。凹槽20用來過濾光,使得足夠的曝露可用來從較不密集陣列的凹槽移除多餘的膜,而不影響較密集的凹槽。當光活化膜22的滿溢部分被移除時,光活化膜22在整個凹槽結構18的範圍內可為不連續的。進一步講,如圖1D中描繪,凹槽結構18及光活化膜22可形成連續的表面,亦稱為平坦化表面。
凹槽結構18產生一影像,該影像可根據本發明之方法的一實施例而進行反轉。參考圖2及6,在步驟48中,以及使用圖1D的化學模板,對基板10進行選擇性地蝕刻,以移除凹槽結構18。一旦凹槽結構18被移除,光活化膜22定義具有1:3工作循環的一系列凹槽20’。凹槽20’定義一影像,該影像為圖1A中凹槽20所定義之影像的反轉。舉例而言,在凹槽結構18的材料由氧化物組成的一實施例中,利用特定化學成分,光活化膜22所使用的有機材料可具有足夠的蝕刻選擇性,使得影像反轉可以完成,而不會使該化學模板進一步改質。舉例而言,反應性離子蝕刻(RIE)可用來選擇性地移除凹槽結構18。蝕刻化學成分及製程條件可由該領域中具有通常技術者依據所使用之特定氧化物及有機材料而辨識。由光活化膜22所形成的圖案可被轉移至下方層(未顯示轉移製程),其中光活化膜22用作遮罩。覆層12、14、16的組成可由該領域中具有通常技術者依據特定的應用而辨識。舉例而言,下方層對於光活化膜22的材料可具有蝕刻選擇性。在光活化膜22為有機的一實施例中,第三層16可由例如SiN的無機材料製成。
現在概括地參考圖3A、3B、及6,在本發明的一實施例中,顯示進一步形成化學模板的方法,該化學模板係用於起始圖案的影像反轉、定向自組裝、或選擇性沉積。該實施例的方法開始於基板10,該基板10已經受如圖1A-1D所顯示的製程,從而在基板10上形成化學模板。在圖3A及3B中使用相似的參考數字來表示圖1A-1D中相似的部件。步驟50中,並且參考圖3A及3B,然後在光活化膜22中形成去保護層26,以提供在表面化學成分中具有差異的經改質化學模板,該經改質化學模板用作選擇性蝕刻或沉積、或定向自組裝的基礎。舉例而言,為形成去保護層26,且如圖3A中顯示,基板10係利用酸淋洗而進行處理,該酸淋洗將酸28賦予至光活化膜22的頂部上。接著是圖3B中顯示的擴散烘烤,該擴散烘烤驅使酸28向下進入光活化膜22,並產生去保護層26。該擴散的時間長度決定了該去保護作用進行的多深(亦即,決定了去保護層26的厚度)。該領域中具有通常技術者將瞭解,該擴散烘烤的時間長度及溫度將基於材料及目的應用而有所不同。舉例而言,烘烤的溫度可在從約70-200℃的範圍內,且烘烤可持續約10分鐘或更短。在一實施例中,進行相對小量的擴散及去保護作用。舉例而言,去保護層26的厚度可介於5nm及15nm之間,或可為約10nm。就圖1D中的化學模板而言,在凹槽結構18及光活化膜22的初始材料不提供足夠不同之表面化學成分的情形中,圖3B中的經改質化學模板(其中光活化膜22具有表面去保護層26)可提供必要的表面化學成分,以達成影像反轉的選擇性蝕刻、選擇性沉積、或嵌段共聚物的定向自組裝。
現在參考圖4A及6,在另一實施例中,圖3A及3B中顯示的方法在步驟52中可更包含於去保護層26中併入金屬或半金屬原子,從而更進一步使該化學模板改質,以在表面化學成分中產生期望差異,例如從而改善蝕刻選擇性。在一實施例中,使用矽烷化製程,以在去保護層26中併入矽原子,從而形成改質層30。矽烷化反應需要去保護層26具有將經受矽烷化的適當官能性。在各種實施例中,光活化膜22所使用的填充材料可在聚合物鏈內包含脂肪醇、酚、或六氟異丙醇官能基。聚羥基苯乙烯(PHOST)中的酚基具有適當的化學反應性,以供在合理時間內、且在相對低的溫度下進行矽烷化。該酚基具有對有機官能性而言中等的酸性(例如,pKa=9)。脂肪醇可被矽烷化(例如,pKa=12),但進行矽烷化可能需要相對較長的時間。舉例而言,羥酸係難以進行矽烷化的,因為其增加的酸性(pKa=5)迫使矽烷化反應平衡存在於具有未矽烷化狀態的一側。據此,熟悉該領域技術者將瞭解,填充材料中將經受矽烷化之成分的pKa可有所不同。pKa的例示性範圍係從約7-10。具有兩個三氟基(附接於同一碳)的醇在低溫及低次數下也可輕易矽烷化,因為其具有類似於酚的pKa。應瞭解,光活化膜22的填充材料可包含用於矽烷化的另一適當官能性。任何數目的矽烷化劑可為有用的。該等化合物典型地為二次或三次胺,其在垂掛於該胺的側基中含有矽。舉例而言,典型的矽烷劑包含六甲基二矽氮烷(HMDS)、三甲基矽基二甲基胺(TMSDMA)、二甲基矽基二甲基胺(DMSDMA)、及二甲基二矽基二甲基胺(DMDSDMA)。儘管矽烷劑典型地係以氣相進行供給,但亦可使用液體矽烷劑,例如由Chemical Amplification of Resist Lines (CARL) Process所推廣的液體矽烷劑。例示性液體矽烷劑為雙胺基丙基-寡二甲基矽氧烷。
仍參考圖4A,在另一實施例中,可使用鋁連續滲透合成(sequential infiltration synthesis,SIS)使金屬原子結合至去保護層26中,以形成改質層30。因為羥基官能性可經受鋁連續滲透合成(sequential infiltration synthesis,SIS),所以可在填充材料中使用各種丙烯酸及甲基丙烯酸聚合物。SIS製程係自傳播的。據此,隨後之SIS處理的循環導致隨後鋁結合至去保護層26中,因此這可在表面化學成分中導致更大的差異,以求例如用於進一步處理的更好的蝕刻選擇性。
現在參考圖4B及6,在步驟52中使金屬或半金屬原子結合於去保護層26中之後,改質層30提供對於初始構成凹槽結構18之材料的蝕刻選擇性。更特別地,矽及鋁都可形成在一些製程中成為良好阻障物的氧化物。因此,影像反轉可藉由在步驟48中使用選擇性蝕刻製程將凹槽結構18移除而實現。一旦凹槽結構18被移除,具有頂部改質層30的光活化膜22定義具有1:3工作循環的一系列凹槽20’。或者,如圖6中顯示,由凹槽結構18及改質層30所形成之經改質化學模板可在步驟56及58中用於化學磊晶定向自組裝(DSA),或者在步驟54中用於選擇性沉積(以上二者於以下進行描述)。藉由光活化膜22所形成的圖案可被轉移至下方層(轉移製程未顯示),其中改質層30用作遮罩。為達該目的,下方層可利用改質層30而具有蝕刻選擇性。在改質層30包含矽的一實施例中,舉例而言,第三層16可為氮化物基(TiN基),或由有機材料製成。
具有該領域通常知識者將瞭解,形成化學模板的方法可基於使用目的而有所不同。使化學模板改質來形成去保護層,以及使金屬或半金屬原子結合在去保護層中來形成圖4A的經改質化學模板,對圖6之步驟54中之後續選擇性沉積而言可為最適當的。進一步講,應瞭解,使用經改質化學模板選擇性沉積的材料層可使用各種技術形成。舉例而言,在一實施例中,步驟54中,經改質化學模板可用來選擇性地生長膜。生長為沉積的一種類型,其中所沉積的覆層係經由反應物及基板材料之間的反應而形成。因此,化學模板可用來提供表面上不同的生長速率,從而容許相對一基板材料從另一基板材料進行選擇性生長。就此而言,相較於基板的一部分,材料在基板的另一部分上於生長速率方面可具有固有的差異。該製程就改變特徵部陣列之一部分的表面而言,具有潛在的應用。如以上步驟40、42中描述,該基板可進行圖案化,然後利用光活化材料進行填充。同樣地,又如以上步驟44中描述,亦可完成泛光曝露,以使上方塗佈的材料平均化。在中性凹槽材料相較於光活化膜材料固有地具有生長選擇性的情形中,圖1D之化學模板可在不產生去保護層、且不併入金屬原子(未顯示)的情況下,用來選擇性地生長膜。或者,光活化膜的表面特性可藉由於步驟50中使用酸淋洗及擴散烘烤、以及於步驟50、52中可選地併入金屬或半金屬原子而改變,以保護該區域,並容許在步驟54中選擇性生長偏離經改質化學模板之凹槽結構18。
概括地參考圖5A、5B、及6,在本發明的一實施例中,顯示使用化學模板以進行DSA的方法。此實施例之方法開始於圖1D的化學模板、或圖3B或4A的經改質化學模板。在圖5A及5B的範例中,圖3B的經改質化學模板係如圖6之步驟40、42、44、46、及50般形成於基板10上。在圖5A及5B中使用相似的參考數字來指圖1A-1D、3A、及3B中相似的部件。凹槽結構18及去保護層26形成經改質化學模板。凹槽結構18對於意圖沉積於化學模板上之層狀嵌段共聚物的兩嵌段而言係中性的。如圖5A中顯示,步驟56中,利用層狀嵌段共聚物32塗佈化學模板,且如圖5B中顯示,步驟58中,執行定向自組裝(DSA)。在一實施例中,嵌段共聚物32可為聚苯乙烯-嵌段-聚甲基丙烯酸甲酯(PS-b-PMMA)。在此情形中,如圖5B中顯示,光活化膜22的去保護層26將用來牽制嵌段共聚物32之許多極性PMMA嵌段疇34,且嵌段疇36將在複數的受牽制PMMA嵌段疇34之間之中性凹槽結構18的頂部上進行自組裝。受牽制於去保護層26之PMMA嵌段疇34對PMMA嵌段疇34之總數目的比率基於嵌段共聚物組成、及/或凹槽結構18之工作循環可有所不同。舉例而言,如圖5B中顯示,當凹槽結構18具有3:1的工作循環時,每間隔一個PMMA嵌段疇34的PMMA嵌段疇34被牽制於去保護層26。
應瞭解,去保護層的產生、及/或金屬或半金屬原子的併入係產生化學模板過程中的選擇性步驟。舉例而言,在光活化膜22之材料本身具有牽制性的實施例中,嵌段共聚物32其中一嵌段疇的一定數目者可被牽制於該光活化膜(未顯示)。藉由進一步範例的方式,重新參考圖1D,凹槽結構18及光活化膜22形成化學模板,且嵌段共聚物32可施加於其上。每隔開一個PMMA嵌段疇34的PMMA嵌段疇34便可被固定於光活化膜22。同樣地,在去保護層(該去保護層係於光活化膜22的表面處被改質成含有金屬或半金屬原子)具有牽制性的另一實施例中,可使用該改質層,且嵌段共聚物32的嵌段疇可被固定於改質層30(未顯示)。藉由進一步範例的方式,重新參考圖4A及5B,凹槽結構18及改質層30可形成經改質化學模板,且嵌段共聚物32可附加於其上。每隔開一個PMMA前段疇34的PMMA前段疇34便可被固定於改質層30上。
在另一實施例(未顯示)中,圖3A及3B中顯示的方法可進一步包含接枝一有機材料至去保護層26,以更進一步使化學模板改質,從而在表面成分中產生期望的差異,例如,改善蝕刻選擇性或提供不同的生長速率。在一實施例中,將有機材料接枝於去保護層26,使得形成包含曝露氫氧基(-OH)的改質層。曝露氫氧基可為較大官能基(例如,羧酸、酚、及脂肪醇)的一部分。類似於圖4A-4B中顯示的方法,含有曝露氫氧基的改質層可提供對初始構成凹槽結構18之材料的蝕刻選擇性,從而經由選擇性RIE達成影像反轉。另外,由凹槽結構18及含有接枝有機材料的改質層所形成之經改質化學模板可用於如以上所述的選擇性沉積、或者用於類似於圖5A-5B中顯示方法的化學磊晶定向自組裝(DSA)。在一實施例中,可將嵌段共聚物施加於化學模板,以形成自組裝單層(未顯示),其中共聚物的一嵌段在交叉酯化製程中與曝露的氫氧基反應。
儘管已相當詳細地描述特定實施例以說明本發明,但該描述內容不意圖將隨附之申請專利範圍限縮於或以任何方式限制於該細節。本文所討論之各種特徵可單獨使用,或以任何組合使用。額外的優勢及修正對熟悉該領域者將係顯而易見的。本發明就其較廣泛實施態樣而言因此不受限於特定的細節、代表性設備及方法、以及所示及所述說明性範例。據此,在不背離該總發明性概念的情形下,可偏離此細節。
10‧‧‧基板
12‧‧‧層
14‧‧‧層
16‧‧‧層
18‧‧‧凹槽結構
20‧‧‧凹槽
20’‧‧‧凹槽
22‧‧‧光活化膜
24‧‧‧光
26‧‧‧去保護層
28‧‧‧酸
30‧‧‧改質層
32‧‧‧嵌段共聚物
34‧‧‧嵌段疇
36‧‧‧嵌段疇
40‧‧‧步驟
42‧‧‧步驟
44‧‧‧步驟
46‧‧‧步驟
48‧‧‧步驟
50‧‧‧步驟
52‧‧‧步驟
54‧‧‧步驟
56‧‧‧步驟
58‧‧‧步驟
W‧‧‧寬度
併入於此並構成本說明書之一部分的隨附圖式描述本發明的實施例,並結合以上給出之本發明的概括性描述及以下給出之詳細描述,用來解釋本發明。
圖1A-1D為描繪依據實施例形成化學模板之方法的圖式說明。
圖2為描繪使用圖1D之化學模板進行凹槽圖案的影像反轉後之基板的圖式說明。
圖3A及3B為描繪依據實施例形成具有去保護層之化學模板之方法的圖式說明。
圖4A為描繪化學模板的圖式說明,該化學模板具有含金屬或半金屬原子的去保護層。
圖4B為描繪使用圖4A之化學模板進行凹槽圖案的影像反轉後之基板的圖式說明。
圖5A及5B為描繪根據實施例使用化學模板進行化學磊晶定向自組裝之方法的圖式說明。
圖6為描繪形成及使用化學模板的實施例之流程圖。
40‧‧‧步驟
42‧‧‧步驟
44‧‧‧步驟
46‧‧‧步驟
48‧‧‧步驟
50‧‧‧步驟
52‧‧‧步驟
54‧‧‧步驟
56‧‧‧步驟
58‧‧‧步驟

Claims (26)

  1. 一種處理微電子式基板以形成化學模板的方法,該方法包含:圖案化該微電子式基板,以形成具有複數凹槽的一凹槽結構,該複數凹槽具有定義凹槽寬度;沉積一光活化材料於該微電子式基板上,以使該凹槽結構滿溢,從而形成該複數凹槽中的一填充部分、以及該凹槽結構上方的一滿溢部分;使該光活化材料曝露於包含一波長的電磁輻射,該波長為該定義凹槽寬度之至少四倍大,使得該滿溢部分係藉由曝露而改質,而該電磁輻射無法穿透進入該複數凹槽,留下未改質的該填充部分;以及移除該光活化材料之經改質的滿溢部分,以形成一平坦化填充凹槽結構,以便用作選擇性反應性離子蝕刻、選擇性沉積、或定向自組裝的化學模板。
  2. 如申請專利範圍第1項之處理微電子式基板以形成化學模板的方法,其中該凹槽結構包含具有對該光活化材料的蝕刻選擇性之一材料,該方法更包含:選擇性地對該化學模板進行反應性離子蝕刻,以移除該凹槽結構,其中該光活化材料的該填充部分保留有一圖案影像,該圖案影像為該凹槽結構之圖案影像的反轉。
  3. 如申請專利範圍第1項之處理微電子式基板以形成化學模板的方法,更包含:在該光活化材料之該填充部分上形成一去保護層,以使該化學模板改質。
  4. 如申請專利範圍第3項之處理微電子式基板以形成化學模板的方法,其中形成該去保護層包含: 利用一酸處理該微電子式基板;以及烘烤該微電子式基板,以使該酸擴散進入該填充部分,以形成該去保護層。
  5. 如申請專利範圍第3項之處理微電子式基板以形成化學模板的方法,其中該去保護層具有介於5nm與15nm之間的厚度。
  6. 如申請專利範圍第3項之處理微電子式基板以形成化學模板的方法,更包含:選擇性地對經改質的化學模板進行反應性離子蝕刻,以移除該凹槽結構,其中該光活化材料之具有該去保護層的該填充部分保留有一圖案影像,該圖案影像為該凹槽結構之圖案影像的反轉。
  7. 如申請專利範圍第3項之處理微電子式基板以形成化學模板的方法,更包含:在該去保護層中併入金屬或半金屬原子,以使該化學模板進一步改質。
  8. 如申請專利範圍第7項之處理微電子式基板以形成化學模板的方法,其中併入該金屬或該半金屬原子包含利用一或更多矽烷化劑處理該微電子式基板,以在該去保護層中併入矽原子。
  9. 如申請專利範圍第8項之處理微電子式基板以形成化學模板的方法,其中該矽烷化劑包含以下者的一或更多者:六甲基二矽氮烷(HMDS)、三甲基矽基二甲基胺(TMSDMA)、二甲基矽基二甲基胺(DMSDMA)、二甲基二矽基二甲基胺(DMDSDMA)、或雙胺基丙基-寡二甲基矽氧烷。
  10. 如申請專利範圍第7項之處理微電子式基板以形成化學模板的方法,其中併入該金屬或該半金屬原子包含利用一或更多丙烯系聚合物(acrylic polymer)處理該微電子式基板,以在該去保護層中併入鋁。
  11. 如申請專利範圍第7項之處理微電子式基板以形成化學模板的方法,更包含:選擇性地對經進一步改質的化學模板進行反應性離子蝕刻,以移除該凹槽結構,其中,該光活化材料之具有該去保護層的該填充部分保留有一圖案影像,該去保護層內含該金屬或半金屬原子,該圖案影像為該凹槽結構之圖案影像的反轉。
  12. 如申請專利範圍第7項之處理微電子式基板以形成化學模板的方法,更包含:選擇性地沉積一覆蓋層於經進一步改質的化學模板上。
  13. 如申請專利範圍第12項之處理微電子式基板以形成化學模板的方法,其中該覆蓋層包含一材料,該材料在該凹槽結構上具有比在該去保護層上的一第二生長速率快的一第一生長速率,該去保護層內含該金屬或半金屬原子。
  14. 如申請專利範圍第12項之處理微電子式基板以形成化學模板的方法,其中該覆蓋層包含一材料,該材料在該凹槽結構上具有比在該去保護層上的一第二生長速率慢的一第一生長速率,該去保護層內含該金屬或半金屬原子。
  15. 如申請專利範圍第7項之處理微電子式基板以形成化學模板的方法,更包含: 沉積一嵌段共聚物至經進一步改質的化學模板上、以及執行一定向自組裝,其中該嵌段共聚物的一第一嵌段的至少一部分在該金屬或該半金屬原子上方進行組裝,且該嵌段共聚物的一第二嵌段在該凹槽結構上方進行組裝。
  16. 如申請專利範圍第15項之處理微電子式基板以形成化學模板的方法,其中該嵌段共聚物包含聚苯乙烯-嵌段-聚甲基丙烯酸甲酯(PS-b-PMMA)。
  17. 如申請專利範圍第3項之處理微電子式基板以形成化學模板的方法,更包含:沉積一嵌段共聚物至經改質的化學模板上、以及執行一定向自組裝,其中該嵌段共聚物的一第一嵌段的至少一部分在該去保護層上方進行組裝,且該嵌段共聚物的一第二嵌段在該凹槽結構上方進行組裝。
  18. 如申請專利範圍第17項之處理微電子式基板以形成化學模板的方法,其中該嵌段共聚物包含聚苯乙烯-嵌段-聚甲基丙烯酸甲酯(PS-b-PMMA)。
  19. 如申請專利範圍第3項之處理微電子式基板以形成化學模板的方法,更包含:接枝一有機材料至該去保護層,以使該化學模板進一步改質,從而在該去保護層上提供曝露的氫氧基。
  20. 如申請專利範圍第19項之處理微電子式基板以形成化學模板的方法,更包含選擇性地對經進一步改質的化學模板進行反應性離子蝕刻,以移除該凹槽結構,其中,該光活化材料之具有該保護層的該填充部分保留有一圖案影像,該去保護層含有該曝露的氫氧基,該圖案影像為該凹槽結構之圖案影像的反轉。
  21. 如申請專利範圍第19項之處理微電子式基板以形成化學模板的方法,更包含:選擇性地沉積一覆蓋層於經進一步改質的化學模板上。
  22. 如申請專利範圍第21項之處理微電子式基板以形成化學模板的方法,其中該覆蓋層包含一材料,該材料在該凹槽結構上具有比在該去保護層上的一第二生長速率快的一第一生長速率,該去保護層含有該曝露的氫氧基。
  23. 如申請專利範圍第21項之處理微電子式基板以形成化學模板的方法,其中該覆蓋層包含一材料,該材料在該凹槽結構上具有比在該去保護層上的一第二生長速率慢的一第一生長速率,該去保護層含有該曝露的氫氧基。
  24. 如申請專利範圍第19項之處理微電子式基板以形成化學模板的方法,更包含:沉積一嵌段共聚物至經進一步改質的化學模板上,以及執行一定向自組裝,其中該嵌段共聚物的一第一嵌段的至少一部分於一交叉酯化製程中在該曝露的氫氧基上方進行組裝,並與該曝露的氫氧基反應,且該嵌段共聚物的一第二嵌段在該凹槽結構上方進行組裝。
  25. 一種處理微電子式基板以形成化學模板的方法,該方法包含:圖案化該微電子式基板,以形成具有複數凹槽的一凹槽結構,該複數凹槽具有定義凹槽寬度;沉積一光活化材料於該微電子式基板上,以使該凹槽結構滿溢,從而形成該複數凹槽中的一填充部分、以及該凹槽結構上方的一滿溢部分; 使該光活化材料曝露於包含一波長的電磁輻射,該波長為該定義凹槽寬度之至少四倍大,使得該滿溢部分係藉由曝露而改質,而該電磁輻射無法穿透進入該複數凹槽,留下未改質的該填充部分;移除該光活化材料之經改質的滿溢部分,以形成一平坦化填充凹槽結構;利用一酸塗佈該平坦化填充凹槽結構;使該酸擴散進入該填充部分,以在該光活化材料之該填充部分上形成一去保護層;以及在該去保護層中併入金屬或半金屬原子,以形成一化學模板,用於選擇性反應性離子蝕刻、選擇性沉積、或定性自組裝。
  26. 如申請專利範圍第25項之處理微電子式基板以形成化學模板的方法,更包含以下其中一者:選擇性地對該化學模板進行反應性離子蝕刻,以移除該凹槽結構,其中該光活化材料的該填充部分保留有一圖案影像,該圖案影像為該凹槽結構之圖案影像的反轉;沉積一嵌段共聚物至該化學模板上、以及執行一定向自組裝,其中該嵌段共聚物的一第一嵌段的至少一部分在該金屬或該半金屬原子上方進行組裝,且該嵌段共聚物的一第二嵌段在該凹槽結構上方進行組裝;或者選擇性地沉積一覆蓋層於該化學模板上,其中該覆蓋層包含一材料,該材料在該凹槽結構上具有不同於在該去保護層上的生長速率,該去保護層含有該金屬或半金屬原子。
TW105111006A 2015-04-10 2016-04-08 使用次解析度開口以幫助影像反轉、定向自組裝及選擇性沉積 TWI604510B (zh)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US201562145683P 2015-04-10 2015-04-10

Publications (2)

Publication Number Publication Date
TW201719720A TW201719720A (zh) 2017-06-01
TWI604510B true TWI604510B (zh) 2017-11-01

Family

ID=57112094

Family Applications (1)

Application Number Title Priority Date Filing Date
TW105111006A TWI604510B (zh) 2015-04-10 2016-04-08 使用次解析度開口以幫助影像反轉、定向自組裝及選擇性沉積

Country Status (4)

Country Link
US (1) US9633847B2 (zh)
JP (2) JP6683342B2 (zh)
KR (1) KR102608648B1 (zh)
TW (1) TWI604510B (zh)

Families Citing this family (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9633847B2 (en) * 2015-04-10 2017-04-25 Tokyo Electron Limited Using sub-resolution openings to aid in image reversal, directed self-assembly, and selective deposition
US10338466B2 (en) * 2015-04-13 2019-07-02 Tokyo Electron Limited System and method for planarizing a substrate
KR102562023B1 (ko) * 2018-01-30 2023-08-01 도쿄엘렉트론가부시키가이샤 기판 처리 방법, 기판 처리 장치 및 에칭액
CN111584421B (zh) * 2019-02-15 2023-08-25 中芯国际集成电路制造(上海)有限公司 一种互连结构及其形成方法
US11335566B2 (en) 2019-07-19 2022-05-17 Tokyo Electron Limited Method for planarization of spin-on and CVD-deposited organic films
US20210294148A1 (en) * 2020-03-17 2021-09-23 Tokyo Electron Limited Planarizing Organic Films

Family Cites Families (37)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS5580323A (en) * 1978-12-12 1980-06-17 Nec Corp Pattern forming method for photoresist-film
JPH1114312A (ja) * 1997-06-24 1999-01-22 Toshiba Corp 成膜装置及びエッチング装置
JPH11135397A (ja) * 1997-10-29 1999-05-21 Hitachi Ltd ネガ型レジストパタンの形成方法
JP3398315B2 (ja) * 1997-10-31 2003-04-21 京セラ株式会社 高周波素子収納用パッケージ
JPH11268437A (ja) * 1998-03-19 1999-10-05 Toray Ind Inc 直描型水なし平版印刷版原版
JP3337067B2 (ja) * 1999-05-07 2002-10-21 日本電気株式会社 円筒形キャパシタ下部電極の製造方法
JP2000347420A (ja) * 1999-06-04 2000-12-15 Hitachi Ltd レジストパターン形成方法
JP3998373B2 (ja) * 1999-07-01 2007-10-24 株式会社ルネサステクノロジ 半導体集積回路装置の製造方法
JP2001311262A (ja) * 2000-05-01 2001-11-09 Takenaka Komuten Co Ltd アスファルト防水層の施工構造
JP4392974B2 (ja) * 2000-09-22 2010-01-06 シャープ株式会社 半導体装置の製造方法
JP2002116556A (ja) * 2000-10-10 2002-04-19 Sharp Corp 半導体装置の製造方法
JP2002232231A (ja) * 2001-01-30 2002-08-16 Shinko Mex Co Ltd アンテナ装置
JP3697426B2 (ja) * 2002-04-24 2005-09-21 株式会社東芝 パターン形成方法および半導体装置の製造方法
US7109119B2 (en) * 2002-10-31 2006-09-19 Taiwan Semiconductor Manufacturing Company, Ltd. Scum solution for chemically amplified resist patterning in cu/low k dual damascene
JP2008524434A (ja) * 2004-12-22 2008-07-10 株式会社荏原製作所 平坦化方法及び平坦化装置
JP2007193053A (ja) * 2006-01-18 2007-08-02 Sekisui Chem Co Ltd レジスト除去方法
TW200804991A (en) * 2006-06-06 2008-01-16 Jsr Corp Method for pattern formation and high-carbon-containing resin composition
US8017310B2 (en) * 2007-02-02 2011-09-13 Asml Netherlands B.V. Lithographic method
JP2008235578A (ja) * 2007-03-20 2008-10-02 Nichicon Corp 導線のシールド構造およびシールド方法
US20110117746A1 (en) * 2008-07-24 2011-05-19 Nissan Chemical Industries, Ltd. Coating composition and pattern forming method
WO2010019887A1 (en) * 2008-08-14 2010-02-18 Brookhaven Science Associates Structured pillar electrodes
CN101963754B (zh) * 2009-06-26 2012-12-19 罗门哈斯电子材料有限公司 形成电子器件的方法
JP5652404B2 (ja) 2009-11-30 2015-01-14 Jsr株式会社 感放射線性組成物及びレジストパターン形成方法
JP2011197150A (ja) * 2010-03-17 2011-10-06 Jsr Corp 感放射線性組成物及びそれを用いたレジストパターン形成方法
JP5542500B2 (ja) * 2010-03-30 2014-07-09 東京応化工業株式会社 レジストパターン形成方法およびレジスト組成物
KR101709596B1 (ko) * 2010-10-01 2017-02-23 후지필름 가부시키가이샤 간극 매입용 조성물, 그것을 사용한 간극 매입 방법 및 반도체 소자의 제조 방법
JP5820676B2 (ja) * 2010-10-04 2015-11-24 ローム アンド ハース エレクトロニック マテリアルズ エルエルシーRohm and Haas Electronic Materials LLC 下層組成物および下層を像形成する方法
JP5571525B2 (ja) * 2010-10-20 2014-08-13 ローム株式会社 有機薄膜太陽電池およびその製造方法
EP2700430A4 (en) * 2011-04-19 2014-12-17 Hiroki Shima CELL ACTIVATION DEVICE
NL2010004A (en) * 2012-01-13 2013-07-16 Asml Netherlands Bv Self-assemblable polymer and methods for use in lithography.
JP5802233B2 (ja) 2013-03-27 2015-10-28 株式会社東芝 パターン形成方法
JP6097652B2 (ja) * 2013-07-31 2017-03-15 富士フイルム株式会社 パターン形成方法、パターン、並びに、これらを用いたエッチング方法、及び、電子デバイスの製造方法
US9349604B2 (en) * 2013-10-20 2016-05-24 Tokyo Electron Limited Use of topography to direct assembly of block copolymers in grapho-epitaxial applications
JP2016539361A (ja) * 2013-11-08 2016-12-15 東京エレクトロン株式会社 Euvリソグラフィを加速するためのポスト処理メソッドを使用する方法
JP5822986B2 (ja) * 2014-06-16 2015-11-25 ダウ コーニング コーポレーションDow Corning Corporation レジスト被覆膜形成用材料
US9791779B2 (en) * 2014-10-16 2017-10-17 Tokyo Electron Limited EUV resist etch durability improvement and pattern collapse mitigation
US9633847B2 (en) 2015-04-10 2017-04-25 Tokyo Electron Limited Using sub-resolution openings to aid in image reversal, directed self-assembly, and selective deposition

Also Published As

Publication number Publication date
US20160300711A1 (en) 2016-10-13
JP6683342B2 (ja) 2020-04-15
JP7209429B2 (ja) 2023-01-20
KR20160121454A (ko) 2016-10-19
JP2016213444A (ja) 2016-12-15
JP2020092274A (ja) 2020-06-11
US9633847B2 (en) 2017-04-25
TW201719720A (zh) 2017-06-01
KR102608648B1 (ko) 2023-11-30

Similar Documents

Publication Publication Date Title
TWI604510B (zh) 使用次解析度開口以幫助影像反轉、定向自組裝及選擇性沉積
TWI721125B (zh) 預圖案化微影模版、基於使用該模版的輻射圖案化之方法及形成該模版之方法
KR102310834B1 (ko) 그래프팅 중합체 물질의 사용으로 기판의 패턴화
US11107682B2 (en) Method for patterning a substrate using a layer with multiple materials
KR101791725B1 (ko) 측벽 화상 전사 피치 더블링 및 인라인 임계 치수 슬리밍
KR101800996B1 (ko) 기판 상의 콘택 개구 패터닝 방법
JP4852360B2 (ja) 多層リソグラフィプロセスにおいて用いられる複素環芳香族構造物を含む基層組成物、リソグラフィ構造物、材料層または材料要素を基板上に形成させる方法
TWI625602B (zh) 使用極紫外光微影技術之基板圖案化方法
TWI632437B (zh) 用於形成凸紋影像的方法
US9263297B2 (en) Method for self-aligned double patterning without atomic layer deposition
US10332744B2 (en) Method for patterning a substrate using a layer with multiple materials
JP2010503993A (ja) リフトオフ・パターニング向けの向上したエッチング技法
KR101096194B1 (ko) 반도체 소자의 패턴 형성 방법
KR20220154697A (ko) 유기 필름 평탄화
US20150140826A1 (en) Method of Forming Fine Patterns
CN108231550B (zh) 半导体装置的制作方法
JP2024524853A (ja) 二層系を用いた向上したフリージングレスアンチスペーサ形成による材料被覆膜を除去するための方法
KR20110083062A (ko) 반도체 소자의 제조 방법