TWI604081B - 改進小臨界尺寸特徵物中之鎢接觸電阻用之方法及設備 - Google Patents

改進小臨界尺寸特徵物中之鎢接觸電阻用之方法及設備 Download PDF

Info

Publication number
TWI604081B
TWI604081B TW102126976A TW102126976A TWI604081B TW I604081 B TWI604081 B TW I604081B TW 102126976 A TW102126976 A TW 102126976A TW 102126976 A TW102126976 A TW 102126976A TW I604081 B TWI604081 B TW I604081B
Authority
TW
Taiwan
Prior art keywords
substrate
feature
filling
tungsten
chamber
Prior art date
Application number
TW102126976A
Other languages
English (en)
Other versions
TW201413031A (zh
Inventor
陳峰
楊宗翰
高舉文
麥可 丹納克
Original Assignee
諾發系統有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 諾發系統有限公司 filed Critical 諾發系統有限公司
Publication of TW201413031A publication Critical patent/TW201413031A/zh
Application granted granted Critical
Publication of TWI604081B publication Critical patent/TWI604081B/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/02Pretreatment of the material to be coated
    • C23C16/0227Pretreatment of the material to be coated by cleaning or etching
    • C23C16/0245Pretreatment of the material to be coated by cleaning or etching by etching with a plasma
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/04Coating on selected surface areas, e.g. using masks
    • C23C16/045Coating cavities or hollow spaces, e.g. interior of tubes; Infiltration of porous substrates
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/06Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of metallic material
    • C23C16/18Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of metallic material from metallo-organic compounds
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45536Use of plasma, radiation or electromagnetic fields
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table
    • H01L21/28556Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table by chemical means, e.g. CVD, LPCVD, PECVD, laser CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76853Barrier, adhesion or liner layers characterized by particular after-treatment steps
    • H01L21/76861Post-treatment or after-treatment not introducing additional chemical elements into the layer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76853Barrier, adhesion or liner layers characterized by particular after-treatment steps
    • H01L21/76861Post-treatment or after-treatment not introducing additional chemical elements into the layer
    • H01L21/76862Bombardment with particles, e.g. treatment in noble gas plasmas; UV irradiation

Landscapes

  • Engineering & Computer Science (AREA)
  • Chemical & Material Sciences (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • General Physics & Mathematics (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Mechanical Engineering (AREA)
  • Organic Chemistry (AREA)
  • Metallurgy (AREA)
  • Materials Engineering (AREA)
  • Plasma & Fusion (AREA)
  • Electromagnetism (AREA)
  • Electrodes Of Semiconductors (AREA)
  • Chemical Vapour Deposition (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)

Description

改進小臨界尺寸特徵物中之鎢接觸電阻用之方法及設備
本發明係關於改善特徵物中之鎢接觸電阻的方法,且尤其關於改善小臨界尺寸特徵物中之鎢接觸電阻的方法。
使用化學氣相沉積(CVD)技術之鎢膜的沉積為許多半導體製造程序的必需部份。鎢膜可用以產生呈水平互連、鄰近金屬層間之貫孔、及基板上第一金屬層與元件間之接點的形式之低電阻電連接。在習知的半導體晶圓上之鎢沉積製程中,在真空腔室中將晶圓加熱至處理溫度,且然後沉積作為晶種或成核層的鎢膜之極薄部份。其後,將鎢膜之剩餘部份(本體層)沉積在成核層上。本體層大致上比成核層更快速地沉積,但無法在不先形成成核層的情況下輕易且可靠地產生。
越來越多具有極低電阻的薄鎢電連接將使更小臨界尺寸元件得以實現。儘管CVD及其他方法可沉積成核層,其在小臨界尺寸特徵物中提供用於沉積低電阻鎢之成核層的能力係受到限制。使用習知CVD鎢沉積技術在快速形成之特徵物中的無孔隙填充是有問題的。
所提供者為在小臨界尺寸特徵物中填充特徵物之新穎方法,用以產出具有良好特徵物填充及均勻性的無孔隙鎢層。該方法涉及在特徵物中直接沉積本體鎢層而無成核層。依據各種實施例,該方法可包含沉積本體層前的一或更多特徵物表面之處理、及在本體層之化學氣相沉積 (CVD)期間使用高氫(H2)或其他還原劑之局部壓力。
在一實施態樣中,提供一種在基板上填充凹陷特徵物的方法。該方法包含在沉積任何鎢於特徵物中之前處理未填充特徵物的表面、並在CVD製程中以鎢膜填充特徵物。CVD製程涉及在至少約40托之氫局部壓力下直接暴露經處理表面至含鎢前驅物及氫。
使用此製程,在無成核層之情況下達到特徵物中的高品質填充及均勻性,且特徵物具有比包含成核層之特徵物低的整體電阻。在一些實施例中,特徵物的寬度在鎢膜沉積前係小於約50nm或小於約30nm。在若干實施例中,特徵物表面包含阻障或襯層,例如鎢氮化物或鈦氮化物。依據各種實施例,在CVD製程期間,氫之局部壓力為至少約60托或至少約80托。
在一些實施例中,在一些實施例中,處理特徵物表面涉及浸泡基板於還原劑中。還原劑的實例包含硼烷、矽烷、及鍺烷。在一些實施例中,藉由浸泡2至10秒來處理表面。浸泡操作可為非電漿熱操作。
在一些實施例中,處理特徵物表面涉及暴露表面至含惰性氣體電漿。在一些實施例中,含惰性氣體電漿包含氬及氫物種。又在一些實施例中,於處理特徵物表面與在CVD製程中以鎢膜填充特徵物之間,將基板維持於真空下。
另一實施態樣關於一種在基板上填充凹陷特徵物的方法,包含在沉積任何鎢於特徵物中前暴露特徵物表面至含惰性氣體電漿;及藉由直接暴露經處理表面至含鎢前驅物及氫,而在CVD腔室中於CVD製程中以鎢填充特徵物。各種實施例中的特徵物表面包含阻障或襯層,例如鎢氮化物或鈦氮化物阻障層。
可在電漿處理與CVD製程之間將基板保持在真空下。依據一些實施例,該方法係藉由在與CVD腔室分離之處理腔室中暴露特徵物表面至含惰性氣體電漿來實施。
又依據不同實施例,在電漿處理前,可於襯層沉積腔室中沉積襯層在特徵物中,而電漿處理於基板被運送至CVD腔室前發生在相同的襯層沉積腔室中。在一些實施例中,襯層為鎢氮化物襯層或鈦氮化物襯層。 襯層可藉由例如電漿增強原子層沉積(ALD)製程而沉積。
另一實施態樣關於在基板上填充凹陷特徵物的方法,涉及在CVD製程中藉由暴露基板置含鎢前驅物及氫而以鎢填充特徵物。CVD製程期間H2之局部壓力可為至少約40托、或至少60托、或至少70托。
另一實施態樣關於在基板上填充凹陷特徵物的設備。在一些實施例中,該設備多腔室設備,包含預處理腔室;包括配置成暴露基板至氣體之基板支撐件及一或更多氣體入口的CVD腔室;及控制設備中之操作的控制器,其包含機器可讀指令,用以:在預處理腔室中處理基板;自預處理腔室運送基板至CVD腔室;及藉由在CVD腔室中暴露基板至含鎢前驅物而利用CVD製程以鎢填充特徵物,同時維持至少約40托之氫局部壓力。在一些實施例中,控制器更包含用以在CVD製程期間將基板溫度維持於約200℃與450℃之間的指令。
在一些實施例中,預處理腔室包含基板支撐件及一或更多氣體入口,且控制器更包含用於使還原劑進入預處理腔室的指令。例示還原劑暴露時間在自約2至10秒的範圍內。在各種實施例中,預處理腔室包含基板支撐件及一或更多氣體入口以及電漿產生器,且控制器更包含指令俾於預處理腔室中產生含惰性氣體電漿。
這些及其他實施態樣係參照圖式於以下進一步說明。
101‧‧‧特徵物
103‧‧‧特徵物
105‧‧‧特徵物
201‧‧‧製程
203‧‧‧方塊
301‧‧‧方塊
303‧‧‧方塊
401‧‧‧影像
403‧‧‧鎢層
405‧‧‧基板
407‧‧‧孔隙
409‧‧‧影像
411‧‧‧鎢層
413‧‧‧基板
501‧‧‧方塊
503‧‧‧方塊
601‧‧‧操作
603‧‧‧操作
605‧‧‧操作
607‧‧‧操作
700‧‧‧系統
701‧‧‧晶圓來源模組
703‧‧‧運送模組
707‧‧‧模組
709‧‧‧腔室
711‧‧‧站
713‧‧‧站
715‧‧‧站
717‧‧‧站
719‧‧‧大氣運送腔室
721‧‧‧負載鎖
729‧‧‧系統控制器
800‧‧‧沉積站
801‧‧‧平台部份
802‧‧‧晶圓支撐件
803‧‧‧噴淋頭
900‧‧‧反應器
902‧‧‧低頻RF產生器
904‧‧‧高頻RF產生器
908‧‧‧歧管
910‧‧‧來源氣體管線
912‧‧‧入口
914‧‧‧噴淋頭
916‧‧‧基板
918‧‧‧平台
920‧‧‧加熱器塊
922‧‧‧出口
924‧‧‧製程腔室
圖1A顯示依據各種實施例的小及大臨界尺寸特徵物中之鎢沉積的簡化剖視圖。
圖1B為顯示針對不同特徵物尺寸、作為成核層厚度之函數的特徵物被成核層所佔之成核體積百分比的圖表。
圖2為依據各種實施例顯示在特徵物中沉積鎢的方法之相關操作的製程流程圖。
圖3為依據各種實施例顯示處理特徵物表面的方法之相關操作的製程流程圖。
圖4繪示變化之氫局部壓力下以鎢填充之特徵物的影像。
圖5及6為顯示依據各種實施例的方法之相關操作的製程流程圖。
圖7為依據各種實施例的適用於進行鎢沉積製程之處理系統的示意圖。
圖8為適用於實施各種實施例的沉積及/或處理腔室或站之示意圖。
圖9為適用於實施各種實施例的沉積及/或處理腔室之示意圖。
在以下的敘述中,提出眾多具體細節以提供對於所呈現之實施例的透徹瞭解。該等所揭露之實施例可在不具有這些具體細節之一些或全部者的情況下實施。在其他情形中,為人熟知之處理操作已不詳細敘述以免非必要地混淆所揭露之實施例。儘管將結合具體實施例來說明所揭示之實施例,但應瞭解不欲限制這些實施例。
引言
當邏輯、記憶體及其他元件之臨界尺寸縮小時,越來越多具有極低電阻的薄鎢電連接將變得重要。對於在記憶體及邏輯元件二者中維持貫孔或接點效能及可靠度而言,次32nm(sub-32nm)特徵物中之無孔隙、低電阻鎢是不可或缺的。
習知鎢沉積技術典型地涉及在沉積本體鎢層前於基板之特徵物中沉積鎢成核層。成核層通常含有雜質,例如硼或矽。鎢成核層亦具有高電阻率-典型地超過100μΩ-cm。然而,在習知技術中,不具成核層之情況下的鎢本體層沉積導致嚴重的長成核延遲、不佳的均勻性、及不佳的特徵物填充。不佳的沉積發生在具有或不具有各種襯層的晶圓中。成核延遲可定義為鎢沉積製程開始時與薄膜開始出現時之間的時間。可實施較厚成核層以利用習知技術獲得較佳的填塞,但付出較高整體電阻的代價。然而,沉積減少特徵物中之高電阻率鎢量的較薄成核層則因成核延遲而導致 不佳的均勻性及特徵物填充。
對於窄寬度及/或小臨界尺寸特徵物而言,獲得薄成核層甚至更為必要。圖1A顯示對比於相對小臨界尺寸特徵物103的相對大臨界尺寸特徵物101(這些特徵物並非按比例繪示,而是顯示大及小臨界尺寸特徵物之成核層之間的性質差異)。在此,對於特徵物101及103二者而言,成核層之厚度t相同,但特徵物103之寬度W2遠小於特徵物101之寬度W1,且成核層佔有明顯較高百分比的特徵物103之總體積。因此,成核層對於特徵物103之整體電阻具有相對高得多的影響。
圖1B顯示針對23nm、32nm、45nm、57nm、65nm、及90nm之特徵物寬度、作為成核厚度之函數的特徵物被成核層所佔之成核體積百分比。如圖表中所示,相較於在較大寬度特徵物中,成核層在較小寬度特徵物中佔有實質上較高之成核體積百分比。相比於較大特徵物中,在具有較厚成核層之較小特徵物中,成核層之體積比率變得更加凸顯。因此,對於小特徵物(例如,具有400Å開口之特徵物)來說,減少成核層厚度(例如,自50Å之薄膜至<30Å)或完全消除成核層俾降低整體堆疊電阻變得更為重要。然而,習知的用於標準或低電阻率鎢製程之沉積方法具有約30Å之成核層厚度限制以獲得良好的階梯覆蓋率及填塞。進一步使用習知製程減少成核層導致階梯覆蓋率的劣化。
製程
依據各種實施例,於此提供鎢填充方法俾完全消除使用成核層,而維持優異填塞、良好均勻性、及降低的、小的、或無成核延遲。圖1A中之特徵物105顯示自一實施例所產生的具有良好填塞及良好均勻性之特徵物實例,其中特徵物係於不具成核層之情況下僅以本體鎢膜填充。因為沒有成核層,所以這些膜具有甚至比先前可獲得者更低的整體電阻。此係顯示於以下的說明鎢層的總電阻之簡化方程式:Rtotal=Rbulk+Rnucleationbulk(Lbulk/A)+ρnucleation(Lnucleation/A)其中Rtotal為總整體電阻,ρ為材料之電阻率,L為電流之流動方向上的層之 長度,且A為垂直於電流的截面積(應注意在以上方程式中忽略對總電阻之若干影響)。因為ρnucleationbulk,所以特徵物105具有比有成核層之相同尺寸特徵物更低的Rtotal。儘管降低電阻的益處隨特徵物尺寸減少而變得更顯著時,但於此敘述之方法可用以於小及大臨界尺寸特徵物二者中沉積鎢。於此敘述之方法亦可用以於空白(blanket)或平面晶圓上沉積鎢。
圖2為依據若干實施例繪示一製程流程的流程圖。首先,使基板經過可選的處理製程201,該處理製程201之若干實施例係參照圖3及5於以下進一步討論。處理製程之實例包含還原劑中之熱浸泡及電漿處理。若有執行,方塊203中之可選的處理製程可減少成核延遲而促進後續化學氣相沉積(CVD)操作中的良好填充。
在許多實施例中,基板為部份製造之電子元件(例如部份製造積體電路)。具體應用係於以下進一步敘述。基板典型地含有特徵物,該特徵物可具有小臨界尺寸或大臨界尺寸。小臨界尺寸特徵物寬度的實例為32nm、22nm、或小於22nm。大臨界尺寸特徵物寬度的實例為45nm、57nm、65nm、90nm、或大於90nm。具有小或大臨界尺寸之特徵物可由此製程獲益。儘管一些實施例不限於小臨界尺寸特徵物,但針對較先前技術節點中用以填充特徵物之習知製程並未提供適當填充,於此敘述之方法有助於達到小臨界尺寸特徵物中良好的具有優良均勻性之無孔隙填充及填塞。
接著,如製程方塊203所指示,使用CVD製程在高局部H2壓力下將鎢層沉積在特徵物中。在此操作中,使含鎢前驅物及H2流至腔室中以在高局部H2壓力下沉積鎢填充物於特徵物中。依據各種實施例,局部H2壓力可為至少約40托(Torr)、至少50托、至少60托、至少70托、或至少80托。在一些實施例中,在CVD製程期間亦可使用惰性氣體作為載體氣體。如此之惰性氣體之實例包含氬及氦。
CVD製程迅速產生低電阻率膜。不若習知用以藉由引入交替之反應物脈衝沉積成核層的脈衝成核層(pulsed nucleation layer,PNL)或原子層沉積(ALD)製程,在CVD技術中,含鎢前驅物及H2或其他反應物係同時引入反應腔室中。任何適合的CVD製程可與一或更多適合的前驅物一起使用。在若干實施例中,含鎢前驅物為含鹵素化合物,例如六氟化鎢(WF6)。 其他適合的前驅物包含六氯化鎢(WCl6)、六羰鎢(W(CO)6)、及例如MDNOW(甲基環戊二烯-二羰基亞硝醯-鎢)及EDNOW(乙基環戊二烯-二羰基亞硝醯-鎢)的有機鎢前驅物。
又,不若PNL或ALD製程,CVD製程大致涉及連續流動反應物直到沉積期望數量。在若干實施例中,CVD操作可在多重階段中進行,其中具有由一或更多轉向反應物流之週期分隔的連續及同時之反應物流之複數週期。
鎢可沉積至任何厚度。用於積體電路中之應用的鎢互連可具有約20與1000埃(Angstroms)之間的總厚度。對於典型的位元線而言,總鎢膜厚度典型地不超過約600埃。本體鎢理論膜電阻率可為約5.3μΩ-cm,且600Å膜之典型膜電阻率為約15至約20μΩ-cm。在鎢膜沉積至足夠厚度之後,圖2之流程便完成。
使用於此敘述之方法,不再需要成核層來提供鎢特徵物中的良好填塞。如以上所述,針對鎢特徵物的具有優異階梯覆蓋率之無孔隙填充先前無法在不沉積成核層之情況下獲得。因為以良好填充及均勻性沉積鎢至特徵物中的過程裡不需要高電阻率的成核層,所以整體接觸電阻獲得改善。
進行一系列實驗以判定在高H2局部壓力下沉積鎢至特徵物中的影響。特徵物表面為金屬有機鎢氮化物(MO-WN)層。MO-WN層係於電漿增強ALD製程中使用有機鎢前驅物沉積,在藉由CVD製程以鎢填充特徵物前不使用可選處理製程。使用具有特徵物之基板,該等特徵物具有截面上(亦即特徵物寬度)約40nm之開口。該等特徵物係於約300℃基板溫度下以鎢填充。基板係於16托、38托、63托、及75托之H2局部壓力下評估。每一評估中的總壓力為80托。流程包含H2、WF6及Ar,而具有300sccm之WF6流速。取決於H2局部壓力,Ar之流速在1000sccm至7000sccm之範圍。成核延遲係於CVD製程期間加以估計以判定當沉積開始時與當在特徵物上見到膜生長時之間的時間。結果係顯示於表1中。
表1. 無可選處理製程之情況下在各種H2局部壓力的CVD製程中之估計成核延遲
如結果所示,當H2之局部壓力增加時,估計成核延遲實質上減少。成核延遲的減少導致更佳的填充。
在一些實施例中,可選處理製程201可包含還原劑浸泡。圖3為顯示如此方法中之若干操作的製程流程圖。如製程方塊301中所示,特徵物之表面係於處理腔室中暴露至還原劑。特徵物表面之實例係於以上參考圖1A而加以敘述。任何能還原含鎢前驅物的還原劑皆可使用。在若干實施例中,還原劑為二硼烷(B2H6)、然而亦可使用其他還原劑,包括硼烷(BH3)、矽烷(SiH4)、二矽烷(Si2H6)、鍺烷(GeH4)、及其他含硼、含矽及含鍺還原劑。H2可在一些實施例中使用。如此之還原劑可單獨或使用例如氬或氦之載體氣體輸送至腔室。使用氮可能並不明智,因為其可能使表面鈍化。任何其他適合的載體氣體亦可使用。
如方塊303所示,在若干實施例中,接著容許特徵物浸泡於還原劑中。範例浸泡時間在自2至10秒的範圍。方塊303中之浸泡典型為熱浸泡且可發生在約200℃至約500℃之範圍的溫度。在方塊303後,特徵物可適合無成核層CVD沉積。儘管圖3顯示依據若干實施例的製程方塊201之實例,但處理製程亦可用於一些情況中,俾促進使用其他還原劑及/或較低還原劑局部壓力的無成核層CVD製程。依據各種實施例,H2或其他還原劑之局部壓力可為至少約15托、至少約20托、至少約30托、至少約40托、至少約50托、至少約60托、至少約70托、或至少約80托。
進行一系列實驗以判定在高H2局部壓力下沉積鎢至特徵物中前將特徵物浸泡於還原劑中的影響。所使用的特徵物表面之類型MO-WN層。使用具有特徵物之基板,該等特徵物具有截面上約40nm之開口。首先在Ar/H2氣體之混合物中於80托之總壓力下使特徵物暴露至二硼烷10秒。 然後,於氬及氫環境中約300℃基板溫度、及300sccm之WF6流速下在63托及75托之H2局部壓力,藉由如以上圖2所說明之CVD製程以鎢填充該等特徵物。成核延遲係於CVD製程期間加以評估,以判定二硼烷浸泡在成核延遲上的影響。較低成核延遲導致特徵物中較佳的填塞及均勻性。結果係顯示於表2中。
如表2中所示,當特徵物於進行CVD製程期間首先暴露至藉由還原劑B2H6之浸泡及H2局部壓力時,估計成核延遲實質上較低(1秒)或消除。由表1中之技術(其中在16托之H2下且無浸泡處理,而估計成核延遲為75秒)看來,此係顯著地改善。
圖4顯示其他被收集之實驗數據的實例之SEM(掃描式電子顯微鏡)影像。影像401及409皆具有寬度約40nm而縱橫比6:1之開口。在二者之特徵物中,鎢係沉積於30Å厚MO-WN襯層上。
影像401顯示在16托之H2局部壓力下使用WF6、無浸泡或其他CVD前處理的CVD製程之結果。影像401顯示具有以鎢層403填充之特徵物及大孔隙407的基板405。大孔隙407表示較長成核延遲導致之不佳的鎢403之填塞。
影像409中之基板413係如上述參考圖3之方塊301及303首先暴露至並浸泡於B2H6中,且然後如上述參考圖2之方塊203在CVD製程期間暴露至WF6及高H2局部壓力(75托)。影像409顯示具有以鎢層411填充之特徵物及如415所示具有良好均勻性且無間隙之優異填塞的基板413。
在另一實施例中,圖2中之可選處理製程201可包含電漿處 理,其實例係繪示於圖5中。在若干實施例中,特徵物之表面係如圖5之方塊501所示暴露至含惰性氣體電漿。如此之暴露可維持至少約5秒、至少約10秒、或至少約30秒。對含惰性氣體電漿之暴露可發生於處理腔室中。特徵物表面之類型可與參照圖1A者相同。含惰性氣體電漿之實例包含由氬或氦產生的電漿。使用氮可能不明智,因為其可能使表面鈍化。任何其他合適的惰性氣體皆可使用。
在一些實施例中,含惰性氣體電漿可產生自包含H2或其他還原劑的氣體。還原劑可用以消除特徵物表面上的任何氧化現象。並且,在不由特定理論限制的情況下,據信H自由基可植入於表面上,而產生活性位置以提昇CVD本體鎢層之成核。在一些實施例中,電漿可產生自實質上由一或更多惰性氣體及氫組成的氣體。在一些實施例中,電漿可在不具有惰性氣體的情況下產生自氫。在一些實施例中,電漿處理期間的壓力係約1毫托(mTorr)至約5托。基板溫度可介於約25℃至約300℃之間。
可使用任何類型的電漿源來產生電漿物種。此包含但不限於感應耦合電漿、電容耦合電漿、微波電漿、DC(直流)電漿、RF(射頻)電漿、及雷射生成電漿。電漿可為下游或直接(原位)。在一些實施例中,電漿係藉由流動氣體通過感應耦合源而產生,電漿在該感應耦合源中作為變壓器中之二次線圈。此類型之遠端電漿源的實例為由MKS製造的Astron。電漿物種係產生於電漿內,且係輸送至容納晶圓或基板的腔室。用於原位電漿處理之腔室裝置的一實施例係參照圖9進一步敘述於下。
進行一系列實驗以判定高H2局部壓力下沉積鎢至晶圓上前的電漿處理對空白晶圓之表面的影響。受處理之表面類型為MO-WN(WN及TiN襯層)。MO-WN層係如上述藉由電漿增強ALD製程而沉積。WN層係藉由重複B2H6/NH3/WF6之PNL程序而沉積。TiN層係藉由物理氣相沉積(PVD)濺鍍製程而沉積。對於各類型之基板而言,測試係針對具有及不具電漿處理步驟而進行。
晶圓係於80托之壓力及300℃之基板溫度下暴露至含ArH2電漿維持30秒。使用感應耦合電漿源。然後在氬及氫環境中、約300℃基板溫度、約300sccm之WF6流速下藉由如圖2中所述之CVD製程將鎢沉 積在表面上,其中H2局部壓力為約80托。用來與經電漿處理表面比較的表面僅經受CVD製程且無電漿處理。成核延遲係於CVD製程期間評估以判定電漿處理在成核延遲上的影響。結果係顯示於表3。
如以上結果所示,當在高H2局部壓力CVD製程之前使用電漿處理時,成核延遲實質上減少。在金屬有機鎢氮化物經受ArH2電漿處理的情況中,成核延遲實質上被消除,其導致良好的均勻性及整體低電阻。
回到圖5,在501中暴露至電漿處理之後,然後在方塊503中可選地將基板運送至CVD腔室以供圖2中所述的CVD製程203。用於此實施例的範例裝置係參照圖7進一步說明於下。在若干實施例中,運送係於真空下進行,以防止對周圍條件之暴露所造成的氧化。在其中基板不運送至CVD腔室的若干實施例中,基板可留在電漿處理操作501與CVD製程203之間的真空下,而CVD製程203發生於相同腔室中作為電漿處理操作。
圖6顯示繪出另一實施例的製程流程圖。首先,在操作601中,在襯層沉積腔室中將襯層沉積至特徵物中。如此腔室的一實施例係參照圖9敘述於下。
在若干實施例中,襯層可為阻障層,例如鎢氮化物阻障層。在若干實施例中,可沉積襯層以在後續CVD沉積期間於特徵物角落保護介電質或其它下方層抵抗F2攻擊。襯層的實例包含氧化物、氮化物、及鎢及 非鎢元素金屬層。襯層之具體實例包含W、Ti/TiN、TiN及WN。襯層可厚約10Å與500Å之間、或在更具體之實施例中厚約25Å與200Å之間。
在若干實施例中,MO-WN阻障層係於操作601中藉由電漿增強ALD製程而沉積。藉由電漿增強ALD沉積MO-WN層可涉及有機鎢前驅物及例如氨之含氮反應物的交替脈衝。原位電漿可在含氮反應物呈氣相時被激起。在其他實施例中,藉由電漿增強ALD沉積MO-WN層可涉及使含氮有機鎢前驅物產生脈衝。例如氫之還原劑可在前驅物脈衝之間產生脈衝,或在製程期間持續運行。當氫呈氣相時,原位電漿可在有機鎢前驅物脈衝之間被激起。
接著,在操作603中,於襯層沉積腔室中使目前以襯層加以襯墊的特徵物之表面暴露至含惰性氣體電漿。亦即,襯層及後續電漿處理係於相同腔室中進行。電漿處理的合適條件係參照圖5討論於上。待於電漿處理期間使用的裝置之一實施例係參照圖9進一步討論於下。
然後在操作605中,在真空下自襯層沉積腔室將基板運送至CVD腔室。待於此運送期間使用的裝置之一實施例係參照圖7進一步敘述於下。
回到圖6,在操作607中,接著藉由使特徵物暴露至含鎢前驅物及還原劑而利用CVD製程將鎢沉積至受襯墊及處理之特徵物中。此CVD製程之條件係參照圖2敘述於上。在若干實施例中,H2局部壓力為至少約40托、或至少約50托、或至少約60托、或至少約70托、或至少約80托。
針對圖6中之製程的一實施例收集實驗數據。針對空白晶圓上的包含電漿處理步驟之製程且然後針對排除電漿處理步驟的製程進行測試。首先,在襯層沉積腔室中將金屬有機鎢氮化物襯層沉積至晶圓上。在襯層沉積之後,在2托之壓力及300℃之基板溫度下於襯層沉積腔室中使晶圓持續30秒暴露至含有氬(Ar)及氫(H2)之原位電漿處理。然後在真空下將基板運送至CVD腔室以完成CVD製程。接著在氬(Ar)及氫(H2)環境中、約300℃基板溫度、約300sccm之總WF6流速下藉由如圖2所述之CVD製程將鎢沉積在晶圓上,其中H2局部壓力為約80托。表4之第二欄表示襯層 沉積完成後所使用之電漿類型。用來與經電漿處理晶圓比較的晶圓僅經受CVD製程且無電漿處理。成核延遲係於CVD製程期間評估以判定電漿處理在成核延遲上的影響。結果係顯示於表4。
如表4中所示,晶圓暴露至電漿處理時對比晶圓不暴露至電漿處理時,成核延遲實質上減少。電漿處理之情況下之成核延遲為無此處理之情況下之成核延遲的八分之一,且較低成核延遲導致良好的均勻性、及較低的晶圓整體電阻。
設備
於此呈現之方法可執行於可自各供應商取得之不同類型之沉積設備中。合適設備的實例包含Novellus Concept-1 ALTUSTM、Concept 2 ALTUSTM、Concept-2 ALTUS-STM、Concept 3 ALTUSTM沉積系統、及ALTUS MaxTM或眾多其他商業上可取得之CVD工具的任何者。在一些情形中,製程可依序執行於複數沉積站。見例如於此併入作為參考的美國專利第6,143,082號。
在其中不選擇可選處理步驟的一些實施例中,可使用單一站或單一腔室來沉積鎢至特徵物中以供CVD製程。或者,若有需要,可將基板或晶圓排序使CVD操作依序執行經過二或更多站。
在其中浸泡處理步驟發生於CVD製程之前的一些實施例中,首先可使用在基板表面產生局部大氣之獨立氣體供應系統,在第一站將相關於浸泡步驟的還原劑及對應氣體引至半導體基板的表面。然後第二站可用以完成藉由CVD製程之鎢填充沉積,且含鎢前驅物及高局部壓力下 之氫氣係利用在基板表面產生局部大氣之獨立氣體供應系統引至特徵物的表面。或者,浸泡處理步驟及藉CVD製程之鎢填充二者可發生在相同腔室或相同站中,且該設備係配置呈改變引入腔室或站中的相關氣體。
在其中電漿處理步驟發生於CVD製程之前的一些實施例中,含惰性氣體電漿、對應載體氣體及其他氣體係於第一站引至半導體基板的表面。在處理腔室中用於電漿處裡的設備之實施例係參照圖9進一步敘述於下。接著可將基板可選地運送至CVD站或腔室,以完成藉CVD製程之鎢填充沉積,而含鎢前驅物、氫氣、及其他可能之載體氣體係利用在基板表面產生局部大氣之獨立氣體供應系統引至特徵物的表面。CVD設備之一實施例係參照圖8進一步敘述於下。
在一些實施例中,可使用包含電漿產生器的下游電漿設備。噴淋頭或他氣體入口可分隔電漿產生器及處理腔室之暴露區域。氣體之來源提供氣體流至電漿產生器中。
在一些實施例中,電漿產生器包含連接至電源的感應線圈。在操作期間,將氣體混合物引入電漿產生器中,而感應線圈充能,且在電漿產生器中產生電漿。在其中使用噴淋頭組件的實施例中,該組件可具有一施加電壓。該組件可停止一些或實質上全部離子之流動且容許例如自由基之中性物種流至處理腔室中。
在一些實施例中,電漿係藉由使氣體流過感應耦合源而產生,電漿在該感應耦合源中作為變壓器中之二次線圈。此類型之遠端電漿源的實例為MKS製造的Astron。反應性物種係生成於電漿內,並輸送至容納晶圓的腔室。在一些實施例中,離子性物種不自遠端電漿源引入。
在一些實施例中,襯層係於第一站沉積,該第一站為位於單一沉積腔室內的二、五、或甚至更多沉積站中之一者。然後在第一站進行電漿處理步驟,使得含惰性氣體電漿、可能之載體氣體、及其他氣體在襯層被沉積之第一站被引至半導體基板的表面。然後可在真空下將基板運送至第二站,以完成藉CVD製程之鎢沉積,其中氫氣及含鎢前驅物以及其他載體氣體(若有使用)係利用在基板表面產生局部大氣之獨立氣體供應系統引至特徵物的表面。
在其他實施例中,襯層係於第一腔室沉積,該第一腔室為位於設備內的二或更多腔室中之一者。然後電漿處理步驟後之運送將在真空下發生於自第一腔室至第二腔室,使得藉CVD製程之鎢沉積發生於第二腔室中。
圖7為依據各種實施例的適用於進行鎢薄膜沉積製程之處理系統的方塊圖。系統700包含運送模組703。運送模組703提供清潔、加壓環境以使受處理基板在其移動於不同反應器模組之間時的污染風險減至最低。依據一些實施例,裝設於輸送模組703上的為能執行基板浸泡、襯層沉積(若有需要)、及CVD之多站腔室709。腔室709可包含可依序執行這些操作的複數站711、713、715及717。舉例來說,腔室709可加以配置使得站711執行襯層沉積、站713執行浸泡、且站715及717執行CVD。各沉積站包含加熱晶圓/基板平台及噴淋頭、分散板或其他氣體入口。沉積站800之實例係繪示於圖8,包含晶圓支撐件802及噴淋頭803。加熱器可設置於平台部份801中。若在單一模組707內之腔室內執行一些實施例的若干步驟,圖8中之設備亦繪示腔室之實例。
亦裝設在運送模組703上的是能執行電漿或化學(非電漿)處理或預清理的一或更多單站或多站模組707。該模組亦可用於各種其他處理,例如鎢氮化物或其他襯層沉積或襯墊後鎢氮化物CVD。系統700亦包含其中在處理之前及之後儲存晶圓的一或更多(在此情形中為二)晶圓來源模組701。大氣運送腔室719中的大氣機械手臂(atmospheric robot)(未顯示)首先從來源模組701移動晶圓至負載鎖721。運送模組703中之晶圓運送裝置(通常為機械手臂單元,未顯示)自負載鎖721移動晶圓至裝設在運送模組703上之模組並在該等模組間移動。
在若干實施例中,系統控制器729係用以在沉積期間控制製程條件。控制器將典型地包含一或更多記憶體裝置及一或更多處理器。處理器可包含CPU或電腦、類比及/或數位輸入/輸出連接、步進馬達控制器板等。
控制器729可控制沉積設備的所有活動。系統控制器執行包含用於控制特定製程之時序、氣體混合物、腔室壓力、腔室溫度、晶圓溫 度、RF功率位準、晶圓夾盤或平台位置、及其他參數之指令組的系統控制軟體。關聯於控制器的儲存於記憶體裝置上之其他電腦程式可用於一些實施例。
典型地將有關聯於控制器的使用者介面。使用者介面可包含顯示螢幕、設備及/或製程條件之圖形軟體顯示、及使用者輸入裝置(如指向裝置、鍵盤、觸控螢幕、麥克風等)。
用來控制製程序列中之沉積及其他製程的電腦程式碼可以任何習知電腦可讀程式語言加以編寫:例如組合語言、C、C++、Pascal、Fortran或其他者。經編寫之目標碼或腳本係由處理器執行,以進行程式中所指示的任務。
控制器參數有關於例如製程氣體成份及流速、溫度、壓力之製程條件、例如RF功率位準及低頻RF頻率之電漿條件、冷卻氣體壓力、及腔室壁溫度。這些參數係以配方形式提供至使用者,且可利用使用者介面輸入。
監測製程用的訊號可由系統控制器的類比及/或數位輸入連接提供。控制製程用的訊號係於沉積設備之類比及數位輸出連接上輸出。
系統軟體裡用不同方式加以設計或配置。舉例來說,可寫入各種腔室構件次常式(subroutines)或控制目標,以控制執行沉積製程必要的腔室構件之操作。針對此目的之程式或程式區段的實例包含基板定位碼、製程氣體控制碼、壓力控制碼、加熱器控制碼、及電漿控制碼。
基板定位程式可包含用來控制腔室構件的程式碼,該等腔室構件係用以裝載基板至平台或夾盤上、及控制基板與腔室其他部件(例如氣體入口及/或目標)之間之間距。製程氣體控制程式可包含編碼,用於控制氣體組成及流速、並可選地用於在沉積之前使氣體流入腔室中以使腔室中之壓力穩定。壓力控制程式可包含編碼,用於藉由調節例如腔室的排放系統中之節流閥來控制腔室中之壓力。加熱器控制程式可包含編碼,用來控制往用以加熱基板之加熱單元的電流。或者,加熱器控制程式可控制對晶圓夾盤的例如氦之熱傳氣體的輸送。
可在沉積期間受監測的腔室感測器之實例包含質流控制 器、例如流體壓力計(manometer)之壓力感測器、及位於平台或夾盤中之熱電偶。適當程式化之回饋及控制演算法可與來自這些感測器的資料一起使用,以維持期望的製程條件。前述內容敘述單一或多腔室半導體處理工具中一些實施例的實施情形。
圖9顯示依據若干實施例可使用作為處理腔室、沉積腔室、或處理及沉積腔室的反應器之實例。圖9所示之反應器係適用於黑暗(非電漿)或電漿增強沉積,例如藉由電容耦合電漿退火。如所示,反應器900包含製程腔室924,其包圍反應器之其他構件,並用以容納藉由電容型系統所產生之電漿,該電容型系統包含結合接地加熱器塊920一起運作的噴淋頭914。低頻RF產生器902及高頻RF產生器904係連接至噴淋頭914。功率及頻率係足以自製程氣體產生電漿,例如50W-5kW之總能量。在電漿處理步驟期間,可使用一產生器或兩者。舉例來說,在典型的製程中,高頻RF分量通常介於2-60MHz之間,例如13.56MHz。
在反應器內,晶圓平台918支撐基板916。平台典型地包含夾盤、叉件、或抬升銷,以於沉積及/或電漿處理反應期間及之間固持及運送基板。夾盤可為靜電夾盤、機械夾盤或各種其他類型的可取得而用於產業及/或研究中之夾盤。
製程氣體係經由入口912引入。複數來源氣體管線910係連接至歧管908。氣體可或可不預先混合。在一些實施例中,可將混合盆或歧管管線之溫度維持在高於反應溫度的位準。約100℃以上的溫度通常足夠。適當的閥門調節及質流控制機構係用以確保在製程的沉積及電漿處理階段期間輸送正確的氣體。在(複數)化學反應物以液體形式輸送的情況中,使用液體流控制機構。然後使液體汽化,且在到達沉積腔室前,可在其於加熱超過其汽化點之歧管中的輸送期間,與其他製程氣體混合。
製程氣體經由出口922離開反應器900。真空泵(例如一或二階段機械乾式泵及/或渦輪分子泵)典型地抽出製程氣體,並藉由例如節流閥或鐘擺閥之閉迴路控制流量限制裝置維持反應器內之合適低壓。
應用
本實施例可用以針對許多不同應用沉積薄、低電阻率鎢層。一應用為貫孔、接點、及其他一般在電子元件中所見的鎢結構。另一應用係針對例如記憶體晶片及微處理器之積體電路中的互連。互連為單一金屬化層上所見的電流線路,且通常為細長扁平結構。互連應用的主要實例為記憶體晶片中的位元線。一般而言,所揭實施例在其中需要薄、低電阻率鎢層的任何環境中尋找應用。
所揭露之實施例亦聚焦在低電阻率、具有典型大約2000埃或更少(例如1000埃更少)之相對薄比例之鎢層。但更一般來說,所揭實施例適用於更廣範圍的鎢層,包含具有約5埃至5000埃之間的厚度者。
結論
儘管前述實施例已為了澄清理解之目的而說明於若干細節中,但將顯而易見的,若干變更及修改可在所附請求項之範圍內實施。應注意有許多實施製程、系統、及設備的替代方式。因此,本實施例應視為說明性且非限制性,且不應將該等實施例限制在於此提出之細節。
401‧‧‧影像
403‧‧‧鎢層
405‧‧‧基板
407‧‧‧孔隙
409‧‧‧影像
411‧‧‧鎢層
413‧‧‧基板

Claims (29)

  1. 一種在基板上填充凹陷之特徵物的方法,該方法包含:在沉積任何鎢於該特徵物中之前,以一非含氮之化學品處理該特徵物之表面;及在不沉積一成核層的情況下,藉由直接暴露經處理之該表面至一含鎢前驅物及氫,在一化學氣相沉積(CVD)製程中以一鎢膜填充該特徵物,其中在該CVD製程期間,氫之局部壓力為至少約40托(Torr)。
  2. 如申請專利範圍第1項之在基板上填充凹陷之特徵物的方法,其中該特特徵物之寬度小於約50nm。
  3. 如申請專利範圍第1項之在基板上填充凹陷之特徵物的方法,其中該特徵物之該表面包含鎢。
  4. 如申請專利範圍第1項之在基板上填充凹陷之特徵物的方法,其中該特徵物之該表面包含鎢氮化物。
  5. 如申請專利範圍第1項之在基板上填充凹陷之特徵物的方法,其中該特徵物之該表面包含鈦氮化物。
  6. 如申請專利範圍第1-5項其中任一項之在基板上填充凹陷之特徵物的方法,其中在該CVD製程期間,氫之局部壓力為至少約60托。
  7. 如申請專利範圍第1-5項其中任一項之在基板上填充凹陷之特徵物的方法,其中在該CVD製程期間,氫之局部壓力為至少約80托。
  8. 如申請專利範圍第1-5項其中任一項之在基板上填充凹陷之特徵物的方法,其中處理該特徵物之該表面包含將該基板浸泡於一還原劑中。
  9. 如申請專利範圍第8項之在基板上填充凹陷之特徵物的方法,其中該還原劑為硼烷、矽烷、或鍺烷。
  10. 如申請專利範圍第8項之在基板上填充凹陷之特徵物的方法,其中處理該特徵物之該表面包含將該基板浸泡於該還原劑中維持約2至10秒。
  11. 如申請專利範圍第1-5其中任一項之在基板上填充凹陷之特徵物的方法,其中處理該特徵物之該表面包含使該基板暴露至一含惰性氣體電漿。
  12. 如申請專利範圍第11項之在基板上填充凹陷之特徵物的方法,其中該含惰性氣體電漿包含氬及氫物種。
  13. 如申請專利範圍第11項之在基板上填充凹陷之特徵物的方法,其中在處理該特徵物之該表面與在CVD製程中以鎢膜填充該特徵物之間,該基板係維持在真空下。
  14. 一種在基板上填充凹陷之特徵物的方法,該方法包含:在沉積任何鎢於該特徵物中之前,使該特徵物之表面暴露至一含非氮惰性氣體之電漿;及在不沉積一成核層的情況下,藉由在一化學氣相沉積(CVD)腔室中直接暴露經處理之該表面至一含鎢前驅物及一還原劑,而在一CVD製程中以鎢填充該特徵物。
  15. 如申請專利範圍第14項之在基板上填充凹陷之特徵物的方法,其中該基板包含鎢。
  16. 如申請專利範圍第14項之在基板上填充凹陷之特徵物的方法,其中該基板包含鎢氮化物。
  17. 如申請專利範圍第14項之在基板上填充凹陷之特徵物的方法,其中該 基板包含鈦氮化物。
  18. 如申請專利範圍第14項之在基板上填充凹陷之特徵物的方法,其中在該電漿處理與該CVD製程之間,該基板係維持於真空下。
  19. 如申請專利範圍第14項之在基板上填充凹陷之特徵物的方法,其中暴露該特徵物之該表面至該含非氮惰性氣體之電漿係發生於與該CVD腔室分隔的一處理腔室中。
  20. 如申請專利範圍第14-19其中任一項之在基板上填充凹陷之特徵物的方法,更包含:在該電漿處理前,在一襯層沉積腔室中沉積一襯層於該特徵物中,其中暴露該特徵物之該表面至該含非氮惰性氣體之電漿係發生於該襯層沉積腔室中;及將該基板運送至該CVD腔室。
  21. 如申請專利範圍第20項之在基板上填充凹陷之特徵物的方法,其中該襯層為一鎢襯層。
  22. 如申請專利範圍第20項之在基板上填充凹陷之特徵物的方法,其中該襯層為一鎢氮化物或一鈦氮化物襯層。
  23. 一種用於在基板上填充凹陷之特徵物的設備,該設備包含:(a)一多腔室裝置,包含:一預處理腔室;一化學氣相沉積(CVD)腔室,該CVD腔室包含配置成使該基板暴露至氣體的一基板支撐件及一或更多氣體入口;及(b)一控制器,用以控制該設備中的操作,其包含機器可讀指令,用以:在該預處理腔室中處理該基板;自該預處理腔室將該基板運送至該CVD腔室;及 藉由使該CVD腔室中之該基板暴露至一含鎢前驅物,而藉由一化學氣相沉積製程以鎢填充該特徵物,同時維持至少約40托之氫局部壓力及約200℃與450℃之間的基板溫度。
  24. 如申請專利範圍第23項之用於在基板上填充凹陷之特徵物的設備,其中該預處理腔室包含一基板支撐件及一或更多氣體入口,且該控制器更包含用以使一還原劑進入該預處理腔室維持2至10秒的指令。
  25. 如申請專利範圍第23項之用於在基板上填充凹陷之特徵物的設備,其中該預處理腔室包含一基板支撐件及一或更多氣體入口及一電漿產生器,且該控制器更包含在該預處理腔室中產生一含惰性氣體電漿的指令。
  26. 如申請專利範圍第24項之用於在基板上填充凹陷之特徵物的設備,其中該預處理腔室更包含一電漿產生器,且該控制器更包含在該預處理腔室中產生一含惰性氣體電漿的指令。
  27. 一種在基板上填充凹陷之特徵物的方法,該方法包含:在沉積任何鎢於該特徵物中之前,以一非含氮之化學品處理該特徵物之表面;及藉由在一化學氣相沉積(CVD)製程中直接暴露該經處理表面至一含鎢前驅物及氫,而以鎢薄膜填充該特徵物,其中在該CVD製程期間,氫之局部壓力為至少約40托,且其中氫在該CVD製程期間為主要還原劑。
  28. 如申請專利範圍第27項之在基板上填充凹陷之特徵物的方法,其中在該CVD製程期間,氫之局部壓力為至少約60托。
  29. 如申請專利範圍第27項之在基板上填充凹陷之特徵物的方法,其中在該CVD製程期間,氫之局部壓力為至少約70托。
TW102126976A 2012-07-27 2013-07-26 改進小臨界尺寸特徵物中之鎢接觸電阻用之方法及設備 TWI604081B (zh)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US13/560,688 US8975184B2 (en) 2012-07-27 2012-07-27 Methods of improving tungsten contact resistance in small critical dimension features

Publications (2)

Publication Number Publication Date
TW201413031A TW201413031A (zh) 2014-04-01
TWI604081B true TWI604081B (zh) 2017-11-01

Family

ID=49995297

Family Applications (1)

Application Number Title Priority Date Filing Date
TW102126976A TWI604081B (zh) 2012-07-27 2013-07-26 改進小臨界尺寸特徵物中之鎢接觸電阻用之方法及設備

Country Status (3)

Country Link
US (1) US8975184B2 (zh)
KR (3) KR102173770B1 (zh)
TW (1) TWI604081B (zh)

Families Citing this family (43)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9076843B2 (en) 2001-05-22 2015-07-07 Novellus Systems, Inc. Method for producing ultra-thin tungsten layers with improved step coverage
US20100267230A1 (en) 2009-04-16 2010-10-21 Anand Chandrashekar Method for forming tungsten contacts and interconnects with small critical dimensions
US9159571B2 (en) 2009-04-16 2015-10-13 Lam Research Corporation Tungsten deposition process using germanium-containing reducing agent
US9653353B2 (en) 2009-08-04 2017-05-16 Novellus Systems, Inc. Tungsten feature fill
US10256142B2 (en) 2009-08-04 2019-04-09 Novellus Systems, Inc. Tungsten feature fill with nucleation inhibition
US10381266B2 (en) 2012-03-27 2019-08-13 Novellus Systems, Inc. Tungsten feature fill with nucleation inhibition
US11437269B2 (en) 2012-03-27 2022-09-06 Novellus Systems, Inc. Tungsten feature fill with nucleation inhibition
US8853080B2 (en) 2012-09-09 2014-10-07 Novellus Systems, Inc. Method for depositing tungsten film with low roughness and low resistivity
US9153486B2 (en) 2013-04-12 2015-10-06 Lam Research Corporation CVD based metal/semiconductor OHMIC contact for high volume manufacturing applications
US9589808B2 (en) 2013-12-19 2017-03-07 Lam Research Corporation Method for depositing extremely low resistivity tungsten
JP6437324B2 (ja) * 2014-03-25 2018-12-12 東京エレクトロン株式会社 タングステン膜の成膜方法および半導体装置の製造方法
US9653352B2 (en) * 2014-04-11 2017-05-16 Applied Materials, Inc. Methods for forming metal organic tungsten for middle of the line (MOL) applications
FR3022428A1 (fr) * 2014-06-16 2015-12-18 Orange Technique de gestion d'un etat d'activation d'un module d'acces radio
US9349637B2 (en) 2014-08-21 2016-05-24 Lam Research Corporation Method for void-free cobalt gap fill
US9748137B2 (en) 2014-08-21 2017-08-29 Lam Research Corporation Method for void-free cobalt gap fill
US9997405B2 (en) 2014-09-30 2018-06-12 Lam Research Corporation Feature fill with nucleation inhibition
US9953984B2 (en) 2015-02-11 2018-04-24 Lam Research Corporation Tungsten for wordline applications
US9595466B2 (en) 2015-03-20 2017-03-14 Applied Materials, Inc. Methods for etching via atomic layer deposition (ALD) cycles
US10566187B2 (en) * 2015-03-20 2020-02-18 Lam Research Corporation Ultrathin atomic layer deposition film accuracy thickness control
JP6416679B2 (ja) * 2015-03-27 2018-10-31 東京エレクトロン株式会社 タングステン膜の成膜方法
TW201700761A (zh) * 2015-05-13 2017-01-01 應用材料股份有限公司 經由基材的有機金屬或矽烷預處理而改良的鎢膜
US10170320B2 (en) 2015-05-18 2019-01-01 Lam Research Corporation Feature fill with multi-stage nucleation inhibition
US9613818B2 (en) 2015-05-27 2017-04-04 Lam Research Corporation Deposition of low fluorine tungsten by sequential CVD process
US9754824B2 (en) 2015-05-27 2017-09-05 Lam Research Corporation Tungsten films having low fluorine content
US9978605B2 (en) 2015-05-27 2018-05-22 Lam Research Corporation Method of forming low resistivity fluorine free tungsten film without nucleation
KR20180075701A (ko) * 2015-11-25 2018-07-04 어플라이드 머티어리얼스, 인코포레이티드 통합 프로세스 흐름 시스템들을 통한 저-저항 콘택들을 형성하기 위한 방법들
US10573522B2 (en) 2016-08-16 2020-02-25 Lam Research Corporation Method for preventing line bending during metal fill process
US10269629B2 (en) 2016-09-05 2019-04-23 Samsung Electronics Co., Ltd. Semiconductor device and method of manufacturing the same
US11289374B2 (en) * 2016-12-15 2022-03-29 Applied Materials, Inc. Nucleation-free gap fill ALD process
US10211099B2 (en) 2016-12-19 2019-02-19 Lam Research Corporation Chamber conditioning for remote plasma process
US10283404B2 (en) 2017-03-30 2019-05-07 Lam Research Corporation Selective deposition of WCN barrier/adhesion layer for interconnect
WO2019036292A1 (en) 2017-08-14 2019-02-21 Lam Research Corporation METHOD FOR METAL CASTING FOR THREE-DIMENSIONAL NAND AND VERTICAL WORDS LINE
US11549175B2 (en) 2018-05-03 2023-01-10 Lam Research Corporation Method of depositing tungsten and other metals in 3D NAND structures
CN113508465A (zh) * 2018-11-30 2021-10-15 朗姆研究公司 存储器应用的线挠曲控制
WO2020118100A1 (en) 2018-12-05 2020-06-11 Lam Research Corporation Void free low stress fill
JP2022513479A (ja) 2018-12-14 2022-02-08 ラム リサーチ コーポレーション 3d nand構造上の原子層堆積
CN111593319B (zh) * 2019-02-20 2023-05-30 Asm Ip私人控股有限公司 用于填充在衬底表面内形成的凹部的循环沉积方法和设备
JP2022522226A (ja) 2019-04-11 2022-04-14 ラム リサーチ コーポレーション 高ステップカバレッジのタングステン堆積
US11823870B2 (en) * 2019-08-13 2023-11-21 Applied Materials, Inc. PEALD titanium nitride with direct microwave plasma
US11205589B2 (en) * 2019-10-06 2021-12-21 Applied Materials, Inc. Methods and apparatuses for forming interconnection structures
US12014956B2 (en) * 2021-09-28 2024-06-18 Applied Materials, Inc. Tungsten gapfill using molybdenum co-flow
WO2023114640A1 (en) * 2021-12-16 2023-06-22 Lam Research Corporation Deposition of metals in recessed features with the use of halogen-containing deposition inhibitors
KR20230174883A (ko) * 2022-06-22 2023-12-29 한국알박(주) Pvd 스퍼터링법을 통한 텅스텐의 비저항 및 응력 제어 방법

Family Cites Families (95)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
FI117944B (fi) 1999-10-15 2007-04-30 Asm Int Menetelmä siirtymämetallinitridiohutkalvojen kasvattamiseksi
JPS62216224A (ja) 1986-03-17 1987-09-22 Fujitsu Ltd タングステンの選択成長方法
JPS62260340A (ja) 1986-05-06 1987-11-12 Toshiba Corp 半導体装置の製造方法
US4746375A (en) 1987-05-08 1988-05-24 General Electric Company Activation of refractory metal surfaces for electroless plating
US5250329A (en) 1989-04-06 1993-10-05 Microelectronics And Computer Technology Corporation Method of depositing conductive lines on a dielectric
US5028565A (en) 1989-08-25 1991-07-02 Applied Materials, Inc. Process for CVD deposition of tungsten layer on semiconductor wafer
JP3194971B2 (ja) 1990-01-08 2001-08-06 エルエスアイ ロジック コーポレーション Cvdチャンバに導入されるプロセスガスをcvdチャンバへの導入前に濾過するための装置
KR100209856B1 (ko) 1990-08-31 1999-07-15 가나이 쓰도무 반도체장치의 제조방법
US5326723A (en) 1992-09-09 1994-07-05 Intel Corporation Method for improving stability of tungsten chemical vapor deposition
KR950012738B1 (ko) 1992-12-10 1995-10-20 현대전자산업주식회사 반도체소자의 텅스텐 콘택 플러그 제조방법
KR970009867B1 (ko) 1993-12-17 1997-06-18 현대전자산업 주식회사 반도체 소자의 텅스텐 실리사이드 형성방법
EP0704551B1 (en) 1994-09-27 2000-09-06 Applied Materials, Inc. Method of processing a substrate in a vacuum processing chamber
US6001729A (en) 1995-01-10 1999-12-14 Kawasaki Steel Corporation Method of forming wiring structure for semiconductor device
JP2737764B2 (ja) 1995-03-03 1998-04-08 日本電気株式会社 半導体装置及びその製造方法
US5863819A (en) 1995-10-25 1999-01-26 Micron Technology, Inc. Method of fabricating a DRAM access transistor with dual gate oxide technique
US6017818A (en) 1996-01-22 2000-01-25 Texas Instruments Incorporated Process for fabricating conformal Ti-Si-N and Ti-B-N based barrier films with low defect density
US6310300B1 (en) 1996-11-08 2001-10-30 International Business Machines Corporation Fluorine-free barrier layer between conductor and insulator for degradation prevention
US6297152B1 (en) 1996-12-12 2001-10-02 Applied Materials, Inc. CVD process for DCS-based tungsten silicide
US5804249A (en) 1997-02-07 1998-09-08 Lsi Logic Corporation Multistep tungsten CVD process with amorphization step
US6037248A (en) 1997-06-13 2000-03-14 Micron Technology, Inc. Method of fabricating integrated circuit wiring with low RC time delay
US5956609A (en) 1997-08-11 1999-09-21 Taiwan Semiconductor Manufacturing Company, Ltd. Method for reducing stress and improving step-coverage of tungsten interconnects and plugs
US5795824A (en) 1997-08-28 1998-08-18 Novellus Systems, Inc. Method for nucleation of CVD tungsten films
US5926720A (en) 1997-09-08 1999-07-20 Lsi Logic Corporation Consistent alignment mark profiles on semiconductor wafers using PVD shadowing
US6861356B2 (en) 1997-11-05 2005-03-01 Tokyo Electron Limited Method of forming a barrier film and method of forming wiring structure and electrodes of semiconductor device having a barrier film
US6099904A (en) 1997-12-02 2000-08-08 Applied Materials, Inc. Low resistivity W using B2 H6 nucleation step
JPH11260759A (ja) 1998-03-12 1999-09-24 Fujitsu Ltd 半導体装置の製造方法
US6066366A (en) 1998-07-22 2000-05-23 Applied Materials, Inc. Method for depositing uniform tungsten layers by CVD
US6143082A (en) 1998-10-08 2000-11-07 Novellus Systems, Inc. Isolation of incompatible processes in a multi-station processing chamber
KR100273767B1 (ko) 1998-10-28 2001-01-15 윤종용 반도체소자의 텅스텐막 제조방법 및 그에 따라 제조되는 반도체소자
US6037263A (en) 1998-11-05 2000-03-14 Vanguard International Semiconductor Corporation Plasma enhanced CVD deposition of tungsten and tungsten compounds
US6331483B1 (en) 1998-12-18 2001-12-18 Tokyo Electron Limited Method of film-forming of tungsten
US20010014533A1 (en) 1999-01-08 2001-08-16 Shih-Wei Sun Method of fabricating salicide
US6245654B1 (en) 1999-03-31 2001-06-12 Taiwan Semiconductor Manufacturing Company, Ltd Method for preventing tungsten contact/via plug loss after a backside pressure fault
US6294468B1 (en) 1999-05-24 2001-09-25 Agere Systems Guardian Corp. Method of chemical vapor depositing tungsten films
US6720261B1 (en) 1999-06-02 2004-04-13 Agere Systems Inc. Method and system for eliminating extrusions in semiconductor vias
US6174812B1 (en) 1999-06-08 2001-01-16 United Microelectronics Corp. Copper damascene technology for ultra large scale integration circuits
US6355558B1 (en) 1999-06-10 2002-03-12 Texas Instruments Incorporated Metallization structure, and associated method, to improve crystallographic texture and cavity fill for CVD aluminum/PVD aluminum alloy films
US6265312B1 (en) 1999-08-02 2001-07-24 Stmicroelectronics, Inc. Method for depositing an integrated circuit tungsten film stack that includes a post-nucleation pump down step
US6309966B1 (en) 1999-09-03 2001-10-30 Motorola, Inc. Apparatus and method of a low pressure, two-step nucleation tungsten deposition
US6303480B1 (en) 1999-09-13 2001-10-16 Applied Materials, Inc. Silicon layer to improve plug filling by CVD
WO2001029893A1 (en) 1999-10-15 2001-04-26 Asm America, Inc. Method for depositing nanolaminate thin films on sensitive surfaces
US6277744B1 (en) 2000-01-21 2001-08-21 Advanced Micro Devices, Inc. Two-level silane nucleation for blanket tungsten deposition
US6429126B1 (en) 2000-03-29 2002-08-06 Applied Materials, Inc. Reduced fluorine contamination for tungsten CVD
WO2001089017A1 (en) 2000-05-18 2001-11-22 Corning Incorporated High performance solid electrolyte fuel cells
US7964505B2 (en) * 2005-01-19 2011-06-21 Applied Materials, Inc. Atomic layer deposition of tungsten materials
US7405158B2 (en) 2000-06-28 2008-07-29 Applied Materials, Inc. Methods for depositing tungsten layers employing atomic layer deposition techniques
US6936538B2 (en) 2001-07-16 2005-08-30 Applied Materials, Inc. Method and apparatus for depositing tungsten after surface treatment to improve film characteristics
US6551929B1 (en) 2000-06-28 2003-04-22 Applied Materials, Inc. Bifurcated deposition process for depositing refractory metal layers employing atomic layer deposition and chemical vapor deposition techniques
US6740591B1 (en) 2000-11-16 2004-05-25 Intel Corporation Slurry and method for chemical mechanical polishing of copper
US6908848B2 (en) 2000-12-20 2005-06-21 Samsung Electronics, Co., Ltd. Method for forming an electrical interconnection providing improved surface morphology of tungsten
US7141494B2 (en) 2001-05-22 2006-11-28 Novellus Systems, Inc. Method for reducing tungsten film roughness and improving step coverage
US7262125B2 (en) 2001-05-22 2007-08-28 Novellus Systems, Inc. Method of forming low-resistivity tungsten interconnects
US7005372B2 (en) 2003-01-21 2006-02-28 Novellus Systems, Inc. Deposition of tungsten nitride
US7589017B2 (en) 2001-05-22 2009-09-15 Novellus Systems, Inc. Methods for growing low-resistivity tungsten film
US7955972B2 (en) 2001-05-22 2011-06-07 Novellus Systems, Inc. Methods for growing low-resistivity tungsten for high aspect ratio and small features
US6635965B1 (en) 2001-05-22 2003-10-21 Novellus Systems, Inc. Method for producing ultra-thin tungsten layers with improved step coverage
US7211144B2 (en) 2001-07-13 2007-05-01 Applied Materials, Inc. Pulsed nucleation deposition of tungsten layers
WO2003029515A2 (en) 2001-07-16 2003-04-10 Applied Materials, Inc. Formation of composite tungsten films
JP2005504885A (ja) 2001-07-25 2005-02-17 アプライド マテリアルズ インコーポレイテッド 新規なスパッタ堆積方法を使用したバリア形成
US20030029715A1 (en) 2001-07-25 2003-02-13 Applied Materials, Inc. An Apparatus For Annealing Substrates In Physical Vapor Deposition Systems
US6607976B2 (en) 2001-09-25 2003-08-19 Applied Materials, Inc. Copper interconnect barrier layer structure and formation method
TW589684B (en) 2001-10-10 2004-06-01 Applied Materials Inc Method for depositing refractory metal layers employing sequential deposition techniques
US6566262B1 (en) 2001-11-01 2003-05-20 Lsi Logic Corporation Method for creating self-aligned alloy capping layers for copper interconnect structures
TWI253478B (en) 2001-11-14 2006-04-21 Mitsubishi Heavy Ind Ltd Barrier metal film production apparatus, barrier metal film production method, metal film production method, and metal film production apparatus
US6566250B1 (en) 2002-03-18 2003-05-20 Taiwant Semiconductor Manufacturing Co., Ltd Method for forming a self aligned capping layer
US6905543B1 (en) 2002-06-19 2005-06-14 Novellus Systems, Inc Methods of forming tungsten nucleation layer
TWI287559B (en) 2002-08-22 2007-10-01 Konica Corp Organic-inorganic hybrid film, its manufacturing method, optical film, and polarizing film
US6706625B1 (en) 2002-12-06 2004-03-16 Chartered Semiconductor Manufacturing Ltd. Copper recess formation using chemical process for fabricating barrier cap for lines and vias
US6962873B1 (en) 2002-12-10 2005-11-08 Novellus Systems, Inc. Nitridation of electrolessly deposited cobalt
KR20050110613A (ko) 2002-12-23 2005-11-23 어플라이드 씬 필름스 인코포레이티드 알루미늄 포스페이트 코팅
US6844258B1 (en) 2003-05-09 2005-01-18 Novellus Systems, Inc. Selective refractory metal and nitride capping
WO2004113585A2 (en) 2003-06-18 2004-12-29 Applied Materials, Inc. Atomic layer deposition of barrier materials
US7754604B2 (en) 2003-08-26 2010-07-13 Novellus Systems, Inc. Reducing silicon attack and improving resistivity of tungsten nitride film
JP4606006B2 (ja) 2003-09-11 2011-01-05 ルネサスエレクトロニクス株式会社 半導体装置の製造方法
KR100557626B1 (ko) 2003-12-23 2006-03-10 주식회사 하이닉스반도체 반도체 소자의 비트라인 형성 방법
KR101108304B1 (ko) 2004-02-26 2012-01-25 노벨러스 시스템즈, 인코포레이티드 질화 텅스텐의 증착
US7605469B2 (en) 2004-06-30 2009-10-20 Intel Corporation Atomic layer deposited tantalum containing adhesion layer
US7429402B2 (en) 2004-12-10 2008-09-30 Applied Materials, Inc. Ruthenium as an underlayer for tungsten film deposition
US7220671B2 (en) 2005-03-31 2007-05-22 Intel Corporation Organometallic precursors for the chemical phase deposition of metal films in interconnect applications
US7517798B2 (en) 2005-09-01 2009-04-14 Micron Technology, Inc. Methods for forming through-wafer interconnects and structures resulting therefrom
US7524765B2 (en) 2005-11-02 2009-04-28 Intel Corporation Direct tailoring of the composition and density of ALD films
TW200746268A (en) 2006-04-11 2007-12-16 Applied Materials Inc Process for forming cobalt-containing materials
US8153831B2 (en) 2006-09-28 2012-04-10 Praxair Technology, Inc. Organometallic compounds, processes for the preparation thereof and methods of use thereof
TWI493058B (zh) * 2007-05-15 2015-07-21 Applied Materials Inc 鎢材料的原子層沈積法
US7655567B1 (en) 2007-07-24 2010-02-02 Novellus Systems, Inc. Methods for improving uniformity and resistivity of thin tungsten films
US7772114B2 (en) 2007-12-05 2010-08-10 Novellus Systems, Inc. Method for improving uniformity and adhesion of low resistivity tungsten film
US8053365B2 (en) * 2007-12-21 2011-11-08 Novellus Systems, Inc. Methods for forming all tungsten contacts and lines
US8062977B1 (en) 2008-01-31 2011-11-22 Novellus Systems, Inc. Ternary tungsten-containing resistive thin films
US8058170B2 (en) 2008-06-12 2011-11-15 Novellus Systems, Inc. Method for depositing thin tungsten film with low resistivity and robust micro-adhesion characteristics
US8551885B2 (en) 2008-08-29 2013-10-08 Novellus Systems, Inc. Method for reducing tungsten roughness and improving reflectivity
US20100267230A1 (en) 2009-04-16 2010-10-21 Anand Chandrashekar Method for forming tungsten contacts and interconnects with small critical dimensions
US8207062B2 (en) 2009-09-09 2012-06-26 Novellus Systems, Inc. Method for improving adhesion of low resistivity tungsten/tungsten nitride layers
DE102009055392B4 (de) 2009-12-30 2014-05-22 Globalfoundries Dresden Module One Limited Liability Company & Co. Kg Halbleiterbauelement und Verfahren zur Herstellung des Halbleiterbauelements
US8709948B2 (en) 2010-03-12 2014-04-29 Novellus Systems, Inc. Tungsten barrier and seed for copper filled TSV
US20120199887A1 (en) 2011-02-03 2012-08-09 Lana Chan Methods of controlling tungsten film properties

Also Published As

Publication number Publication date
TW201413031A (zh) 2014-04-01
KR102386744B1 (ko) 2022-04-14
US20140030889A1 (en) 2014-01-30
KR102173770B1 (ko) 2020-11-04
KR20140014024A (ko) 2014-02-05
KR20220005100A (ko) 2022-01-12
US8975184B2 (en) 2015-03-10
KR20200125918A (ko) 2020-11-05

Similar Documents

Publication Publication Date Title
TWI604081B (zh) 改進小臨界尺寸特徵物中之鎢接觸電阻用之方法及設備
JP6980020B2 (ja) ルテニウムドーピングにより強化される耐コバルト凝集性及び間隙充填作用
JP5376361B2 (ja) タングステン膜の製造方法および装置
JP2022510428A (ja) ボイドフリーの低応力充填
TWI769204B (zh) 用於遠程電漿程序之腔室調節方法
US8853080B2 (en) Method for depositing tungsten film with low roughness and low resistivity
US9748137B2 (en) Method for void-free cobalt gap fill
US9349637B2 (en) Method for void-free cobalt gap fill
JP6799903B2 (ja) 基板上にタングステンを堆積する方法およびその装置
KR101287271B1 (ko) 저저항률 텅스텐/텅스텐 니트라이드 레이어의 접착 개선 방법
TWI529806B (zh) 形成鎢接觸點及小臨界尺寸互連線之方法
US8551885B2 (en) Method for reducing tungsten roughness and improving reflectivity
JP2021523292A (ja) 3d nand構造内にタングステンおよび他の金属を堆積させる方法
US9478438B2 (en) Method and apparatus to deposit pure titanium thin film at low temperature using titanium tetraiodide precursor
US10438847B2 (en) Manganese barrier and adhesion layers for cobalt
KR101356332B1 (ko) 낮은 저항 및 강한 미소-접착 특성을 가진 텅스텐 박막의 증착 방법
KR102637315B1 (ko) 텅스텐 나이트라이드 배리어 층 증착
CN115803473A (zh) 钼的沉积
TW202401671A (zh) 高縱橫比3d nand結構中的鎢字元線填充