TWI579905B - 半導體結構及其製造方法 - Google Patents

半導體結構及其製造方法 Download PDF

Info

Publication number
TWI579905B
TWI579905B TW104134210A TW104134210A TWI579905B TW I579905 B TWI579905 B TW I579905B TW 104134210 A TW104134210 A TW 104134210A TW 104134210 A TW104134210 A TW 104134210A TW I579905 B TWI579905 B TW I579905B
Authority
TW
Taiwan
Prior art keywords
hydrogen
dielectric material
substrate
trenches
semiconductor structure
Prior art date
Application number
TW104134210A
Other languages
English (en)
Other versions
TW201639010A (zh
Inventor
周振成
張簡旭珂
吳政達
Original Assignee
台灣積體電路製造股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 台灣積體電路製造股份有限公司 filed Critical 台灣積體電路製造股份有限公司
Publication of TW201639010A publication Critical patent/TW201639010A/zh
Application granted granted Critical
Publication of TWI579905B publication Critical patent/TWI579905B/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823481MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type isolation region manufacturing related aspects, e.g. to avoid interaction of isolation region with adjacent structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66787Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel
    • H01L29/66795Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02164Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon oxide, e.g. SiO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02299Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment
    • H01L21/02304Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment formation of intermediate layers, e.g. buffer layers, layers to improve adhesion, lattice match or diffusion barriers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02299Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment
    • H01L21/02312Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment treatment by exposure to a gas or vapour
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/324Thermal treatment for modifying the properties of semiconductor bodies, e.g. annealing, sintering
    • H01L21/3247Thermal treatment for modifying the properties of semiconductor bodies, e.g. annealing, sintering for altering the shape, e.g. smoothing the surface
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/76Making of isolation regions between components
    • H01L21/762Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/76Making of isolation regions between components
    • H01L21/762Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers
    • H01L21/76224Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers using trench refilling with dielectric materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823431MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/785Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body
    • H01L27/08Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind
    • H01L27/085Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
    • H01L27/088Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate
    • H01L27/0886Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate including transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET

Landscapes

  • Engineering & Computer Science (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Computer Hardware Design (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Ceramic Engineering (AREA)
  • Element Separation (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)
  • Chemical & Material Sciences (AREA)
  • Metal-Oxide And Bipolar Metal-Oxide Semiconductor Integrated Circuits (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Chemical Kinetics & Catalysis (AREA)

Description

半導體結構及其製造方法
本揭露有關於一種半導體元件,特別是有關於一種鰭式場效電晶體。
雙閘極金氧半場效電晶體(Double-Gate Metal-Oxide-Semiconductor Field-Effect Transistors,Double-Gate MOSFETs)是一種合併兩個閘極到單一元件中的金氧半場效電晶體。該元件因結構包含從基板延伸出來的薄「鰭」而被稱為鰭式場效電晶體。雙閘極意指雙側通道上存在閘極且閘極可從通道兩側來控制。此外,鰭式場效電晶體可降低短通道效應並提供較高的電流。其他種鰭式場效電晶體結構可能同時包含三個或更多的閘極。
依據本揭露之一些實施方式,一種半導體結構的製造方法包含下列步驟:在基板中形成複數個溝槽,其中溝槽定義出至少一鰭狀結構於其間。對鰭狀結構含氫氣體退火。在溝槽中形成介電材料。降低溝槽中的介電材料。
依據本揭露之一些實施方式,一種半導體結構的製造方法包含下列步驟:在具有含氫氣體的環境下退火基板,其中基板具有複數個鰭狀結構以及至少一溝槽,鰭狀結構設置在基板上,且至少一溝槽將鰭狀結構隔開。以介電材料填滿溝槽。移除介電材料的頂部以暴露出鰭狀結構的頂部。
依據本揭露之一些實施方式,一種半導體結構包含:基板,其具有複數個溝槽,以定義出至少一鰭狀結構於其間。氫基終端表面。介電材料,其設置在溝槽中,且環繞該鰭狀結構之底部。
10~90‧‧‧步驟
110‧‧‧硬式罩幕層
112‧‧‧開口
115‧‧‧阻障層
120‧‧‧基板
122‧‧‧溝槽
124‧‧‧鰭狀結構
130‧‧‧第一墊層
140‧‧‧第二墊層
150‧‧‧介電材料
B‧‧‧底面
US‧‧‧頂部
BS‧‧‧埋設部
S‧‧‧側牆
α‧‧‧錐角
第1圖繪示依據本揭露多個實施方式之半導體結構的製造方法流程圖。
第2圖至第9圖繪示依據本揭露多個實施方式之半導體結構在不同階段的橫剖面圖。
以下的說明將提供許多不同的實施方式或實施例來實施本揭露的主題。元件或排列的具體範例將在以下討論以簡化本揭露。當然,這些描述僅為部分範例且本揭露並不以此為限。例如,將第一特徵形成在第二特徵上或上方,此一敘述不但包含第一特徵和第二特徵直接接觸的實施方式,也包含其他特徵形成在第一特徵與第二特徵之間,且在此情形下第一特 徵和第二特徵不會直接接觸的實施方式。此外,本揭露可能會在不同的範例中重複標號或文字。重複的目的是為了簡化及明確敘述,而非界定所討論之不同實施方式及配置間的關係。
此外,空間相對用語如「下面」、「下方」、「低於」、「上面」、「上方」及其他類似的用語,在此是為了方便描述圖中的一個元件或特徵和另一個元件或特徵的關係。空間相對用語除了涵蓋圖中所描繪的方位外,該用語更涵蓋裝置在使用或操作時的其他方位。也就是說,當該裝置的方位與圖式不同(旋轉90度或在其他方位)時,在本文中所使用的空間相對用語同樣可相應地進行解釋。
第1圖繪示依據本揭露多個實施方式之半導體結構的製造方法流程圖。第2圖至第9圖繪示依據本揭露多個實施方式之半導體結構在不同階段的橫剖面圖。製造方法以步驟10開始,步驟10在基板120上形成硬式罩幕層110(如第2圖所示)。方法繼續進行到步驟20,步驟20在基板120中形成溝槽122(如第3圖所示)。下一個是步驟30,步驟30對基板120進行含氫氣體退火(如第3圖所示)。繼續進行到步驟40,步驟40在溝槽122的側牆S及底面B上形成第一墊層130(如第4圖所示)。接著進行步驟50,步驟50在第一墊層130上形成第二墊層140(如第5圖所示)。下一個是步驟60,步驟60以介電材料150填滿溝槽122(如第6圖所示)。繼續進行步驟70,步驟70將位於溝槽122外多餘的介電材料150移除(如第7圖所示)。下一個是步驟80,步驟80移除硬式罩幕層110(如第8圖所示)。 最後是步驟90,步驟90降低溝槽122中的介電材料150(如第9圖所示)。
請參考第2圖。硬式罩幕層110形成在基板120上,且此硬式罩幕層110具有開口112於其中,藉此定義將在後續步驟形成的鰭狀結構。基板120的材質可為半導體材料,例如鑽石(diamond)、矽(Si)、鍺(Ge)、碳化矽(silicon carbide,SiC)、矽鍺(silicon-germanium,SiGe)或上述之任意組合。舉例來說,基板120可為摻雜或未摻雜之塊狀矽。其他如多層結構基板、帶有摻雜物濃度梯度的基板或混和指向基板亦能使用來作為基板120。
硬式罩幕層110的材質可為對水分子(H20)及氧(O)有阻障效果的材料。在一些實施方式中,硬式罩幕層110的材質可為例如氮化矽(Si3N4)。硬式罩幕層110的厚度範圍為約400Å到約2000Å。例如,硬式罩幕層110是由化學氣相沉積(chemical vapor deposition,CVD)、低壓化學氣相沉積(low pressure chemical vapor deposition,LPCVD)、電漿輔助化學氣相沉積(plasma enhanced chemical vapor deposition,PECVD)或其他沉積方式所形成。
「約」這個用語可被用於表示任何數量上的調整,且該調整可容許不影響其基本功能之改變。舉例來說,本揭露所揭露之硬式罩幕層110的厚度範圍為約400Å到約2000Å,但只要硬式罩幕層110的阻障能力並未實質上改變,其厚度亦可略小於400Å。
阻障層115可於硬式罩幕層110形成前先被形成在基板120上。阻障層115的材質可為能夠提升硬式罩幕層110與基板120之間黏合力的材料。舉例來說,在一些實施方式中,阻障層115的材質可為氧化矽(SiO2)。阻障層115的厚度範圍為約50Å到約200Å。阻障層115可由例如熱氧化、化學氣相沉積(chemical vapor deposition,CVD)或其他沉積方式所形成。
雖然第2圖繪示為具有夾設在硬式罩幕層110與基板120之間的阻障層115,但此阻障層115也是可以被省略的。在一些實施方式中,若硬式罩幕層110與基板120之間的黏合力是在可接受的程度,硬式罩幕層110也可以直接形成在基板120上而不需要阻障層115。
硬式罩幕層110與阻障層115被圖案化以形成開口112於其中並使部分的基板120暴露出來,其中被暴露出來的部分將在後續步驟中形成溝槽。硬式罩幕層110與阻障層115可藉由光微影(photolithography)及蝕刻製程而形成圖案化結構。光微影及蝕刻製程包括光阻塗佈、曝光、顯影、蝕刻及光阻移除。舉例來說,光阻可以旋轉塗佈(spin coating)的方式塗布於硬式罩幕層110上。接著光阻將被預烤以去除多餘的光阻溶劑。在預烤之後,光阻將被暴露在帶有圖案訊號的光線中。在暴光後,光阻將會產生化學變化,使其一部分可溶於顯影劑中。在顯影之前,可先進行曝光後烘烤(post-exposure bake,PEB),藉此降低因入射光的破壞性及建設性干涉圖案所造成之駐波現象。接著,顯影劑將被塗佈在光阻上,藉此移除 部分可溶於顯影劑中的光阻。接著,殘餘的光阻將被硬烤以固化剩餘的光阻。硬式罩幕層110及阻障層115沒被殘餘光阻保護到的部分將被蝕刻掉,藉以形成開口112。硬式罩幕層110及阻障層115的蝕刻可藉由例如活性離子蝕刻(reactive-ion etching,RIE)的方式進行。
活性離子蝕刻(reactive-ion etching,RIE)是一種有別於溼式蝕刻的乾式蝕刻方式。活性離子蝕刻使用化學活性電漿以形成開口112。電漿在低壓的環境下(例如:真空)由一電磁場所產生。由化學活性電漿產生的高能離子將撞擊硬式罩幕層110與阻障層115並與其反應。在一些實施方式中,以氟碳(fluorocarbon)或氫氟烴(hydrofluorocarbon)為基礎的活性離子蝕刻可被用來形成開口112。
蝕刻完硬式罩幕層110與阻障層115後,光阻可以例如電漿灰化(plasma ashing)或剝離的方式從硬式罩幕層110上被移除。電漿灰化係使用電漿源以產生單原子活性物質,例如氧或氟。此活性物質和光阻結合後將形成灰燼,此灰燼可被真空幫浦移除。剝離的方式係使用光阻剝離劑,例如丙酮(acetone)或苯酚溶劑(phenol solvent),將硬式罩幕層110上的光阻移除。
在硬式罩幕層110與阻障層115被圖案化後,基板120上的天然氧化物可藉由清洗製程來移除。在一些實施方式中,當基板120的成分為矽(Si)時,基板120的天然氧化物可以用氫氟酸(hydrofluoric acid,HF)來移除。清洗製程可以選擇 實施或不實施。在一些實施方式中,若基板120上的天然氧化物是可接受的,則清洗製程也可以選擇省略不實施。
請參考第3圖。溝槽122在基板120上形成。溝槽122定義了鰭狀結構124。亦即,溝槽122分離了鰭狀結構124。基板120暴露的部分透過開口112以蝕刻製程移除,例如活性離子蝕刻(reactive-ion etching,RIE),藉以在基板120上形成溝槽122。
在一些實施方式中,以氯(Cl)或溴(Br)為基礎的活性離子蝕刻(reactive-ion etching,RIE)可用來形成溝槽122。溝槽122至少其中之一的深度範圍為約0.3μm到約0.5μm。溝槽122至少其中之一具有至少一側牆S、一底面B及一錐角α,此錐角α係由側牆S與底面B的延伸平面所夾而成。溝槽122之椎角α的角度為約78°到約88°。
於形成鰭狀結構124後,對鰭狀結構124與基板120進行含氫氣體退火,藉此平滑化溝槽122的側牆S及底面B。也就是說,在一個包含有含氫氣體的環境下,對鰭狀結構124與基板120進行退火。上述之含氫氣體例如可包含蒸汽(H2O)、氨氣(NH3)或其組合。在一些實施方式中,上述之含氫氣體退火的溫度範圍為約攝氏500°至約攝氏1100°。如果含氫氣體退火的溫度低於約攝氏500°,則含氫氣體退火可能無法平滑化溝槽122的側牆S及底面B。若含氫氣體退火的溫度高於攝氏1100°,則含氫氣體退火的過程將實質上增加半導體製程的熱預算。在一些實施方式中,該含氫氣體退火的溫度範圍為 約攝氏790°至約攝氏950°。氫氣的分壓為約1 torr至約900 torr。
「約」這個用語可被用於表示任何數量上的調整,且該調整可容許不影響其基本功能之改變。舉例來說,本揭露所揭露之含氫氣體退火的溫度範圍為約攝氏500°至約攝氏1100°,但只要平滑的能力不產生實質上的改變,含氫氣體退火的溫度亦可略低於攝氏500°。
含氫氣體退火會將溝槽122的側牆S與底面B的至少一部分轉變為氫基終端(hydrogen-terminated)表面。氫基終端(hydrogen-terminated)表面至少有一懸鍵(dangling bond)與至少一氫原子鍵結。當基板120及/或鰭狀結構124的材質為矽(Si)時,氫基終端表面具有至少一矽氫(Si-H)鍵結。
含氫氣體退火能修復基板120及/或鰭狀結構124於蝕刻溝槽122時所產生的結構損傷,並平滑化溝槽122的側牆S與底面B。如果溝槽122的側牆S與底面B是粗糙的,則因粗糙表面所形成的角落或尖端處可能會在鰭狀結構124上造成應力集中而發生破裂。在一些實施方式中,由於溝槽122的側牆S與底面B已藉由含氫氣體退火而平滑化,因此當有撓曲力施加到鰭狀結構124上時,該撓曲力能均勻的分散在鰭狀結構124上,並且避免裂縫的發生甚至成長。在一些實施方式中,當基板120及/或鰭狀結構124的材質為矽(Si)時,溝槽122的側牆S與底面B可能會被平滑化到原子等級的程度。
請參考第4圖。第一墊層130形成在溝槽122的側牆S與底面B上。舉例來說,在一些實施方式中,第一墊層130 的材質可為氧化矽(SiO2)。第一墊層130的厚度範圍為約5Å到約100Å。第一墊層130可以例如臨場熱蒸氣產生技術(in-situ generated steam,ISSG)熱氧化而製成。在一些實施方式中,第一墊層130的形成溫度範圍為約攝氏800°至約攝氏1200°。
雖然第4圖具有形成在溝槽122的側牆S與底面B上的第一墊層130,但此第一墊層130也可以被省略。在一些實施方式中,若基板120及/或鰭狀結構124的結構損傷是在可接受的程度,也可以在沒有第一墊層130的情況下,將介電材料形成在溝槽122中。
在形成第一墊層130後,可對基板120與鰭狀結構124進行退火,以進一步修復基板120及/或鰭狀結構124於蝕刻溝槽122時所產生的結構損傷。在一些實施方式中,基板120與鰭狀結構124可在無氧氣的環境下進行退火。在一些實施方式中,上述之退火的溫度範圍為約攝氏900°至約攝氏1200°。在一些實施方式中,上述退火的時間為約15分鐘到約60分鐘。本段所述的退火可以選擇實施或不實施。在一些實施方式中,若基板120與鰭狀結構124的結構損傷在可接受的程度,此一退火也是可以省略的。
請參考第5圖。第二墊層140形成在第一墊層130上。在一些實施方式中,第二墊層140的材質可為例如氧化矽(SiO2)。第二墊層140的厚度範圍為約10Å到約100Å。第二墊層140可以例如化學氣相沉積(chemical vapor deposition,CVD),特別是電漿輔助原子層沉積(Plasma-Enhanced Atomic Layer Deposition,PEALD)而形成。
雖然第5圖具有形成在第一墊層130上的第二墊層140,但此第二墊層140也是可以被省略的。在一些實施方式中,若基板120及/或鰭狀結構124的結構損傷是在可接受的程度,也可以在沒有第二墊層140的情況下,將介電材料形成在溝槽122中。
請參考第6圖。介電材料150填滿溝槽122。介電材料150可包含例如氧化矽(SiO2)、氧化氮(Si3N4)、氮氧化矽(SiOxNy)或其組合物。在一些實施方式中,介電材料150可以例如化學氣相沉積(chemical vapor deposition,CVD)的方式形成。在一些實施方式中,介電材料150可為流動性介電材料以改善溝槽填充能力。流動性的填充材料包含例如氫倍半矽氧烷(hydrogen sisesquioxane,HSQ)、聚芳醚(poly-arylene ethers,PAE)、多孔性矽氧化物(也就是乾凝膠或氣凝膠)、甲基倍半矽氧烷(methyl silsesquioxane,MSQ)、甲基倍半矽氧烷(methyl silsesquioxane,MSQ)/氫倍半矽氧烷(hydrogen sisesquioxane,HSQ)、全氫矽氮烷(perhydrosilazane,TCPS)、全氫聚矽氮烷(perhydro-polysilazane,PSZ)、矽酸鹽(silicate)、矽氧烷(siloxane)或其組合。流動性介電材料可以例如旋轉塗佈的方式形成。
然後,可對流動性介電材料進行固化製程。在固化製程中,流動性介電材料將被烘乾以除去多餘的溶劑,並固化流動性介電材料。在一些實施方式中,固化製程的溫度範圍為約攝氏150°至約攝氏500°。
請參考第7圖。藉由移除製程移除在溝槽122外的多餘介電材料150。在一些實施方式中,多餘的介電材料150可藉由化學機械研磨(chemical mechanical polishing,CMP)的方式而移除。在一些實施方式中,也可以在化學機械研磨後結合電漿回蝕進一步去除多餘的介電材料150。硬式罩幕層110可被當作是研磨終止層,以保護其下的鰭狀結構124免於受到化學機械研磨的傷害。
請參考第8圖,可利用蝕刻製程來移除硬式罩幕層110,上述之蝕刻製程例如可為濕式蝕刻製程。在一些實施方式中,當硬式罩幕層110的材質為氮化矽(Si3N4)時,可使用熱磷酸(H3PO4)來移除硬式罩幕層110。
請參考第9圖。降低溝槽122中的介電材料150。亦即,移除溝槽122中的介電材料150的頂部。舉例來說,可藉由濕式蝕刻製程來移除介電材料150。在一些實施方式中,當介電材料150包含氧化矽(SiO2)時,可使用氫氟酸(HF)來降低溝槽122中的介電材料150。
溝槽122的至少一側牆S將被分離為頂部US與埋設部BS。在降低介電材料150的過程中,位於頂部US上的第一墊層130、第二墊層140與介電材料層150將會被一併移除。甚至,當阻障層115、第一墊層130、第二墊層140與介電材料150的材質本質上相同時,例如材質均為氧化矽(SiO2)時,阻障層115也會在降低介電材料150的過程中被移除。因此,在降低介電材料150後,頂部US將因為沒有第一墊層130、第二 墊層140與介電材料150而暴露,而埋設部BS則仍會被第一墊層130、第二墊層140及/或介電材料150所覆蓋。
由於鰭狀結構124與基板120在第一墊層130形成前被含氫氣體退火,因此存在氫基終端介面於第一墊層130與鰭狀結構124和基板120之間。也就是說,存在於第一墊層130與鰭狀結構124和基板120之間的氫基終端介面有至少一懸鍵結與至少一氫原子鍵結。當鰭狀結構124與基板120的材質為矽(Si)時,存在於第一墊層130與鰭狀結構124和基板120之間的介面具有至少一矽氫(Si-H)鍵結。甚至,當鰭狀結構124與基板120的材質為矽(Si)時,存在於第一墊層130與鰭狀結構124和基板120之間的介面可會被平滑化到原子等級的程度。
在第9圖中,側牆S的至少一埋設部BS與溝槽122的底面150為氫基終端表面。亦即,埋設部BS具有至少一懸鍵與至少一氫原子鍵結,及/或底面B有至少一懸鍵與至少一氫原子鍵結。當鰭狀結構124及/或基板120的材質為矽(Si)時,埋設部BS可具有至少一矽氫(Si-H)鍵結,及/或底面B可具有至少一矽氫(Si-H)鍵結。甚至,當鰭狀結構124及/或基板120的材質為矽(Si)時,埋藏部BS可能會平滑化到原子等級,及/或底面B可能會平滑化到原子等級。
在第9圖中,溝槽122的側牆S的至少一頂部US為氫基終端表面。亦即,頂部US具有至少一懸鍵與至少一氫原子鍵結。當鰭狀結構124的材質為矽(Si)時,頂部US有至少一矽氫(Si-H)鍵結。甚至,當鰭狀結構124的材質為矽(Si)時,頂部US可平滑化到原子等級的程度。
在一些實施方式中,硬式罩幕層110與阻障層115的移除可在降低介電材料150後再進行。也就是說,在頂部US上的第一墊層130、第二墊層140與介電材料150將會先被移除,然後才會移除硬式罩幕層110與阻障層115。
應當理解的是,上述所提實施方式可以透過增加額外的步驟來完成鰭式場效電晶體元件(fin type field effect transistor device,FinFET device)的製造。舉例來說,這些額外的步驟包括:形成閘極介電層、形成閘極、形成源極與汲極區域、形成接觸面、形成互連結構(例如導線、導孔、金屬層與能提供鰭式場效電晶體電路連通的介電層)、形成保護層,與封裝鰭式場效電晶體元件。
為了修復鰭狀結構124在蝕刻溝槽122時所造成的結構損傷,製造者可對鰭狀結構124進行含氫氣體退火。含氫氣體退火能夠平滑化溝槽122的底面B的側牆S。由於溝槽122的底面B與側牆S被含氫氣體退火平滑化,因此當撓曲力施加到鰭狀結構124上時,此撓曲力能被均勻分散到鰭狀結構124上,並且能夠免於裂縫的產生與成長。
雖然本揭露已以實施方式揭露如上,然其並不用以限定本揭露,任何熟習此技藝者,在不脫離本揭露的精神和範圍內,當可作各種的更動與潤飾,因此本揭露的保護範圍當視後附的申請專利範圍所界定者為準。
10~90‧‧‧步驟

Claims (10)

  1. 一種半導體結構的製造方法,包含:在一基板中形成複數個溝槽,其中該些溝槽定義出至少一鰭狀結構於其間;對該鰭狀結構進行含氫氣體退火,其中該鰭狀結構具有至少一氫基終端表面;在該些溝槽中形成一介電材料;以及降低該些溝槽中的該介電材料。
  2. 如申請專利範圍第1項所述之半導體結構的製造方法,其中該含氫氣體退火是在含有水蒸氣、氨氣或上述之任意組合的環境下進行。
  3. 如申請專利範圍第1項所述之半導體結構的製造方法,更包含:於形成該介電材料前及對該鰭狀結構進行含氫氣體退火後,在該些溝槽的側牆及底面上形成至少一第一墊層。
  4. 一種半導體結構的製造方法,包含:在具有含氫氣體的環境下退火一基板,其中該基板具有複數個鰭狀結構以及至少一溝槽,該些鰭狀結構設置在該基板上,且該至少一溝槽將該些鰭狀結構隔開,且該鰭狀結構具有至少一氫基終端表面; 以一介電材料填滿該溝槽;以及移除該介電材料的一頂部以暴露出該些鰭狀結構的頂部。
  5. 一種半導體結構,包含:一基板,具有複數個溝槽,以定義出至少一鰭狀結構於其間,其中該鰭狀結構具有至少一氫基終端(hydrogen-terminated)表面;以及一介電材料,設置在該溝槽中,且環繞該鰭狀結構之一底部。
  6. 如申請專利範圍第5項所述之半導體結構,其中該氫基終端表面具有至少一懸鍵,且該懸鍵與至少一氫原子鍵結。
  7. 如申請專利範圍第5項所述之半導體結構,其中該氫基終端表面平滑化到原子等級程度。
  8. 如申請專利範圍第5項所述之半導體結構,其中該鰭狀結構的材質包含矽(Si),且該氫基終端表面具有至少一矽氫(Si-H)鍵結。
  9. 如申請專利範圍第5項所述之半導體結構,其中該些溝槽中至少一者具有至少一側牆,該側牆具有一埋 設部被該介電材料所覆蓋,且該埋設部具有至少一懸鍵與至少一氫原子鍵結。
  10. 如申請專利範圍第5項所述之半導體結構,其中該些溝槽中至少一者具有一底面被該介電材料所覆蓋,且該底面有至少一懸鍵與至少一氫原子鍵結。
TW104134210A 2015-04-24 2015-10-19 半導體結構及其製造方法 TWI579905B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US201562152192P 2015-04-24 2015-04-24
US14/738,527 US10818558B2 (en) 2015-04-24 2015-06-12 Semiconductor structure having trench and manufacturing method thereof

Publications (2)

Publication Number Publication Date
TW201639010A TW201639010A (zh) 2016-11-01
TWI579905B true TWI579905B (zh) 2017-04-21

Family

ID=57110162

Family Applications (1)

Application Number Title Priority Date Filing Date
TW104134210A TWI579905B (zh) 2015-04-24 2015-10-19 半導體結構及其製造方法

Country Status (5)

Country Link
US (3) US10818558B2 (zh)
KR (1) KR101770472B1 (zh)
CN (1) CN106067422B (zh)
DE (1) DE102015111024B4 (zh)
TW (1) TWI579905B (zh)

Families Citing this family (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR102424963B1 (ko) * 2015-07-30 2022-07-25 삼성전자주식회사 집적회로 소자 및 그 제조 방법
WO2017200845A1 (en) * 2016-05-20 2017-11-23 Lumileds Llc Method of forming a p-type layer for a light emitting device
US10068991B1 (en) * 2017-02-21 2018-09-04 International Business Machines Corporation Patterned sidewall smoothing using a pre-smoothed inverted tone pattern
CN108630604B (zh) * 2017-03-21 2020-12-18 中芯国际集成电路制造(上海)有限公司 半导体装置及其制造方法
US10622481B2 (en) * 2018-08-07 2020-04-14 United Microelectronics Corp. Method of rounding corners of a fin
US10930768B2 (en) 2018-10-18 2021-02-23 Samsung Electronics Co., Ltd. Low current leakage finFET and methods of making the same
US10957786B2 (en) 2018-10-18 2021-03-23 Samsung Electronics Co., Ltd. FinFET with reduced extension resistance and methods of manufacturing the same
US11189497B2 (en) * 2019-05-17 2021-11-30 Taiwan Semiconductor Manufacturing Company, Ltd. Chemical mechanical planarization using nano-abrasive slurry
US20220231122A1 (en) * 2021-01-20 2022-07-21 Changxin Memory Technologies, Inc. Semiconductor structure and manufacturing method thereof

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TW201242012A (en) * 2010-12-29 2012-10-16 Globalfoundries Sg Pte Ltd FinFET
TW201320340A (zh) * 2011-11-10 2013-05-16 Taiwan Semiconductor Mfg 鰭式場效電晶體及其製造方法
CN103515213A (zh) * 2012-06-25 2014-01-15 中芯国际集成电路制造(上海)有限公司 形成FinFET栅介质层的方法和形成FinFET的方法

Family Cites Families (27)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100421046B1 (ko) * 2001-07-13 2004-03-04 삼성전자주식회사 반도체 장치 및 그 제조방법
KR100428768B1 (ko) * 2001-08-29 2004-04-30 삼성전자주식회사 트렌치 소자 분리형 반도체 장치 및 그 형성 방법
KR100426484B1 (ko) 2001-12-22 2004-04-14 주식회사 하이닉스반도체 플래쉬 메모리 셀 및 그의 제조방법
KR20030058576A (ko) 2001-12-31 2003-07-07 주식회사 하이닉스반도체 반도체 소자의 소자 분리막 형성 방법
KR100521382B1 (ko) * 2003-06-30 2005-10-12 삼성전자주식회사 핀 전계효과 트랜지스터 제조 방법
KR100595858B1 (ko) 2003-09-18 2006-07-03 동부일렉트로닉스 주식회사 반도체 소자 제조방법
DE102005046711B4 (de) * 2005-09-29 2007-12-27 Infineon Technologies Austria Ag Verfahren zur Herstellung eines vertikalen MOS-Halbleiterbauelementes mit dünner Dielektrikumsschicht und tiefreichenden vertikalen Abschnitten
KR100748261B1 (ko) 2006-09-01 2007-08-09 경북대학교 산학협력단 낮은 누설전류를 갖는 fin 전계효과트랜지스터 및 그제조 방법
KR100806799B1 (ko) 2006-09-18 2008-02-27 동부일렉트로닉스 주식회사 이미지 센서의 제조 방법
KR100914293B1 (ko) 2007-11-07 2009-08-27 주식회사 하이닉스반도체 반도체소자의 트렌치 소자분리막 형성방법
US8263462B2 (en) 2008-12-31 2012-09-11 Taiwan Semiconductor Manufacturing Company, Ltd. Dielectric punch-through stoppers for forming FinFETs having dual fin heights
US8187928B2 (en) * 2010-09-21 2012-05-29 Taiwan Semiconductor Manufacturing Company, Ltd. Methods of forming integrated circuits
EP2455967B1 (en) * 2010-11-18 2018-05-23 IMEC vzw A method for forming a buried dielectric layer underneath a semiconductor fin
US8871576B2 (en) 2011-02-28 2014-10-28 International Business Machines Corporation Silicon nanotube MOSFET
CN102867774A (zh) 2011-07-06 2013-01-09 中芯国际集成电路制造(上海)有限公司 浅沟槽隔离的制造方法
US8426277B2 (en) 2011-09-23 2013-04-23 United Microelectronics Corp. Semiconductor process
US8835262B2 (en) * 2013-01-08 2014-09-16 Globalfoundries Inc. Methods of forming bulk FinFET devices by performing a recessing process on liner materials to define different fin heights and FinFET devices with such recessed liner materials
US9123771B2 (en) 2013-02-13 2015-09-01 Globalfoundries Inc. Shallow trench isolation integration methods and devices formed thereby
US8895446B2 (en) 2013-02-18 2014-11-25 Taiwan Semiconductor Manufacturing Company, Ltd. Fin deformation modulation
US9087870B2 (en) * 2013-05-29 2015-07-21 GlobalFoundries, Inc. Integrated circuits including FINFET devices with shallow trench isolation that includes a thermal oxide layer and methods for making the same
US9142474B2 (en) 2013-10-07 2015-09-22 Taiwan Semiconductor Manufacturing Company, Ltd. Passivation structure of fin field effect transistor
US9159833B2 (en) 2013-11-26 2015-10-13 Taiwan Semiconductor Manufacturing Company, Ltd. Fin structure of semiconductor device
KR20160001114A (ko) * 2014-06-26 2016-01-06 에스케이하이닉스 주식회사 반도체 장치 제조 방법
US9224675B1 (en) * 2014-07-31 2015-12-29 International Business Machines Corporation Automatic capacitance tuning for robust middle of the line contact and silicide applications
US9536999B2 (en) * 2014-09-08 2017-01-03 Infineon Technologies Ag Semiconductor device with control structure including buried portions and method of manufacturing
CN105990239B (zh) * 2015-02-06 2020-06-30 联华电子股份有限公司 半导体元件及其制作方法
US9502499B2 (en) * 2015-02-13 2016-11-22 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device structure having multi-layered isolation trench structures

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TW201242012A (en) * 2010-12-29 2012-10-16 Globalfoundries Sg Pte Ltd FinFET
TW201320340A (zh) * 2011-11-10 2013-05-16 Taiwan Semiconductor Mfg 鰭式場效電晶體及其製造方法
CN103515213A (zh) * 2012-06-25 2014-01-15 中芯国际集成电路制造(上海)有限公司 形成FinFET栅介质层的方法和形成FinFET的方法

Also Published As

Publication number Publication date
US20230154799A1 (en) 2023-05-18
DE102015111024B4 (de) 2022-05-05
DE102015111024A1 (de) 2016-10-27
US10818558B2 (en) 2020-10-27
CN106067422B (zh) 2020-11-06
TW201639010A (zh) 2016-11-01
US20210043518A1 (en) 2021-02-11
US11551979B2 (en) 2023-01-10
KR20160126838A (ko) 2016-11-02
CN106067422A (zh) 2016-11-02
KR101770472B1 (ko) 2017-08-22
US20160315014A1 (en) 2016-10-27

Similar Documents

Publication Publication Date Title
TWI579905B (zh) 半導體結構及其製造方法
CN105765728B (zh) 使用可流动电介质材料的沟槽隔离的技术
US9343551B2 (en) Methods for manufacturing a fin structure of semiconductor device
KR101615814B1 (ko) 얕은 트렌치 격리 구조물의 형성 방법
US9093531B2 (en) Fin structure of semiconductor device
JP2004363595A5 (zh)
JP2004363595A (ja) ポリシラザンをベースとする材料による高アスペクト比の分離構造体の充填
JP2010263129A (ja) 半導体装置およびその製造方法
CN107346759B (zh) 半导体结构及其制造方法
TW201803014A (zh) 半導體裝置及其形成方法
TWI585969B (zh) 半導體結構與形成半導體結構的方法
US9799564B2 (en) Semiconductor structure having contact holes between sidewall spacers and fabrication method there of
US9006079B2 (en) Methods for forming semiconductor fins with reduced widths
CN101770974A (zh) 浅沟槽隔离结构的制造方法
CN105895504B (zh) 具有反锥形的介电结构及其形成方法
CN102956535B (zh) 半导体器件及其制造方法
CN106856189B (zh) 浅沟槽隔离结构及其形成方法
KR100854870B1 (ko) 반도체 소자의 제조방법
CN105914178A (zh) 浅沟槽隔离结构的制作方法
CN107958933B (zh) 半导体装置及其制造方法
TWI703673B (zh) 半導體裝置的製造方法以及半導體裝置
TWI508223B (zh) 用於製造具有均勻梯狀高度之隔離區的半導體裝置的方法
JP5288814B2 (ja) 半導体装置の製造方法
KR100929636B1 (ko) 핀 트랜지스터 제조방법
CN115911128A (zh) 一种功率半导体器件及其制备方法