TWI567895B - 形成積體電路結構的方法及其結構 - Google Patents

形成積體電路結構的方法及其結構 Download PDF

Info

Publication number
TWI567895B
TWI567895B TW103144594A TW103144594A TWI567895B TW I567895 B TWI567895 B TW I567895B TW 103144594 A TW103144594 A TW 103144594A TW 103144594 A TW103144594 A TW 103144594A TW I567895 B TWI567895 B TW I567895B
Authority
TW
Taiwan
Prior art keywords
dielectric layer
layer
treating
dielectric
high density
Prior art date
Application number
TW103144594A
Other languages
English (en)
Other versions
TW201533870A (zh
Inventor
郭涵馨
柯忠祁
楊能傑
黃富明
蔡及銘
陳亮光
Original Assignee
台灣積體電路製造股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 台灣積體電路製造股份有限公司 filed Critical 台灣積體電路製造股份有限公司
Publication of TW201533870A publication Critical patent/TW201533870A/zh
Application granted granted Critical
Publication of TWI567895B publication Critical patent/TWI567895B/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/528Geometry or layout of the interconnection structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02337Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02343Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a liquid
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02359Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment to change the surface groups of the insulating layer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/31051Planarisation of the insulating layers
    • H01L21/31053Planarisation of the insulating layers involving a dielectric removal step
    • H01L21/31055Planarisation of the insulating layers involving a dielectric removal step the removal being a chemical etching step, e.g. dry etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31144Etching the insulating layers by chemical or physical means using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/32115Planarisation
    • H01L21/3212Planarisation by chemical mechanical polishing [CMP]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76822Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc.
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76822Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc.
    • H01L21/76826Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc. by contacting the layer with gases, liquids or plasmas
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/7684Smoothing; Planarisation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/5226Via connections in a multilevel interconnection structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/53204Conductive materials
    • H01L23/53209Conductive materials based on metals, e.g. alloys, metal silicides
    • H01L23/53228Conductive materials based on metals, e.g. alloys, metal silicides the principal metal being copper
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/5329Insulating materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/5329Insulating materials
    • H01L23/53295Stacked insulating layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76807Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/53204Conductive materials
    • H01L23/53209Conductive materials based on metals, e.g. alloys, metal silicides
    • H01L23/53214Conductive materials based on metals, e.g. alloys, metal silicides the principal metal being aluminium
    • H01L23/53223Additional layers associated with aluminium layers, e.g. adhesion, barrier, cladding layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/53204Conductive materials
    • H01L23/53209Conductive materials based on metals, e.g. alloys, metal silicides
    • H01L23/53228Conductive materials based on metals, e.g. alloys, metal silicides the principal metal being copper
    • H01L23/53238Additional layers associated with copper layers, e.g. adhesion, barrier, cladding layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/53204Conductive materials
    • H01L23/53209Conductive materials based on metals, e.g. alloys, metal silicides
    • H01L23/53242Conductive materials based on metals, e.g. alloys, metal silicides the principal metal being a noble metal, e.g. gold
    • H01L23/53252Additional layers associated with noble-metal layers, e.g. adhesion, barrier, cladding layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/53204Conductive materials
    • H01L23/53209Conductive materials based on metals, e.g. alloys, metal silicides
    • H01L23/53257Conductive materials based on metals, e.g. alloys, metal silicides the principal metal being a refractory metal
    • H01L23/53266Additional layers associated with refractory-metal layers, e.g. adhesion, barrier, cladding layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/0001Technical content checked by a classifier
    • H01L2924/0002Not covered by any one of groups H01L24/00, H01L24/00 and H01L2224/00

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • Plasma & Fusion (AREA)
  • Geometry (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Chemical & Material Sciences (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)

Description

形成積體電路結構的方法及其結構
本發明係關於形成積體電路結構的方法及其裝置。
在積體電路中,金屬鑲嵌法為常見用來形成內連結構的方法,其中包含金屬導線以及連通柱。一般來說,這種方法包含形成一開口於一介電層,藉以分隔直向金屬化層。該開口通常透過光刻以及蝕刻技術形成。開口形成之後,銅或銅合金填充開口。在介電層表面上多餘的銅接著透過化學機械拋光的方式移除。剩下的銅或銅合金形成連通柱以及/或金屬導線。
金屬鑲嵌法中常使用銅,因為銅的電阻較小。通常一個內連結構係由複數個金屬化層構成,每層皆包含複數個銅導線。不同金屬化層的銅導線透過連通柱互相內連。然而,使用銅大多是因為其電性特徵,其它材料也可以被使用。
本發明提供一種內連結構以及在半導體裝置形成該內連結構的方法。該內連結構透過處理一介電層的一上 表面以產生一高密度層。處理方式包含,利用六甲基二矽氮烷基、三甲基矽烷基二乙胺或O-三甲基矽烷基乙酸酯產生一高密度單層。處理過後,該介電層被圖案化以產生開口,接下來一導電材料填入該開口。多餘的導電材料透過化學機械拋光移除。
本發明還提供一種形成積體電路結構的方法,包含提供一基板,該基板具有一介電層形成於上。接下來,形成一高密度單層在該介電層上,該高密度單層的一密度大於該介電層。該介電層被圖案化以形成一或多個開口。該開口之後被導電材料填充,該導電材料延伸於該高密度單層之一上表面。至少一部份延伸於該高密度單層之上表面的導電材料最後被移除。
本發明又提供一種積體電路結構包含一基板以及一高密度單層。該基板具有一介電層形成其上,該高密度單層位於該介電層之上
100‧‧‧晶圓
102‧‧‧第一介電層
104‧‧‧導電元件
106‧‧‧蝕刻終止層
108‧‧‧第二介電層
109‧‧‧高密度單層
110‧‧‧圖案化遮罩
112‧‧‧硬質遮罩層
114‧‧‧抗反射塗佈層
230‧‧‧凹槽開口
232‧‧‧連通柱開口
330‧‧‧導電材料
420‧‧‧介電層
502-510‧‧‧步驟
本發明之上述和其他態樣、特徵及其他優點參照說明書內容並配合附加圖式得到更清楚的了解,其中:第1-4圖係根據本發明一實施方式繪示製造一半導體裝置過程不同階段的示意圖。
第5圖係根據本發明一實施方式繪示製造半導體裝置的方法。
為了使本揭示內容的敘述更加詳盡與完備,下文針對了本發明的實施態樣與具體實施例提出了說明性的描述;但這並非實施或運用本發明具體實施例的唯一形式。以下所揭露的各實施例,在有益的情形下可相互組合或取代,也可在一實施例中附加其他的實施例,而無須進一步的記載或說明。在以下描述中,將詳細敘述許多特定細節以使讀者能夠充分理解以下的實施例。然而,可在無此等特定細節之情況下實踐本發明之實施例。
本發明提供一種在積體電路中形成金屬部分於金屬化層的方法。根據本發明一實施例製作的中間階段於本說明書繪示。在本發明不同角度以及實施例中,相同的元件皆使用同一符號。
第1-4圖係根據本發明一實施方式繪示製造一半導體裝置過程不同階段的示意圖。請參照第1圖。晶圓100具有一第一介電層102形成其上。該晶圓100可包含一基板〈未特別繪示〉位於該第一介電層102下層,該晶圓100還可包含,舉例來說,大型矽電晶體、可摻雜或不摻雜、或一SOI〈semiconductor-on-insulator〉基板的主動層。通常,一SOI基板包含一層半導體材料,像是矽形成在一絕緣層上。絕緣層可以為,舉例來說,埋矽氧化層〈buried oxide,BOX〉或氧化矽層。絕緣層設置於一基板上,通常是矽或玻璃基板。其它基板,像是一多層基板或梯度基板皆可應用於本發明。
根據本發明一實施例,電路形成於基板上,且可為任何適用特定應用程式型態的電路。根據本發明一實施例,電路包含設置在基板上的電子裝置,一或多個介電層覆蓋電子裝置。金屬層可形成於介電層之間,提供電子裝置之間的電性訊號路徑,以下將詳述。電子裝置亦可形成於一或多個介電層中。
舉例來說,電路可包含不同的N型金屬氧化半導體〈NMOS〉以及/或P型金屬氧化半導體〈PMOS〉的裝置,像是電晶體、電容、電阻、二極體、光二極體、保險絲或其它元件彼此互連以執行一或多個功能。功能可包含記憶體、處理器、感測器、放大器、電源分配器、輸入/輸出電路或其它。以上僅為說明範例,本領域習知技藝者可應用本發明於其它裝置,且本發明不以上述範例為限。其它電路也可應用本發明於適當的裝置上。
第一介電層102可以由,舉例來說,低介電常數材料〈具有比氧化矽的介電常數更低的材料〉,像是氮氧化矽、磷矽酸鹽玻璃〈phosphosilicate glass,PSG〉、硼磷矽酸玻璃〈borophosphosilicate glass,BPSG〉、氟化矽玻璃〈fluorinated silicate glass,FSG〉、SiOxCy、液態二氧化矽、液態聚合物、矽晶體及其化合物、組合物或組合。上述材料可透過任何合適的方法,像是旋轉塗佈、化學氣相沉積〈chemical vapor deposition,CVD〉以及電漿輔助化學蒸度〈plasma-enhanced CVD,PECVD〉形成。其它材料,像是超低介電常數材料〈例如:介電常數低 於2.9〉,像是介電常數為2.5-2.6之間的材料也可被使用。上述材料以及步驟僅為說明,其它材料以及步驟仍可被使用。
第1圖還繪示了導電元件104形成在第一介電層102中。導電元件104可由以下方式形成,藉由光蝕刻在第一介電層102產生多個開口。一般來說,光蝕刻包含塗佈一光阻材料〈未繪示〉,接著暴露光阻材料在一預設的圖案下。然後,一部分的光阻材料被移除,將其下的元件依據之前的圖案被暴露出來。剩餘的光阻材料保護其下的元件不受接下來的步驟影響,像是蝕刻,蝕刻步驟例如是用來形成位於第一介電層102中、之後又被導電元件104填滿的開口。蝕刻可為乾蝕刻或濕蝕刻、非等向性或等向性蝕刻,例如:非等向性乾蝕刻。開口形成於第一介電層102之後,導電材料沉積填滿開口。導電元件104可包含金屬、金屬元素、過度型金屬或其他像是銅內接線。導電元件104可包含一或多個阻絕/黏著層。
在第一介電層102以及導電元件104之上可選擇性地設置一蝕刻終止層〈ESL〉106。一般來說,當形成連通柱或接觸點時,蝕刻終止層提供一終止蝕刻的機制。蝕刻終止層為一介電材料與相鄰的層具有不同的蝕刻選擇性,例如:蝕刻終止層與其下的第一介電層或基板有不同的蝕刻選擇性。根據本發明一實施例,蝕刻終止層可由氮化矽、碳氮化矽、碳氧化矽、碳矽及其組合,透過化學氣相沉積或電漿輔助化學氣相沉積形成。
根據本發明部分實施例,在蝕刻終止層106上設置一第二介電層108。第二介電層108之後將進行圖案化用來形成像是導電線路以及/或連通柱。舉例來說,第二介電層108可以被圖案化以形成延伸至導電元件104的連通柱,並且形成導電線路內接不同的電性元件。
第二介電層108可以由與第一介電層102類似的材料形成。然而,不同的材料以及製成方式也可以用來形成第二介電層108。此外,第一介電層102可以由與第二介電層108相同或不同的材料形成。
第一介電層102與導電元件104僅供參考。根據本發明部分實施例,第一介電層102與導電元件104可以被替換為半導體基板,選擇性設置蝕刻終止層106。舉例來說,根據本發明部分實施例,第二介電層108〈被圖案化的一層〉為一中介介電層形成在一基板上並且具有電性裝置設置其上。在此一實施例,蝕刻終止層106可以為例如:一氮化矽接觸蝕刻終止層/應力層形成於基板上的電晶體上。第二介電層108可以接著被圖案化以形成與電性裝置的接觸點,像是一源極或汲極與電晶體的接觸點。
根據本發明部分實施例,第二介電層108暴露的表面經過一製程以產生一疏水性表面,或是減少該表面的親水性。第1圖繪示一實施例中一高密度單層109形成於第二介電層108上。高密度單層109可由六甲基二矽氮烷基、三甲基矽烷基二乙胺或O-三甲基矽烷基乙酸酯形成。高密度單層109具有一較高的密度,其密度大於下方的第二介電 層108。一般來說,低介電常數材料的密度介於約0.9至1.4克/平方公分。舉例來說,如果第二介電層108由一密度約為1.0克/平方公分的材料所形成,高密度單層109將會有大於1.0克/平方公分的密度。
根據本發明部分實施例,可將第二介電層108經過六甲基二矽氮烷基處理,將晶圓置入一處理室,並將第二介電層108暴露在六甲基二矽氮烷基蒸氣中,在攝氏90度或更高〈例如:約攝氏90度至180度〉,處理時間約20至40分鐘,像是約30分鐘。六甲基二矽氮烷基蒸氣可為純六甲基二矽氮烷基,或與甲苯、苯或其它化學物質稀釋後的六甲基二矽氮烷基,稀釋後的六甲基二矽氮烷基在分壓約為10至30厘米汞柱狀態下濃度約為5%至10%。處理室可進一步包含低濃度的氧氣,分壓約1毫托。在暴露於六甲基二矽氮烷基蒸氣之後,清理程序像是去離子水潤洗、異丙醇〈IPA〉潤洗、丙酮潤洗以及或其它潤洗皆適用,以清除未反應的部分。
根據本發明部分實施例,第二介電層108經過三甲基矽烷基二乙胺處理,將晶圓浸潤於在三甲基矽烷基二乙胺稀釋溶液中,在攝氏90度或更高〈例如:約攝氏90度至180度〉,處理時間約20至40分鐘,像是約30分鐘。處理室可進一步包含低濃度的氧氣,分壓約1毫托。在沉浸於三甲基矽烷基二乙胺溶液之後,清理程序像是去離子水潤洗、異丙醇〈IPA〉潤洗、丙酮潤洗以及或其它潤洗皆適用,以清除未反應的部分。
根據本發明部分實施例,第二介電層108經過O-三甲基矽烷基乙酸酯處理,將晶圓浸潤於O-三甲基矽烷基乙酸酯溶液中,在攝氏90度或更高〈例如:約攝氏90度至180度〉,處理時間約20至40分鐘,像是約30分鐘。處理室可進一步包含低濃度的氧氣,分壓約1毫托。在沉浸於O-三甲基矽烷基乙酸酯溶液之後,清理程序像是去離子水潤洗、異丙醇〈IPA〉潤洗、丙酮潤洗以及或其它潤洗皆適用,以清除未反應的部分。
上述實施例中,末端羥基被三甲基矽基〈Si(CH3)3〉取代,由此產生一高密度單層。接著進行化學機械拋光,使得二價銅〈Cu2+〉與一價銅〈Cu+〉殘餘在其下層的表面上,造成與時間相依的介電質崩壞〈time-dependent dielectric breakdown,TDDB〉以及電壓崩壞〈voltage breakdown,VBD〉。這種問題在小型節點時特別顯著,像是小於20奈米或更小的情況,尤其是內連線像是內連線間距距離縮減。
第1圖繪示使用一圖案化遮罩110於接下來的蝕刻過程。根據本發明部分實施例,圖案化遮罩110包含一光阻材料設置於第二介電層108之上。如第1圖所示,光阻材料被設置、曝光以及發展使得其下層的表面暴露。
一硬質遮罩層112可以被用來輔助接下來的製程。一般來說,硬質遮罩層112由低蝕刻率的材料形成,低蝕刻率為相較於其下層材料而言。舉例來說,如果要被圖案化的第二介電層108是由氧化矽組成,硬質遮罩層112可以 由,例如:氮化矽組成。在這種情形下,硬質遮罩層112的氮化矽會有比第二介電層108的氧化矽更低的蝕刻率。如此一來,硬質遮罩層112可作為蝕刻第二介電層108的遮罩。硬質遮罩層112可包含多層。基於使用的材料以及蝕刻液,硬質遮罩層112的厚度在蝕刻過程中足夠提供給其下的元件保護。根據本發明部分實施例,硬質遮罩層112的厚度介於約200至600
一抗反射塗佈〈anti-reflective coating,ART〉層可選擇性地設置於高密度單層109上,用來輔助接下來的以光蝕刻圖案化其上層的製程,像是圖案化遮罩110。抗反射塗佈層114防止在接下來的光蝕刻製程中的輻射從下層反射,且干擾曝光過程。類似的干擾會增加光蝕刻製程的臨界尺寸。抗反射塗佈層114可包含氮氧化矽、聚合物或其它類似材料或其組合。抗反射塗佈層114可由化學氣相沉積、塗佈或其他方式及其組合形成。基於材料以及波長,抗反射塗佈層114的厚度足夠提供適當的抗反射效能。根據本發明部分實施例,抗反射塗佈層114的厚度介於約200至1000
請參考第2圖。根據本發明部分實施例,第2圖繪示晶圓100在一或多個將第二介電層108圖案化的步驟後的態樣。圖案化遮罩110〈見第1圖〉的圖案被轉移到下層。此處圖示的圖案僅為其中一種實施方式。圖案化可包含導線、連通柱的形成或其它特徵,且可以包含雙重金屬鑲嵌法 圖案化技術,像是連通柱先驅圖案化以及/或凹槽先驅圖案化。上述技術也可使用雙圖案微影,利用多道光阻層。
舉例來說,第2圖繪示凹槽開口230以及連通柱開口232形成於第二介電層108。接下來,在凹槽開口230以及連通柱開口232注入導電材料。比較淺的凹槽開孔230形成導電線路,連通柱開口232形成導電連通柱內接金屬化層。
由於蝕刻製程,部分的圖案化遮罩110以及/或硬質遮罩層112以及/或抗反射塗佈層114〈見第1圖〉可能被消耗。第2圖根據本發明部分實施例繪示一部分的硬質遮罩層112仍被保留。剩餘的圖案化遮罩110以及/或硬質遮罩層112可以選擇性地移除。
根據本發明部分實施例,第3圖繪示一導電材料330注入凹槽開口230以及連通柱開口232〈見第2圖〉。導電材料330可以為銅,並透過化學氣相沉積、電鍍、無電電鍍、原子層氣相沉積、物理氣相沉積等方式形成,其他適合的材料像是鋁、鎢、氮化鎢、釕、銀、金、銠、鉬、鎳、鈷、鎘、鋅及其合金、組合或其它皆可形成導電材料。導電材料330沉積於凹槽開口230以及連通柱開口232直到導電材料330填滿凹槽開口230以及連通柱開口232,並超過硬質遮罩層112〈如果實施例中包含硬質遮罩層〉。
選擇性地,一或多個阻絕/黏著層〈未繪示〉在形成導電材料330之前,可形成於凹槽開口230以及連通柱開口232的側壁。根據本發明部分實施例,當使用銅為導電 材料,一阻絕層可以減少銅擴散至鄰近的介電材料。根據本發明部分實施例,阻絕層可由一或多層的氮化鈦、鈦、鉭、氮化鉭、氮化鎢、釕、銠、白金、其它貴金屬形成、其它耐高溫金屬及其氮化物、組合或其它材料形成。阻絕層可透過化學氣相沉積形成,其他方法像是物理氣相沉積或原子氣相沉積也可以形成阻絕層。
根據本發明部分實施例,第4圖繪示移除部份多餘的導電材料330。形成在硬質遮罩層112上的多餘導電材料330〈以及任何阻絕/黏著層〉透過拋光被移除,像是化學物理拋光〈chemical mechanical polishing,CMP〉。多餘的抗反射塗佈層114也可在拋光過程中一併被移除。
之後可透過其它製程完成整個製造。舉例來說,第4圖繪示另一個介電層420形成在高密度單層109上。該介電層420可為,例如:接下來的蝕刻製程所需的一蝕刻終止層,一介電層其上鋪設一金屬化層,一鈍化層或其它。
如前所述,高密度單層109使得第二介電層108的表面傾向疏水性,此一疏水性特徵減少或排除殘量的二價銅/一價銅留在第二介電層108的表面。如此一來,時間相依的介電質崩壞〈TDDB〉以及電壓崩壞〈VBD〉皆可減少發生的機會,尤其是在小型節點,像是20奈米或更低的狀況下。
第5圖根據本發明部分實施例繪示一種形成內連接的方法流程。由步驟502開始,提供一個基板,該基板具有一介電層形成其上,且該介電層之後會被圖案化。舉例 來說,會被圖案化的層可以是一介電層,像是用在半導體裝置的金屬化層。步驟504,處理介電層的一表面,使得介電層為疏水性或較不親水。處理方式可包含形成一高密度單層可參考前述以及第1圖。
接下來,步驟506,圖案化介電層,透過例如:光蝕刻技術,在介電層產生開口,可參考前述以及第2圖。圖案化可包含形成一抗反射塗佈層以及一硬質遮罩層,可參考前述以及第1圖。圖案化之後,注入導電材料於開口,此為步驟508,可參考前述以及第3圖。
步驟510,移除多餘的導電材料,可參考前述以及第4圖。
根據本發明部分實施例,提供一種形成積體電路結構的方法。方法包含提供一具有介電層的基板。處理基板表面以形成一高密度層。圖案化介電層以形成開口,導電材料填滿開口。
根據本發明部分實施例,提供一種形成積體電路結構的方法。方法包含提供一具有介電層的基板,且形成一高密度單層在介電層之上。圖案化介電層以及高密度單層以形成一或多個開口,導電材料填滿開口。自高密度單層表面移除多餘的導電材料。
根據本發明部分實施例,提供一積體電路結構。該結構包含一具有介電層形成其上的基板,以及一高密度單層形成於介電層之上。
雖然本發明已以實施方式揭露如上,然其並非用以限定本發明,任何熟習此技藝者,在不脫離本發明之精神和範圍內,當可作各種之更動與潤飾,因此本發明之保護範圍當視後附之申請專利範圍所界定者為準。
100‧‧‧晶圓
102‧‧‧第一介電層
104‧‧‧導電元件
106‧‧‧蝕刻終止層
108‧‧‧第二介電層
109‧‧‧高密度單層
330‧‧‧導電材料
420‧‧‧介電層

Claims (10)

  1. 一種形成積體電路結構的方法,包含:提供一基板,該基板具有一介電層形成於其上;處理該介電層一表面,以形成一具有比該介電層密度更高的層於該介電層的表面上;清洗該介電層表面;圖案化該介電層以形成開口;以及在該介電層的開口中形成一導電材料。
  2. 如請求項1所述的方法,其中處理該介電層之表面以產生一高密度的單層於該介電層之上。
  3. 如請求項1所述的方法,其中處理該介電層之表面更包含透過氣相六甲基二矽氮烷處理該介電層表面。
  4. 如請求項1所述的方法,其中處理該介電層一表面之一氧濃度分壓約1毫托。
  5. 如請求項1所述的方法,其中透過六甲基二矽氮烷處理還包含透過三甲基矽烷基二乙胺處理該介電層的表面。
  6. 如請求項5所述的方法,其中透過三甲基矽烷基二乙胺處理該介電層的表面還包含浸沒該介電層於一稀釋的三甲基矽烷基二乙胺溶液。
  7. 如請求項1所述的方法,其中處理該介電層之表面還包含透過O-三甲基矽烷基乙酸酯處理該介電層表面。
  8. 如請求項7所述的方法,其中透過O-三甲基矽烷基乙酸酯處理該介電層的表面還包含浸沒該介電層於一稀釋的O-三甲基矽烷基乙酸酯溶液。
  9. 如請求項1所述的方法,還包含利用化學機械拋光自該介電層的表面移除多餘的導電材料。
  10. 一種積體電路結構,包含:一基板具有一介電層形成其上;以及一高密度單層位於該介電層之上,其中該高密度單層之一密度高於該介電層之一密度。
TW103144594A 2013-12-31 2014-12-19 形成積體電路結構的方法及其結構 TWI567895B (zh)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US14/145,397 US9460997B2 (en) 2013-12-31 2013-12-31 Interconnect structure for semiconductor devices

Publications (2)

Publication Number Publication Date
TW201533870A TW201533870A (zh) 2015-09-01
TWI567895B true TWI567895B (zh) 2017-01-21

Family

ID=53372203

Family Applications (1)

Application Number Title Priority Date Filing Date
TW103144594A TWI567895B (zh) 2013-12-31 2014-12-19 形成積體電路結構的方法及其結構

Country Status (5)

Country Link
US (3) US9460997B2 (zh)
KR (3) KR20150079437A (zh)
CN (1) CN104752338B (zh)
DE (1) DE102014118991A1 (zh)
TW (1) TWI567895B (zh)

Families Citing this family (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9460997B2 (en) * 2013-12-31 2016-10-04 Taiwan Semiconductor Manufacturing Company, Ltd. Interconnect structure for semiconductor devices
US9613862B2 (en) 2015-09-02 2017-04-04 International Business Machines Corporation Chamferless via structures
CN105552023A (zh) * 2016-02-26 2016-05-04 上海华力微电子有限公司 提高钴阻挡层沉积选择性的方法
US10475825B2 (en) * 2018-03-05 2019-11-12 Shenzhen China Star Optoelectronics Semiconductor Display Technology Co., Ltd. TFT backplane and manufacturing method thereof
CN110534423B (zh) * 2019-09-19 2021-10-26 武汉新芯集成电路制造有限公司 半导体器件及其制作方法
US11342222B2 (en) * 2019-09-26 2022-05-24 Taiwan Semiconductor Manufacturing Co., Ltd. Self-aligned scheme for semiconductor device and method of forming the same
CN112712764B (zh) * 2019-10-24 2022-09-02 深圳市百柔新材料技术有限公司 显示面板的制造方法
US11901228B2 (en) 2021-03-31 2024-02-13 Taiwan Semiconductor Manufacturing Co., Ltd. Self-aligned scheme for semiconductor device and method of forming the same
KR20230003836A (ko) * 2021-06-30 2023-01-06 한국알박(주) Pvd 방법을 통한 저저항 재료의 비저항 및 결정성 제어 방법

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6348407B1 (en) * 2001-03-15 2002-02-19 Chartered Semiconductor Manufacturing Inc. Method to improve adhesion of organic dielectrics in dual damascene interconnects
US20040152296A1 (en) * 2003-02-04 2004-08-05 Texas Instruments Incorporated Hexamethyldisilazane treatment of low-k dielectric films
US20090250815A1 (en) * 2008-04-03 2009-10-08 International Business Machines Corporation Surface treatment for selective metal cap applications

Family Cites Families (39)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4330569A (en) * 1979-05-25 1982-05-18 Ncr Corporation Method for conditioning nitride surface
KR970009612B1 (ko) * 1993-01-25 1997-06-14 마쯔시다 덴기 산교 가부시끼가이샤 반도체장치의 제조방법
US5429673A (en) * 1993-10-01 1995-07-04 Silicon Resources, Inc. Binary vapor adhesion promoters and methods of using the same
KR100253893B1 (ko) * 1994-11-22 2000-04-15 크래이그 엠. 스타우퍼 마이크로전자공학 응용분야용 비아민 감광제 접착 촉진제
US5998103A (en) * 1998-04-06 1999-12-07 Chartered Semiconductor Manufacturing, Ltd. Adhesion promotion method employing glycol ether acetate as adhesion promoter material
US6284657B1 (en) * 2000-02-25 2001-09-04 Chartered Semiconductor Manufacturing Ltd. Non-metallic barrier formation for copper damascene type interconnects
JP4752108B2 (ja) * 2000-12-08 2011-08-17 ソニー株式会社 半導体装置およびその製造方法
US6716770B2 (en) 2001-05-23 2004-04-06 Air Products And Chemicals, Inc. Low dielectric constant material and method of processing by CVD
WO2003077032A1 (en) 2002-03-04 2003-09-18 Supercritical Systems Inc. Method of passivating of low dielectric materials in wafer processing
US6677251B1 (en) * 2002-07-29 2004-01-13 Taiwan Semiconductor Manufacturing Co., Ltd Method for forming a hydrophilic surface on low-k dielectric insulating layers for improved adhesion
JP2004103971A (ja) * 2002-09-12 2004-04-02 Hitachi High-Technologies Corp ダマシン処理方法、ダマシン処理装置および、ダマシン構造
US6858524B2 (en) * 2002-12-03 2005-02-22 Asm International, Nv Method of depositing barrier layer for metal gates
US6939800B1 (en) * 2002-12-16 2005-09-06 Lsi Logic Corporation Dielectric barrier films for use as copper barrier layers in semiconductor trench and via structures
JP4342895B2 (ja) * 2003-10-06 2009-10-14 東京エレクトロン株式会社 熱処理方法及び熱処理装置
US6878616B1 (en) * 2003-11-21 2005-04-12 International Business Machines Corporation Low-k dielectric material system for IC application
US7037823B2 (en) * 2004-04-20 2006-05-02 Texas Instruments Incorporated Method to reduce silanol and improve barrier properties in low k dielectric ic interconnects
US7244631B1 (en) * 2004-06-21 2007-07-17 Silicon Light Machines Corporation MEMS surface modification for passive control of charge accumulation
JP4903373B2 (ja) * 2004-09-02 2012-03-28 ローム株式会社 半導体装置の製造方法
JP4619747B2 (ja) * 2004-11-01 2011-01-26 株式会社東芝 半導体装置の製造方法
US7265437B2 (en) 2005-03-08 2007-09-04 International Business Machines Corporation Low k dielectric CVD film formation process with in-situ imbedded nanolayers to improve mechanical properties
US8039049B2 (en) 2005-09-30 2011-10-18 Tokyo Electron Limited Treatment of low dielectric constant films using a batch processing system
US7947579B2 (en) 2006-02-13 2011-05-24 Stc.Unm Method of making dense, conformal, ultra-thin cap layers for nanoporous low-k ILD by plasma assisted atomic layer deposition
KR100776159B1 (ko) 2006-08-31 2007-11-12 동부일렉트로닉스 주식회사 이미지 센서 소자의 컬러필터 제조 방법
KR100816498B1 (ko) 2006-12-07 2008-03-24 한국전자통신연구원 표면 처리된 층을 포함하는 유기 인버터 및 그 제조 방법
US8242028B1 (en) * 2007-04-03 2012-08-14 Novellus Systems, Inc. UV treatment of etch stop and hard mask films for selectivity and hermeticity enhancement
FR2926162B1 (fr) * 2008-01-03 2017-09-01 Centre Nat De La Rech Scient - Cnrs Procede de modification localisee de l'energie de surface d'un substrat
US7838425B2 (en) * 2008-06-16 2010-11-23 Kabushiki Kaisha Toshiba Method of treating surface of semiconductor substrate
DE102009023378B4 (de) * 2009-05-29 2013-11-28 Globalfoundries Dresden Module One Limited Liability Company & Co. Kg Wiederherstellung einer hydrophoben Oberfläche empfindlicher dielektrischer Materialen mit kleinem ε in Mikrostrukturbauelementen
CN102148190A (zh) * 2010-02-09 2011-08-10 中芯国际集成电路制造(上海)有限公司 制作半导体互连结构的方法
US8178439B2 (en) * 2010-03-30 2012-05-15 Tokyo Electron Limited Surface cleaning and selective deposition of metal-containing cap layers for semiconductor devices
TWI509695B (zh) * 2010-06-10 2015-11-21 Asm Int 使膜選擇性沈積於基板上的方法
DE102010040071B4 (de) * 2010-08-31 2013-02-07 GLOBALFOUNDRIES Dresden Module One Ltd. Liability Company & Co. KG Verfahren zur Wiederherstellung von Oberflächeneigenschaften empfindlicher Dielektrika mit kleinem ε in Mikrostrukturbauelementen unter Anwendung einer in-situ-Oberflächenmodifizierung
JP2012238811A (ja) * 2011-05-13 2012-12-06 Toshiba Corp 半導体不揮発性記憶装置およびその製造方法
US20130052366A1 (en) * 2011-08-24 2013-02-28 Giin-Shan Chen Nano-seeding via dual surface modification of alkyl monolayer for site-controlled electroless metallization
KR101997073B1 (ko) * 2012-06-11 2019-10-02 삼성디스플레이 주식회사 박막 트랜지스터 기판 및 이의 제조 방법
US8927388B2 (en) * 2012-11-15 2015-01-06 United Microelectronics Corp. Method of fabricating dielectric layer and shallow trench isolation
US8946036B2 (en) * 2012-12-07 2015-02-03 Taiwan Semiconductor Manufacturing Company, Ltd. Method of forming dielectric films using a plurality of oxidation gases
US8815685B2 (en) * 2013-01-31 2014-08-26 GlobalFoundries, Inc. Methods for fabricating integrated circuits having confined epitaxial growth regions
US9460997B2 (en) * 2013-12-31 2016-10-04 Taiwan Semiconductor Manufacturing Company, Ltd. Interconnect structure for semiconductor devices

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6348407B1 (en) * 2001-03-15 2002-02-19 Chartered Semiconductor Manufacturing Inc. Method to improve adhesion of organic dielectrics in dual damascene interconnects
US20040152296A1 (en) * 2003-02-04 2004-08-05 Texas Instruments Incorporated Hexamethyldisilazane treatment of low-k dielectric films
US20090250815A1 (en) * 2008-04-03 2009-10-08 International Business Machines Corporation Surface treatment for selective metal cap applications

Also Published As

Publication number Publication date
US20170278785A1 (en) 2017-09-28
KR20180033483A (ko) 2018-04-03
CN104752338A (zh) 2015-07-01
KR20170015441A (ko) 2017-02-08
CN104752338B (zh) 2018-11-09
US9679848B2 (en) 2017-06-13
US20170018496A1 (en) 2017-01-19
KR20150079437A (ko) 2015-07-08
KR102064571B1 (ko) 2020-01-10
US10062645B2 (en) 2018-08-28
US20150187697A1 (en) 2015-07-02
DE102014118991A1 (de) 2015-07-02
TW201533870A (zh) 2015-09-01
US9460997B2 (en) 2016-10-04

Similar Documents

Publication Publication Date Title
TWI567895B (zh) 形成積體電路結構的方法及其結構
CN103515304B (zh) 无蚀刻损伤和电子系统级(esl)的双镶嵌金属互连件
TW483105B (en) A semiconductor integrated circuit device and a method of manufacturing the same
TWI545689B (zh) 製程後端金屬互連層狀結構之形成方法與積體晶片
JP6072265B2 (ja) 犠牲プラグを用いた基板貫通ビアの形成に係るデバイス、システム、および方法
US7867863B2 (en) Method for forming self-aligned source and drain contacts using a selectively passivated metal gate
CN105529321A (zh) 集成电路中的蚀刻停止层
US8980745B1 (en) Interconnect structures and methods of forming same
US8999179B2 (en) Conductive vias in a substrate
KR102187709B1 (ko) 커패시터를 갖는 반도체 디바이스의 구조물 및 형성 방법
KR102510939B1 (ko) 낮은 시트 저항 meol 저항기들의 방법 및 설계
CN108831859A (zh) 通孔的制造方法
TWI300244B (en) Uniform passivation method for conductive feature
US10923423B2 (en) Interconnect structure for semiconductor devices
US8772164B2 (en) Method for forming interconnection pattern and semiconductor device
CN103515308B (zh) 铜内连结构及其制造方法
JP5938920B2 (ja) 半導体装置の製造方法
US9190317B2 (en) Interconnection structures and fabrication method thereof
KR100945503B1 (ko) 반도체 소자의 금속배선 형성방법
CN106298635B (zh) 半导体器件的制造方法
TWI517340B (zh) 金屬內連線結構及其製造方法
JPH11238733A (ja) 電子装置の製造方法およびこれを用いた電子装置
KR20100078004A (ko) 파티클 제거 방법