TWI553724B - 半導體裝置之製造方法 - Google Patents

半導體裝置之製造方法 Download PDF

Info

Publication number
TWI553724B
TWI553724B TW101123770A TW101123770A TWI553724B TW I553724 B TWI553724 B TW I553724B TW 101123770 A TW101123770 A TW 101123770A TW 101123770 A TW101123770 A TW 101123770A TW I553724 B TWI553724 B TW I553724B
Authority
TW
Taiwan
Prior art keywords
insulating film
semiconductor substrate
main surface
semiconductor
semiconductor wafer
Prior art date
Application number
TW101123770A
Other languages
English (en)
Other versions
TW201318054A (zh
Inventor
Kazuhiko Hasegawa
Original Assignee
Renesas Electronics Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Renesas Electronics Corp filed Critical Renesas Electronics Corp
Publication of TW201318054A publication Critical patent/TW201318054A/zh
Application granted granted Critical
Publication of TWI553724B publication Critical patent/TWI553724B/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/76Making of isolation regions between components
    • H01L21/762Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers
    • H01L21/76224Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers using trench refilling with dielectric materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02164Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon oxide, e.g. SiO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/0217Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon nitride not containing oxygen, e.g. SixNy or SixByNz
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/02227Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process
    • H01L21/0223Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process formation by oxidation, e.g. oxidation of the substrate
    • H01L21/02233Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process formation by oxidation, e.g. oxidation of the substrate of the semiconductor substrate or a semiconductor layer
    • H01L21/02236Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process formation by oxidation, e.g. oxidation of the substrate of the semiconductor substrate or a semiconductor layer group IV semiconductor
    • H01L21/02238Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process formation by oxidation, e.g. oxidation of the substrate of the semiconductor substrate or a semiconductor layer group IV semiconductor silicon in uncombined form, i.e. pure silicon
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/26Bombardment with radiation
    • H01L21/263Bombardment with radiation with high-energy radiation
    • H01L21/265Bombardment with radiation with high-energy radiation producing ion implantation
    • H01L21/26506Bombardment with radiation with high-energy radiation producing ion implantation in group IV semiconductors
    • H01L21/26513Bombardment with radiation with high-energy radiation producing ion implantation in group IV semiconductors of electrically active species
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/31051Planarisation of the insulating layers
    • H01L21/31053Planarisation of the insulating layers involving a dielectric removal step
    • H01L21/31055Planarisation of the insulating layers involving a dielectric removal step the removal being a chemical etching step, e.g. dry etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67063Apparatus for fluid treatment for etching
    • H01L21/67075Apparatus for fluid treatment for etching for wet etching
    • H01L21/6708Apparatus for fluid treatment for etching for wet etching using mainly spraying means, e.g. nozzles
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823462MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of the gate insulating layers, e.g. different gate insulating layer thicknesses, particular gate insulator materials or particular gate insulator implants
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66568Lateral single gate silicon transistors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/02227Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process
    • H01L21/02255Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process formation by thermal treatment

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Inorganic Chemistry (AREA)
  • Plasma & Fusion (AREA)
  • High Energy & Nuclear Physics (AREA)
  • Ceramic Engineering (AREA)
  • Health & Medical Sciences (AREA)
  • Toxicology (AREA)
  • Metal-Oxide And Bipolar Metal-Oxide Semiconductor Integrated Circuits (AREA)
  • Element Separation (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)
  • Weting (AREA)

Description

半導體裝置之製造方法
本發明係關於半導體裝置之製造方法,特別有關:可有效適用於包含有元件分離區形成步驟之半導體裝置製造方法的技術。
藉由在半導體基板形成溝槽,並將絕緣膜充填入該溝槽,以形成元件分離區。在以該元件分離區所界定之活性區形成各種半導體元件,藉以製造出半導體裝置。又,各活性區之間可利用元件分離區進行電性分離。
日本特開2006-173260號公報(專利文獻1)中記載有:在進行濕蝕刻處理前對於半導體基板進行電性中和處理的技術。
日本特開2008-113028號公報(專利文獻2)中記載有如下之技術:一面使得較氫之標準電極電位為正電位的物質局部地附著於矽表面,將對於該矽的光線隔斷,以對該矽上產生的電子電洞對進行抑制;一面在該矽表面中之附著該物質的部分,使得該矽與HF進行氧化反應以產生電子e-,並使該電子e-與氫離子H+進行反應。
日本特開2009-49293號公報(專利文獻3)所記載之技術包含有:在基板12上形成第1絕緣膜11的步驟(a)、藉由濕式蝕刻將第1絕緣膜11選擇性去除的步驟(c)、及在基板12中之已去除第1絕緣膜11的區域上形成第2絕緣膜17的步驟(d)。而且,步驟(c)中,至少在開始濕式蝕刻以後到化學藥液與基板進行液體接觸為止的期間,對基板12之表面照射由可見光或紅外線所構成的照明光(在此所記載之符號對應於專利文獻3中的符號)。
【專利文獻1】日本特開2006-173260號公報
【專利文獻2】日本特開2008-113028號公報
【專利文獻3】日本特開2009-49293號公報
依本發明人的探討,已知下述事項。
針對元件分離區之形成步驟進行探討後已知:於形成元件分離區後,半導體基板上容易產生凹坑(凹陷、空孔)。
要形成元件分離區時,首先在半導體基板之主面形成氧化膜後,在該氧化膜上形成氮化矽膜,並在氮化矽膜、氧化膜及半導體基板形成元件分離用的溝槽。然後,形成氧化矽膜,以將該溝槽予以充填後,藉由CMP(Chemical Mechanical Polishing,化學機械拋光)處理,將溝槽外部的氧化矽膜去除,而在溝槽內留下氧化矽膜。再來,將氮化矽膜去除。以此方式,形成由已充填入溝槽之氧化矽膜所構成的元件分離區。其後,以濕式蝕刻將半導體基板之主面的氧化膜去除,以使半導體基板露出。此種元件分離區形成步驟中,已知有下述事項:已藉由濕式蝕刻將半導體基板之主面的氧化膜去除,而使半導體基板露出時,半導體基板上容易產生凹坑。
於半導體基板上已產生凹坑的情形,會使得從包含有該凹坑之半導體晶片區(半導體晶圓中,將後來取得各個半導體晶片的各區域稱為半導體晶片區)所取得的半導體晶片(半導體裝置)之可靠度降低,為其問題。又,將從包含有凹坑之半導體晶片區所取得半導體晶片挑出而去除的情形,則成為使半導體裝置之製造良率 下降而成本提高的原因。
本發明之目的在於提供一種能使半導體裝置之可靠度提高的技術。
又,本發明之目的在於提供一種能使半導體裝置之製造良率提高的技術。
本發明之上述及其他目的、與新穎特徵可從本說明書之記載及附圖清楚易見。
針對本案揭示之發明中的代表性內容之概要,簡單說明如下。
依代表性實施形態的半導體裝置之製造方法包含有:(a)步驟,準備半導體基板;(b)步驟,在該半導體基板之主面形成第1絕緣膜;(c)步驟,將該第1絕緣膜及該半導體基板進行電漿乾蝕刻,藉以在該第1絕緣膜及該半導體基板形成元件分離用的溝槽;及(d)步驟,在該半導體基板之主面上形成第2絕緣膜,以將該溝槽予以充填。該半導體裝置之製造方法更包含有:(e)步驟,藉由CMP處理,將該溝槽之外部的該第2絕緣膜去除,而在該溝槽內留下該第2絕緣膜;(f)步驟,藉由濕式蝕刻以去除該第1絕緣膜,而使該半導體基板露出。而且,該(f)步驟中,一面將140勒克司以上的光線施加到該半導體基板之主面的至少一部分,一面將該第1絕緣膜進行濕式蝕刻。
又,依其他代表性實施形態的半導體裝置之製造方法包含有:(a)步驟,準備半導體基板;(b)步驟,在該半導體基板之主面形成第1絕緣膜;(c)步驟,將該第1絕緣膜及該半導體基板進行 電漿乾蝕刻,藉以在該第1絕緣膜及該半導體基板形成元件分離用的溝槽;及(d)步驟,在該半導體基板之主面上形成第2絕緣膜,以將該溝槽予以充填。該半導體裝置之製造方法更包含有:(e)步驟,藉由CMP處理,將該溝槽之外部的該第2絕緣膜去除,而在該溝槽內留下該第2絕緣膜;(f)步驟,藉由濕式蝕刻以去除該第1絕緣膜,而使該半導體基板露出。而且,該(f)步驟中,一面將光線施加到該半導體基板之主面,一面將該第1絕緣膜進行濕式蝕刻;於該(f)步驟後,在該半導體基板之主面上形成有絕緣膜、及該絕緣膜上之光阻層的狀態下,進行該絕緣膜的濕式蝕刻,以使該半導體基板露出時,使得該半導體基板之主面的光照度,較低於該(f)步驟中的該半導體基板之主面的光照度。
針對依本案揭示之發明中的代表性內容所得到之效果,簡單說明如下。
依代表性的實施形態,可使得半導體裝置的可靠度提高, 又,可使得半導體裝置的製造成本減少。
[實施發明之最佳形態]
以下的實施形態中,為方便說明而有其必要時,以分割成複數之部分或實施形態的方式作說明,但是除了已特別明示的情形外,其等並非互無關係,而具有一者為另一者之一部分或全部的變形例、詳細說明、補充說明等之關係。又,以下的實施形態中,於論及要素之數目等(包含個數、數值、數量、範圍等)的情形,除了已特別明示的情形、及理論上顯然限定於特定數的情形等以 外,並不限於該特定數,可為特定數以上,也可為特定數以下。而且,以下的實施形態中,除了已特別明示的情形、及理論上顯然可認為必要的情形等以外,該構成要素(亦包含基本步驟等)並非絕對必要係屬當然。同樣地,以下的實施形態中,於論及構成要素等之形狀、位置關係等時,除了已特別明示的情形、及理論上顯然可認為並非如此的情形等以外,包含有實質上與該形狀等近似或類似者等。又,此在上述數值及範圍的情形亦同。
以下,依據圖式,針對本發明之實施形態進行詳細說明。又,用以說明實施形態的全部圖式中,對於具有相同功能的構件附加相同符號,而省略其重複說明。又,以下的實施形態中,除了特別必要的情形外,原則上不對於相同或同樣的部分進行重複說明。
又,使用於實施形態的圖式中,即便是剖面圖,也有為了使圖式易於觀看而省略影線的情形。又,即便是俯視圖,也有為了使圖式易於觀看而附加影線的情形。
<半導體裝置之製程>以下參照圖式,針對本實施形態之半導體裝置的製程進行說明。圖1、圖2係顯示本發明之一實施形態的半導體裝置,例如具有MISFET(Metal Insulator Semiconductor Field Effect Transistor,金屬絕緣半導體場效電晶體)的半導體裝置之部分製程的製造處理流程圖。圖3~圖29係本發明之一實施形態的半導體裝置,例如具有MISFET之半導體裝置在製程中的主要部分剖面圖。
首先,如圖3所示,準備由具有例如1~10Ωcm左右之比電阻的p型單晶矽等所構成的半導體基板(半導體晶圓)1(圖1之步驟S1)。接著,如圖4所示,將半導體基板1進行氧化(較佳為熱氧化),而在半導體基板1之表面(主面)形成絕緣膜(氧化膜)2(圖1之步驟S2)。再來,如圖5所示,在半導體基板1之主面(主面整面)上, 亦即絕緣膜2上,以CVD(Chemical Vapor Deposition,化學氧相沉積)法(例如熱CVD法)等形成(沉積出)絕緣膜3(圖1之步驟S3)。絕緣膜2較佳係由氧化矽膜構成,絕緣膜3較佳係由氮化矽膜構成。又,絕緣膜2之厚度可設定為例如5~20nm左右,絕緣膜3之厚度可設定為例如100~500nm左右。
接著,在半導體基板1之主面(主面整面)上,亦即絕緣膜3上塗佈光阻層以後,將該光阻層曝光並顯影,藉以如圖6所示般地形成光阻圖案(光阻圖案、光阻層、遮罩層)PR1。又,光阻圖案PR1在後述溝槽4a的預定形成區具有開口部。
接下來,使用光阻圖案PR1作為蝕刻遮罩,依序將絕緣膜3、絕緣膜2及半導體基板1進行乾式蝕刻(電漿乾蝕刻),藉以如圖7所示般地形成溝槽(元件分離用的溝槽)4a(圖1之步驟S4)。該步驟S4中,藉由電漿乾蝕刻以形成溝槽4a。溝槽4a係跨越絕緣膜3、絕緣膜2及半導體基板1而形成。亦即,溝槽4a形成為:貫通於絕緣膜3及絕緣膜2,且溝槽4a之底部位於半導體基板1的厚度之中途。半導體基板1中之溝槽4a的深度(從半導體基板1之表面到溝槽4a之底面的深度)為例如300~700nm左右。溝槽4a為元件分離用的溝槽,亦即用以形成後述元件分離區4的溝槽。又,光阻圖案PR1係於形成溝槽4a後加以去除。圖7顯示有已去除光阻圖案PR1後的階段(狀態)。
在此,所謂電漿乾蝕刻係利用電漿來進行的乾式蝕刻。電漿乾蝕刻包含有:主要以自由基進行蝕刻的情形、主要以離子進行蝕刻的情形、及以自由基與離子兩者進行蝕刻的情形。因此,不僅是主要以自由基進行蝕刻的電漿蝕刻,主要以離子進行蝕刻的反應性離子蝕刻(RIE,Reactive Ion Etching)也包含於電漿乾蝕刻。
再來,如圖8所示,將溝槽4a之內部(亦即溝槽4a之底面及 側壁)與絕緣膜3之表面進行氧化(較佳為熱氧化),而形成絕緣膜5(圖1之步驟S5)。絕緣膜5為氧化膜,形成於溝槽4a之內部(亦即溝槽4a之底面及側壁上)與絕緣膜3之表面上。但是,絕緣膜5中,形成於溝槽4a底面及側壁上所露出半導體基板1之露出面的部分由氧化矽膜構成,而形成於絕緣膜3之表面的部分由氧化矽膜或氮氧化矽膜構成。又,絕緣膜5之厚度可設定為例如5~20nm左右。
再下來,如圖9所示,在半導體基板1之主面(主面整面)上,亦即於絕緣膜5上,形成(沉積出)絕緣膜6,以將溝槽4a內予以充填(圖1之步驟S6)。絕緣膜6較佳係由氧化矽膜構成。又,絕緣膜6較佳係以電漿CVD形成,尤佳係以HDP(高密度電漿,High Density Plasma)-CVD法形成。絕緣膜6形成為如可充填住溝槽4a內般的厚度。絕緣膜6的厚度會依溝槽4a的深度而異,但是可設定為500~1000nm左右。又,以電漿CVD法(尤其是HDP-CVD法)成膜出絕緣膜6的情形,絕緣膜5具有防止在沉積出絕緣膜6時對半導體基板1造成損害的作用。於形成絕緣膜6後,進行如洗滌式清洗(例如使用純水之洗滌式清洗)的清洗處理。
接著,進行用以使已充填入溝槽4a之絕緣膜6熱收縮的熱處理(退火處理)。該熱處理可藉由以例如400~1200℃左右將半導體基板1加以熱處理來進行。
接下來,在半導體基板1之主面(主面整面)上,亦即絕緣膜6上塗佈光阻層以後,將該光阻層曝光並顯影,藉以如圖10所示般地形成光阻圖案(光阻圖案、光阻層、遮罩層)PR2。光阻圖案PR2係俯視觀察具有:如覆蓋住未形成溝槽4a之區域,且露出與溝槽4a重疊之區域的圖案形狀。
再來,使用光阻圖案PR2作為蝕刻遮罩,將絕緣膜6進行乾 式蝕刻(電漿乾蝕刻),藉以對於要從光阻圖案PR2露出之部分的絕緣膜6(亦即俯視觀察與溝槽4a不重疊之區域的絕緣膜6)進行回蝕,而使厚度減薄(圖1之步驟S7)。該步驟S7較佳係採用電漿乾蝕刻。其後,藉由灰化(電漿灰化)等以去除光阻圖案PR2,而且進行清洗處理(例如使用純水之清洗處理)。圖11顯示有已去除光阻圖案PR2後的階段(狀態)。又,步驟S7係為了抑制或防止在後來所進行步驟S8之CMP(化學機械拋光)處理中的碟形凹陷等而進行,若對其並不在意者,亦可省略步驟S7。
再下來,以CMP(Chemical Mechanical Polishing,化學機械拋光)法將絕緣膜6進行拋光(圖1之步驟S8)。藉此,如圖12所示,將溝槽4a之外部的絕緣膜6去除,而在溝槽4a內留下絕緣膜6。又,進行該CMP處理時,也將絕緣膜3上的絕緣膜5去除,而露出絕緣膜3之頂面。又,步驟S8之CMP處理係於如絕緣膜3(氮化矽膜)之拋光速度相較於絕緣膜6、5(氧化矽膜)之拋光速度為小的條件下進行,因此絕緣膜3可發揮作為CMP處理之阻擋膜(或保護膜)的功能。
接著,如圖13所示,利用乾式蝕刻(電漿乾蝕刻)將溝槽4a內之絕緣膜6的上部(頂面)進行回蝕,藉以使溝槽4a內之絕緣膜6的頂面後退(圖1之步驟S9)。該步驟S9較佳係採用電漿乾蝕刻,但是係於如絕緣膜3(氮化矽膜)之蝕刻速度相較於絕緣膜6(氧化矽膜)之蝕刻速度為小的蝕刻條件下進行。因此,在步驟S9前(且步驟S8後)係溝槽4a內之絕緣膜6頂面的高度位置與絕緣膜3頂面的高度位置大致相同者,在步驟S9後(且後述之步驟S10前),溝槽4a內之絕緣膜6頂面的高度位置會變成較絕緣膜3頂面的高度位置為低。但是,較佳係於溝槽4a內之絕緣膜6頂面的高度位置變成較半導體基板1與絕緣膜2之界面的高度位置為低以前,將步驟S9的乾式蝕刻(電漿乾蝕刻)加以終止。圖13係顯示溝槽4a內之絕緣膜6頂面的高度位置位於絕緣膜2頂面的高度位置與絕 緣膜3頂面的高度位置之間的情形。
接下來,如圖14所示,藉由使用熱磷酸等之化學藥液(蝕刻液)的濕式蝕刻,將絕緣膜3去除(圖1之步驟S10)。此時的濕式蝕刻係於如絕緣膜6、5、2(氧化矽膜)之蝕刻速度相較於絕緣膜3(氮化矽膜)之蝕刻速度為小的蝕刻條件下進行。因此,步驟S10中,會選擇性地去除絕緣膜3,而露出絕緣膜2之頂面。
再來,如圖15所示,藉由使用氫氟酸等之化學藥液(蝕刻液)的濕式蝕刻,將絕緣膜2去除(圖1之步驟S11)。藉由去除絕緣膜2,而露出半導體基板1之頂面(表面、Si面)。亦即,步驟S11中,藉由將絕緣膜2進行濕式蝕刻而去除,以露出半導體基板1之Si面。又,步驟S11之濕式蝕刻係僅進行到去除絕緣膜2而露出半導體基板1之頂面的程度,因此溝槽4a之內部的絕緣膜6、5會幾乎不受蝕刻而殘留下來。藉由殘留於溝槽4a之內部的絕緣膜5及絕緣膜6,而形成元件分離區(元件分離)4。
又,也可將在緊接於步驟S11前之階段殘留於溝槽4a內部的絕緣膜5及絕緣膜6視為元件分離區4;於此情形,在緊接於步驟S11前之元件分離區4的頂面,於步驟S11受到稍微蝕刻的部分會成為步驟S11後的元件分離區4。
又,步驟S11係於半導體基板1之主面上(亦即絕緣膜2上)未形成有光阻層(光阻層)的狀態下,將絕緣膜2進行濕式蝕刻,因此會在半導體基板1之主面整面將絕緣膜2加以蝕刻而去除。於是,當進行步驟S11時,會成為下述狀態:形成有元件分離區4之區域以外區域(亦即活性區)的半導體基板1之表面(Si面)露出來。關於該步驟S11之絕緣膜2去除步驟(濕式蝕刻步驟),將於後面進行更詳細的說明。
如此一來,形成由已充填入半導體基板1之溝槽4a內的絕緣膜5、6所構成的元件分離區4。由於絕緣膜5較絕緣膜6為薄,因此元件分離區4主要由已充填入溝槽4a內的絕緣膜6所形成。如上述,元件分離區4係藉由STI(Shallow Trench Isolation,淺溝渠隔離)法來形成。藉由形成元件分離區4,而於半導體基板1中,利用元件分離區4界定(劃定)出活性區,並於後來的步驟,在該活性區形成各種半導體元件(例如後述之MISFETQ1、Q2等)。
接著,如圖16所示,以從半導體基板1之主面遍佈於既定深度的方式形成井區(圖2之步驟S12)。由於本實施形態係針對形成n通道型MISFET作為MISFET的情形進行說明,因此在此形成p型井(p型井區)PW作為井區。p型井PW可藉由對半導體基板1離子植入硼(B)等之p型雜質而形成。又,於形成p通道型MISFET的情形,則藉由對半導體基板1離子植入磷(P)或砷(As)等之n型雜質,而形成n型井區(n型井)。
接下來,如圖17所示,在半導體基板1之表面(亦即p型井PW之表面)上形成MISFET之閘絕緣膜用的絕緣膜11(圖2之步驟S13)。絕緣膜11係由例如薄的氧化矽膜等所形成,且可藉由例如熱氧化法等來形成。
再來,在半導體基板1之主面(主面整面)上,亦即絕緣膜11上塗佈光阻層以後,將該光阻層曝光並顯影,藉以如圖18所示般地形成光阻圖案(光阻圖案、光阻層、遮罩層)PR3。
再下來,如圖19所示,使用光阻圖案PR3作為蝕刻遮罩,將絕緣膜11進行蝕刻,而選擇性地去除從光阻圖案PR3露出之部分的絕緣膜11(圖2之步驟S14)。此時,由光阻圖案PR3所覆蓋之部分的絕緣膜11未被去除而殘留下來。步驟S14之蝕刻可採用:使用氫氟酸等作為化學藥液(蝕刻液)的濕式蝕刻。於已去除絕緣膜 11的區域,會露出半導體基板1之頂面(p型井PW之表面)。
在此,於預定形成高耐壓MISFET的區域(以下稱高耐壓MIS區1A)留下絕緣膜11,另一方面,於預定形成低耐壓MISFET的區域(以下稱低耐壓MIS區1B)中,則去除絕緣膜11,而露出半導體基板1之頂面(p型井PW之表面)。也就是說,光阻圖案PR3係俯視觀察具有:如覆蓋住高耐壓MIS區1A,且露出低耐壓MIS區1B的圖案形狀。又,在使用光阻圖案PR3進行絕緣膜11之濕式蝕刻(亦即步驟S14)以前,也可藉由使用灰化機的電漿處理等,對光阻圖案PR3進行表面處理(電漿表面處理),藉以使得濕式蝕刻時的濡濕性提高(對光阻圖案PR3的濡濕性提高)。
接著,如圖20所示般去除光阻圖案PR3。去除光阻圖案PR3時,可利用濕式處理來進行去除,例如可使用SPM(Sulfuric acid-Hydrogen Peroxide Mixture,硫酸過氧化氫混合物)液來進行SPM清洗。
接下來,對於已在上述步驟S14之濕式蝕刻步驟(以光阻圖案PR3為蝕刻遮罩來將絕緣膜11進行濕式蝕刻的步驟)去除絕緣膜11的區域(亦即低耐壓MIS區1B),如圖21所示般,藉由氧化(例如熱氧化)以在該區域之半導體基板1的表面(亦即p型井PW的表面)上形成MISFET之閘絕緣膜用的絕緣膜12(圖2之步驟S15)。絕緣膜12係由例如薄的氧化矽膜等形成。形成絕緣膜12時,也可能有絕緣膜11之厚度變厚的情形。
又,必須將絕緣膜11與絕緣膜12在各自形成時的厚度控制成:於步驟S15形成絕緣膜12的階段(亦即圖21之階段)中,絕緣膜12之厚度較絕緣膜11之厚度為薄。為此目的,只要使得在步驟S13形成絕緣膜11之階段(圖17之階段)中的絕緣膜11之厚度,相較於在步驟S15形成絕緣膜12之階段(圖21之階段)中的絕緣膜 12之厚度為厚即可,但也有可能在形成絕緣膜12(較佳係藉由熱氧化形成絕緣膜12)時絕緣膜11之厚度變厚。
如此一來,如圖21所示般可得到如下之狀態:在低耐壓MIS區1B之半導體基板1(p型井PW)的表面形成有絕緣膜12,而在高耐壓MIS區1A之半導體基板1(p型井PW)的表面形成有較絕緣膜12為厚的絕緣膜11。
再來,如圖22所示,在半導體基板1之主面(主面整面)上形成閘電極用的導電體膜13(圖2之步驟S16)。導電體膜13可選用例如多晶矽膜(摻雜多晶矽膜)。然後,在半導體基板1之主面(主面整面)上,亦即導電體膜13上塗佈光阻層以後,將該光阻層曝光並顯影,藉以形成光阻圖案(光阻圖案、光阻層、遮罩層)PR4。然後,藉由以光阻圖案PR4為蝕刻遮罩的乾式蝕刻(電漿乾蝕刻),將導電體膜13蝕刻以進行圖案化,而如圖23所示般地形成由經圖案化之導電體膜13所構成的閘電極GE(圖2之步驟S17)。閘電極GE中,高耐壓MISFET用的閘電極GE1係隔著絕緣膜11而形成於半導體基板1(p型井PW)上,低耐壓MISFET用的閘電極GE2係隔著絕緣膜12而形成於半導體基板1(p型井PW)上。其後,將光阻圖案PR4去除。圖23係顯示已去除光阻圖案PR4的階段(狀態)。閘電極GE1之下方殘留有絕緣膜11,而閘電極GE2之下方殘留有絕緣膜12,但是也有可能不被閘電極GE1、GE2所覆蓋區域之絕緣膜11、12殘留成層狀。
再下來,如圖24所示,藉由將磷(P)或砷(As)等之n型雜質加以離子植入到p型井PW在閘電極GE之兩側的區域,而形成n型延伸區(n-型半導體區)EX(圖2之步驟S18)。延伸區EX為n型半導體區。進行該離子植入時,閘電極GE可發揮作為離子植入阻擋遮罩的功能,因此雜質不會被離子植入於p型井PW在閘電極GE之正下方的區域,延伸區EX會對正(自對準)於閘電極GE之 側壁而形成。
接著,如圖25所示,在閘電極GE之側壁上,形成由例如氧化矽或氮化矽或者該等絕緣膜之疊層膜等所構成的側壁間隙壁(側壁間隔物、側壁絕緣膜、側壁)SP,作為側壁絕緣膜(絕緣膜)(圖2之步驟S19)。側壁間隙壁SP係可藉由例如下述方式形成:在半導體基板1之主面(主面整面)上,沉積出氧化矽膜或氮化矽膜或者其等之疊層膜,並以RIE(Reactive Ion Etching,反應性離子蝕刻)法等,對於該氧化矽膜或氮化矽膜或者其等之疊層膜進行各向異性蝕刻。
已形成側壁間隙壁SP後,如圖26所示,藉由將磷(P)或砷(As)等之n型雜質加以離子植入到p型井PW在閘電極GE及側壁間隙壁SP之兩側的區域,而形成n型源極/汲極區(n+型半導體區)SD(圖2之步驟S20)。源極/汲極區SD為n型半導體區。進行該離子植入時,閘電極GE及其側壁上的側壁間隙壁SP可發揮作為離子植入阻擋遮罩的功能,因此雜質不會被導入(離子植入)於p型井PW在閘電極GE之正下方的區域、及該閘電極GE之側壁上的側壁間隙壁SP之正下方的區域。於是,源極/汲極區SD會對正(自對準)於閘電極GE側壁上之側壁間隙壁SP的側面(與接近於閘電極GE之側相反一側的面)而形成。
又,源極/汲極區SD形成用的離子植入後,進行用以使所導入之雜質活化的退火處理(圖2之步驟S21)。該退火處理可以例如1050℃左右之閃光燈退火處理來進行。
藉由對於同一MISFET(對於同一閘電極GE)所形成之延伸區EX與源極/汲極區SD的區域對,形成可發揮作為n通道型MISFET之LDD(Lightly Doped Drain,輕摻雜汲極)構造的源極或汲極區之功能的n型半導體區。對於同一MISFET(對於同一閘電極GE)所 形成之延伸區EX與源極/汲極區SD二者的關係,係於源極/汲極區SD與通道區(閘電極GE正下方的區域)之間夾設有延伸區EX,且相較於延伸區EX,源極/汲極區SD的雜質濃度較高,且深度(接合深度)較深。
如此一來,便如圖26所示,在p型井PW形成n通道型MISFET(Metal Insulator Semiconductor Field Effect Transistor)Q1、Q2,作為電場效應電晶體。以閘電極GE1為其閘電極的MISFETQ1,係由位於閘電極GE1之下方的絕緣膜11發揮作為閘絕緣膜的功能;以閘電極GE2為其閘電極的MISFETQ2,係由位於閘電極GE2之下方的絕緣膜12發揮作為閘絕緣膜的功能。因此,MISFETQ1之閘絕緣膜(在此為絕緣膜11)的厚度相較於MISFETQ2之閘絕緣膜(在此為絕緣膜12)的厚度為厚,MISFETQ1的耐受電壓較MISFETQ2的耐受電壓為高。因此,可使得MISFETQ1的操作電壓較高於MISFETQ2的操作電壓。MISFETQ1形成於高耐壓MIS區1A,而MISFETQ2形成於低耐壓MIS區1B。
又,在此已針對形成n通道型MISFET作為MISFET的情形進行說明,但是也可不形成n通道型MISFET,而形成p通道型MISFET。於此情形,只要將p型井PW、延伸區EX及源極/汲極區SD各自的導電型顛倒即可(亦即只要將p型井PW改為n型井,將延伸區EX改為p型,並將源極/汲極區SD改為p型即可)。又,亦可形成n通道型MISFET與p通道型MISFET兩者。
又,圖26中,也可於高耐壓MIS區1A與低耐壓MIS區1B之間(形成有MISFETQ1的區域與形成有MISFETQ2的區域之間)設置(配置)元件分離區4。
接著,還可藉由自動對準金屬矽化物(Salicide,Self Aligned Silicide)技術,在閘電極GE及源極/汲極區SD之表面(表層部分、上層部分)形成由鈷矽化物或鎳矽化物等所構成之低電阻的金屬矽化物層(未圖示)。該金屬矽化物層可藉由沉積出例如鈷(Co)膜或鎳(Ni)膜等的金屬膜,以覆蓋住閘電極GE及源極/汲極區SD,再進行熱處理而形成,然後未反應的金屬膜係加以去除。
接下來,如圖27所示,在半導體基板1之主面(主面整面)上形成絕緣膜(層間絕緣膜)22。亦即,在半導體基板1之主面上形成絕緣膜22,以覆蓋住閘電極GE及側壁間隙壁SP。絕緣膜22由例如氧化矽膜之單體膜、或氮化矽膜與較其厚之氧化矽膜兩者的疊層膜等所構成。然後,以CMP法將絕緣膜22之表面(頂面)進行拋光等,而使絕緣膜22之頂面平坦化。即使因底層段差而在絕緣膜22之表面形成有凹凸形狀,也可藉由以CMP法將絕緣膜22之表面進行拋光,而得到表面呈平坦化的層間絕緣膜。
再來,使用絕緣膜22上所形成的光阻圖案(未圖示)作為蝕刻遮罩,將絕緣膜22進行乾式蝕刻,藉以如圖28所示般,在絕緣膜22形成接觸洞(貫通孔、孔部)23。又,於接觸洞23之底部,會露出半導體基板1之主面的一部分,例如源極/汲極區SD之表面(該表面上形成有金屬矽化物層的情形則為該金屬矽化物層)的一部分、或閘電極GE之表面(該表面上形成有金屬矽化物層的情形則為該金屬矽化物層)的一部分等。
再下來,於接觸洞23內形成由鎢(W)等所構成的導電性插頭(連接用導體部)24。欲形成插頭24,可藉由例如下述方法形成插頭24:以電漿CVD法等,在包含接觸洞23之內部(底部及側壁上)的絕緣膜22上形成阻障導體膜(例如鈦膜、氮化鈦膜、或其等之疊層膜)。然後,以CVD法等,在阻障導體膜上形成由鎢膜等所構成的主導體膜,以充填住接觸洞23,並以CMP法或回蝕法等,將絕緣膜22上之不必要的主導體膜及阻障導體膜予以去除。又, 為達到圖式的簡化,插頭24以使得主導體膜與阻障導體膜一體化的方式顯示。插頭24係於其底部,與閘電極GE或源極/汲極區SD之表面(該表面上形成有金屬矽化物層的情形則為該金屬矽化物層)等相接而電性連接。
接著,如圖29所示,在充填有插頭24的絕緣膜22上形成絕緣膜25。絕緣膜25也可以複數絕緣膜之疊層膜形成。
接下來,以單金屬鑲嵌法形成第1層配線亦即配線M1。具體而言,可以下述方式形成配線M1。首先,藉由以光阻圖案(未圖示)為遮罩來進行乾式蝕刻(電漿乾蝕刻),而在絕緣膜25之既定區域形成配線溝槽後,在包含配線溝槽之底部及側壁上的絕緣膜25上形成阻障導體膜(例如氮化鈦膜、鉭膜、或氮化鉭膜等)。然後,以CVD法或濺鍍法等,在阻障導體膜上形成銅的種晶層,進而採電解電鍍法等,在種晶層上形成銅電鍍膜,而以銅電鍍膜充填入配線溝槽之內部。然後,以CMP法,將配線溝槽以外之區域的主導體膜(銅電鍍膜及種晶層)與阻障導體膜予以去除,而形成以充填入配線溝槽之銅為主導電材料的第1層配線M1。又,為達到圖式的簡化,配線M1以使得阻障導體膜、種晶層與銅電鍍膜一體化的方式顯示。
配線M1係透過插頭24而與閘電極GE或源極/汲極區SD等電性連接。然後,以雙重金屬鑲嵌法形成第2層配線,但在此省略其圖式及說明。
<關於步驟S11之絕緣膜2去除步驟>接著,針對上述步驟S11之絕緣膜2的去除步驟(濕式蝕刻步驟),進行更詳細的說明。
圖30及圖31係步驟S11之絕緣膜2去除步驟(濕式蝕刻步驟)中所使用的半導體製造裝置(蝕刻裝置)31之說明圖。圖30係對應 於示意地顯示半導體製造裝置31之整體的俯視圖,圖31係對應於示意地顯示半導體製造裝置31中之各個蝕刻用腔室33的剖面圖。
首先,針對使用圖30之半導體製造裝置31而於步驟S11去除絕緣膜2的順序進行說明。
如圖30所示,半導體製造裝置31包含有:搬運室32、配置於搬運室32周圍的複數之蝕刻用腔室33及載入埠(載入室)34,係所謂多腔室型的半導體製造裝置。又,搬運室32與蝕刻用腔室33之間、搬運室32與載入埠(載入室)34之間係透過開閉機構亦即閘閥(未圖示)等而連結。
首先,將前開式晶圓盒(未圖示)靠接到載入埠(載入室)34後,從前開式晶圓盒取出半導體晶圓SW,並送入搬運室32。半導體晶圓SW對應於上述半導體基板1(亦即可將半導體晶圓SW視為上述半導體基板1)。前開式晶圓盒係半導體晶圓之批式搬運用的密封收納容器,一般以25片、12片、6片等之批次單位來收納半導體晶圓。
其後,以搬運用機器人35等,將半導體晶圓SW經由搬運室32搬運往蝕刻用腔室33內。然後,於蝕刻用腔室33內,進行用以從半導體晶圓SW去除(濕式蝕刻)上述絕緣膜2的處理(亦即上述步驟S11的處理)。
本實施形態中,於蝕刻用腔室33內配置有照明裝置(光源)36,作為用來將光線施加(將光線照射)到半導體晶圓SW的光源。照明裝置36可採用例如螢光燈等。本實施形態係進行如下之處理:一面把來自照明裝置36的光線施加到半導體晶圓SW,一面從半導體晶圓SW去除上述絕緣膜2(進行濕式蝕刻)。
於蝕刻用腔室33內接受上述去除絕緣膜2(進行濕式蝕刻)的處理後,半導體晶圓SW係利用搬運用機器人35等,加以從蝕刻用腔室33經由搬運室32而搬運至載入埠(載入室)34,送回往前開式晶圓盒。然後,半導體晶圓SW被輸送到用以進行下一步驟的半導體製造裝置。
接著,針對於步驟S11在蝕刻用腔室(蝕刻裝置)33內進行之絕緣膜2的去除處理(濕式蝕刻處理),一面參照圖31,一面進行具體說明。
如圖31所示,在蝕刻用腔室33內配置有旋轉平台(晶圓旋轉平台、旋轉基座)41。該旋轉平台41係構成為可利用未圖示之旋轉機構(例如馬達等)以高速進行旋轉的旋轉板,具有例如較半導體晶圓SW為大的直徑。已送入至蝕刻用腔室33內的半導體晶圓SW係載置(配置)於該旋轉平台41上,利用未圖示之吸盤機構等加以固持在旋轉平台41。此時,半導體晶圓SW以下述方式配置於旋轉平台41上而固定:形成有絕緣膜2之側的面亦即主面(表面、半導體元件形成側之主面)朝向上方,而與主面相反之側的面亦即背面朝向下方(與旋轉平台41對向之側)。藉由利用未圖示之旋轉機構使旋轉平台41旋轉,可使得旋轉平台41上所固持的半導體晶圓SW也旋轉。
於旋轉平台41之上方(例如旋轉平台41上所固定的半導體晶圓SW之主面的中央部上方)配置有噴嘴42,且構成為:從該噴嘴42朝向半導體晶圓SW之主面噴吐出(噴出、供給)蝕刻液(清洗液、蝕刻劑溶液、化學藥液)43,可對半導體晶圓SW之主面供給蝕刻液43。蝕刻液43係採用可將半導體晶圓SW主面上所形成之上述絕緣膜2進行蝕刻的蝕刻液,可適當採用氫氟酸(HF)水溶液。
蝕刻液43之氫氟酸的濃度只要設計為能在不對生產力造成妨礙之範圍的蝕刻時間內確保目標蝕刻量即可,可設計成例如5wt.%(5重量%)以下左右的濃度。
要將半導體晶圓SW之主面上所形成的上述絕緣膜2去除時,將形成有絕緣膜2的半導體晶圓SW配置而固定於蝕刻用腔室33內之旋轉平台41上以後(此時,半導體晶圓SW中,形成有上述絕緣膜2之側的主面朝向噴嘴42側),使旋轉平台41旋轉,藉以使旋轉平台41上所固持的半導體晶圓SW旋轉。此時,較佳係藉由使旋轉平台41之旋轉中心與半導體晶圓SW之主面的中心位置二者大致一致,而以半導體晶圓SW之主面的中心位置為旋轉中心來進行旋轉。
於半導體晶圓SW旋轉的狀態下,對於旋轉之半導體晶圓SW的主面,從噴嘴42供給出用以將半導體晶圓SW主面之絕緣膜2進行蝕刻的蝕刻液43。藉此,從噴嘴42供給蝕刻液43到半導體晶圓SW之主面,利用該蝕刻液43,將半導體晶圓SW之主面上所形成的上述絕緣膜2進行蝕刻(濕式蝕刻)。從噴嘴42所供給到半導體晶圓SW主面之中心部附近的蝕刻液43,係藉由半導體晶圓SW的旋轉,從半導體晶圓SW之主面的中心部移動到外周部側,而使蝕刻液43遍及於半導體晶圓SW之主面整面,利用蝕刻液43於半導體晶圓SW之主面整面進行絕緣膜2的蝕刻。
要停止進行絕緣膜2的蝕刻時,停止從蝕刻液用噴嘴42往半導體晶圓SW之主面供給蝕刻液43,而從噴嘴42往旋轉之半導體晶圓SW的主面(之中心部附近)供給純水等之清洗液(沖洗液)。藉由使該清洗液遍及於半導體晶圓SW之主面整體,而進行半導體晶圓SW之主面的清洗處理(沖洗處理),將蝕刻液43加以與清洗液一起從半導體晶圓SW之主面去除,停止進行半導體晶圓SW主面之絕緣膜2的蝕刻。至於將蝕刻液43往半導體晶圓SW供給 的噴嘴、與將清洗液往半導體晶圓SW供給的噴嘴,可採同一噴嘴(噴嘴42)兼作為兩者,或者也可設計成各別的噴嘴。
本實施形態中,為了對於絕緣膜2濕式蝕刻中的半導體晶圓SW之主面的光照度進行控制,如上所述般,於蝕刻用腔室33配置有照明裝置(光源)36。照明裝置36可發揮作為發出光線44之光源的功能。圖31中,以箭頭示意地顯示出照明裝置36所發出的光線44。設置照明裝置36之目的為:藉由把來自照明裝置36的光線44施加(照射)到半導體晶圓SW,而積極地使得絕緣膜2之蝕刻中的半導體晶圓SW之光照度提高。亦即,在將蝕刻液43供給到半導體晶圓SW之主面,而進行絕緣膜2的蝕刻之間,利用照明裝置36來照亮半導體晶圓SW之主面(把來自照明裝置36的光線44施加到半導體晶圓SW之主面),藉以使半導體晶圓SW之主面的光照度提高。
本實施形態中,一面把來自照明裝置36的光線(照明裝置36所發出的光線)施加(照射)到半導體晶圓SW之主面,一面進行半導體晶圓SW主面之絕緣膜2的蝕刻(利用蝕刻液43的濕式蝕刻)。此時,較佳係設計成:從開始利用蝕刻液43將半導體晶圓SW主面之絕緣膜2進行濕式蝕刻(蝕刻液43已接觸到半導體晶圓SW之主面的時點)起,直到結束該濕式蝕刻為止(蝕刻液43已被清洗液從半導體晶圓SW之主面所去除的時點),把來自照明裝置36的光線44持續施加到半導體晶圓SW之主面。
利用蝕刻液43將絕緣膜2進行濕式蝕刻時,來自照明裝置36之光線44不僅有接觸(照射)到半導體晶圓SW之主面整體的情形,也可能有接觸(照射)到半導體晶圓SW之主面一部分的情形。例如,也可能有下述情形:從照明裝置36朝向半導體晶圓SW之主面的一部分光線44被噴嘴42遮蔽等,以致於來自照明裝置36之光線44不接觸(照射)到半導體晶圓SW之主面整體,而接觸(照 射)到半導體晶圓SW之主面的一部分。然而,由於半導體晶圓SW係與旋轉平台41一起旋轉,因此即便是來自照明裝置36之光線44接觸(照射)到半導體晶圓SW之主面一部分的情形,於半導體晶圓SW之主面上被光線44所照射的區域也會隨著半導體晶圓SW的旋轉,而在半導體晶圓SW之主面內移動。因此,在利用蝕刻液43將絕緣膜2進行濕式蝕刻之間,半導體晶圓SW之主面的任一區域均可以有被來自照明裝置36之光線44進行照射的期間。反之,為了使半導體晶圓SW之主面的任一區域,均在利用蝕刻液43將絕緣膜2進行濕式蝕刻之間具有被來自照明裝置36之光線44進行照射的期間,只要對於半導體晶圓SW之主面的至少一部分照射來自照明裝置36之光線44即可。亦即,只要使得半導體基板1(半導體晶圓SW)之主面在將絕緣膜2進行濕式蝕刻之間,不存在有完全未被光線44照射的區域即可。
因著如後所述理由,本實施形態中,較佳係一面將140勒克司以上的光線施加到半導體晶圓SW之主面的至少一部分(半導體晶圓SW之主面整面或主面一部分),一面(利用蝕刻液43)將絕緣膜2進行濕式蝕刻。而且,更佳係使得半導體晶圓SW之主面的任一區域,均在(利用蝕刻液43)將絕緣膜2進行濕式蝕刻之間具有被140勒克司以上之光線進行照射的期間。在此,所謂140勒克司以上的光線係對應於半導體晶圓SW之主面的光照度在140勒克司以上。又,半導體晶圓SW之主面的光照度可藉由光源(在此為照明裝置36)的明亮度、或者從光源(在此為照明裝置36)到半導體晶圓SW之主面的距離等進行控制。
<有關伴隨於元件分離區之形成而來的缺陷之產生>接下來,針對伴隨於元件分離區之形成而來的缺陷之產生進行說明。
圖32~圖34係在已藉由濕式蝕刻將相當於絕緣膜2之氧化膜102去除時產生之缺陷的說明圖。
圖32~圖34中,氧化膜102相當於上述絕緣膜2,溝槽104a相當於上述溝槽4a,絕緣膜105相當於上述絕緣膜5,絕緣膜106相當於上述絕緣膜6,由已充填入溝槽104a內之絕緣膜105、106所形成的元件分離區104則相當於上述元件分離區4。又,圖32係進行相當於上述步驟S11之氧化膜102去除步驟(濕式蝕刻步驟)以前的階段,圖33係已進行相當於上述步驟S11之氧化膜102去除步驟(濕式蝕刻步驟)以後的階段,圖34則顯示隨著氧化膜102之去除而在半導體基板1形成有凹坑(凹陷、空孔)51的狀態。
圖32之構造(狀態)係藉由進行與直至得到上述圖14之構造(狀態)大致同樣的步驟所形成。藉由從圖32之狀態,利用氫氟酸將氧化膜102進行濕式蝕刻而去除,以使半導體基板1(之Si面)露出。藉此,雖可得到相當於上述圖15之圖33的構造(狀態),但是依本發明人之分析研究,存在有下述情形:隨著氧化膜102之去除,而如圖34般在半導體基板1形成凹坑(凹陷、空孔)51。該凹坑51係在將氧化膜102進行濕式蝕刻,以使半導體基板1(之Si面)露出時,於元件分離區104所圍繞出的活性區產生。
至於該凹坑51的產生原因,當本發明人進行探討後理解到:隨著形成元件分離區104之各種步驟而於氧化膜102所累積(充電)的電荷為主要原因。亦即理解到:在將氧化膜102進行濕式蝕刻時,當氧化膜102隨著蝕刻之進行而變薄時,該電荷(於氧化膜102所累積的電荷)會在半導體基板1側放電,並因為該放電,而在半導體基板1形成凹坑51。
於半導體基板1上已產生凹坑51的情形,會使得從包含有該凹坑51之半導體晶片區(半導體晶圓中,將後來取得各個半導體晶片的各區域稱為半導體晶片區)所取得的半導體晶片(半導體裝置)之可靠度降低,為其問題。又,將從包含有凹坑51之半導體晶片 區所取得半導體晶片挑出而去除的情形,則成為使半導體裝置之製造良率下降而成本提高的原因。
於是,針對氧化膜102上會累積電荷的原因進行調查後,理解到其主要原因為:於用來形成元件分離區104之各種步驟中使用電漿時,在半導體基板1之主面上所形成的絕緣膜,會累積出起因於電漿而來的電荷。尤其,影響較大者為用來形成元件分離用之溝槽104a的電漿乾蝕刻(相當於上述步驟S4的步驟)。由於元件分離用之溝槽104a的深度較深,因此用來形成溝槽104a之電漿乾蝕刻所需的時間較長,進行用來形成溝槽104a的電漿乾蝕刻時,在半導體基板1之主面上所形成的絕緣膜容易累積出起因於電漿而來的電荷(電荷容易充電)。又,在形成溝槽104a時於半導體基板1主面之絕緣膜所累積(充電)的電荷,係後來也不容易去除,而產生使得在圖32階段已累積於氧化膜102之電荷加多的作用。
又,除了溝槽104a的形成步驟外,充填住溝槽104a之絕緣膜106(相當於上述絕緣膜6)的形成步驟(相當於上述步驟S6)同樣對上述電荷累積有較大影響。詳言之,由於溝槽104a的深度較深,因此要充填該溝槽104a之絕緣膜106必須形成得較厚,俾於能充填入溝槽104a。當為了形成該較厚的絕緣膜106而採用電漿CVD法時,在形成絕緣膜106時容易累積出起因於電漿而來的電荷(電荷容易充電)。又,在形成絕緣膜106時所累積(充電)的電荷係後來也不容易去除,而產生使得在圖32階段已累積於氧化膜102之電荷加多的作用。此會於採用電漿CVD法形成絕緣膜106的情形發生,尤其於利用電漿密度高之HDP-CVD法形成絕緣膜106的情形下,會更顯著地發生。
如上述,溝槽104a形成步驟與絕緣膜106形成步驟會產生使得在圖32階段已累積於氧化膜102之電荷加多的作用。又,除了 溝槽104a形成步驟及絕緣膜106形成步驟以外,由於相當於上述步驟S7、S9的電漿乾蝕刻步驟也是利用電漿的處理,因此進行該等步驟的情形會產生使得在圖32階段已累積於氧化膜102之電荷加多的作用。又,雖然影響相較於電漿處理為少,但是形成氧化膜102以後直至得到圖32構造為止之間所進行的各種清洗步驟、或相當於上述步驟S8的CMP步驟中,也會產生起因於靜電而來之電荷的累積(充電),此同樣會產生使得在圖32階段已累積於氧化膜102之電荷加多的作用。
如上述,形成氧化膜102以後,直至得到圖32之構造以前,已於用來形成元件分離區104之各種步驟中在半導體基板1主面上所形成絕緣膜進行充電的電荷,係在緊接於去除氧化膜102之前的階段(圖32之階段)中,形成為在氧化膜102充電的狀態。該電荷係於將氧化膜102進行濕式蝕刻以使半導體基板1露出的步驟(從圖32轉移至圖33的步驟)中,在緊接於半導體基板1露出之前,氧化膜102之厚度變薄時,對半導體基板1側進行放電,並因為該放電而在半導體基板1形成凹坑51。亦即,由於在用來形成元件分離區104之各種步驟中,電荷容易進行充電;且形成氧化膜102以後,直至將氧化膜102進行濕式蝕刻而去除(從圖32轉移至圖33的步驟)為止之間,半導體基板1(之Si面)並不會露出,因此在去除氧化膜102時容易產生放電,並因為該放電而形成凹坑51。於元件分離區104所圍繞出之活性區中,由於在氧化膜102所累積有的電荷無逸散之處,因此緊接於將氧化膜102進行濕式蝕刻,而使該活性區(之Si面)露出以前,電荷會從氧化膜102側,對活性區之半導體基板1(Si區)側進行放電,而形成凹坑51。又,雖然氧化膜102之濕式蝕刻中使用如氫氟酸水溶液般含有離子的液體,但是即便使用該種液體,也難以將已在氧化膜102所充電的電荷去除,會因為放電而形成凹坑51。
<本實施形態之主要特徵與效果>於是,本實施形態中,於 步驟S11之絕緣膜2的去除(濕式蝕刻)步驟中,設計成把來自照明裝置36的光線44施加(照射)到半導體晶圓SW。亦即,步驟S11中,一面把來自照明裝置36的光線44施加(照射)到半導體晶圓SW,一面將絕緣膜2進行濕式蝕刻。將光線44施加到半導體晶圓SW之目的為:藉由光電效果,在Si基板(對應於半導體基板1之Si基板區)產生電荷(在此主要為電子),而將其從Si基板側供給到絕緣膜2側,使得已於絕緣膜2所累積有的電荷(主要累積有電洞)進行中和(使電子與電洞結合而消滅)。
圖35及圖36係已進行相當於步驟S11之步驟後的半導體晶圓SW之主面的電荷分布之說明圖。圖35對應於:與本實施形態不同,不把來自照明裝置36之光線44施加到半導體晶圓SW,而進行步驟S11(絕緣膜2之濕式蝕刻)後的情形;圖36則對應於:如本實施形態般,一面把來自照明裝置36之光線44施加到半導體晶圓SW,一面進行步驟S11(絕緣膜2之濕式蝕刻)後的情形。圖35及圖36中,(a)所顯示者為以等高線顯示出已進行步驟S11後的半導體晶圓SW之主面的電荷分布(經充電之電荷的分布)者,且等高線之數字越大,越顯示出每單位面積之電荷密度(經充電之電荷的密度)高。又,圖35及圖36中,(b)所顯示者為以直方圖(頻度分布)顯示出(a)之分布者,且(b)之直方圖的縱軸數值對應於(a)之等高線的數值,(b)之直方圖的橫軸對應於在半導體晶圓SW之主面整個面積所佔的比例(以百分比表示)。又,圖35及圖36之(a)的分布係利用表面電荷測定裝置所測定者。
如比較圖35與圖36時可知,藉由把來自照明裝置36之光線44施加到半導體晶圓SW,可使得經充電之電荷的量(密度)減少。此可認為係由於:藉由來自照明裝置36之光線44所產生的光電效果,從Si基板區(對應於半導體基板1之Si基板區)側對絕緣膜側供給電荷(在此主要為電子),已能夠將於絕緣膜所累積有的電荷(主要累積有電洞)進行中和。
圖37係顯示產生上述凹坑51之狀況的說明圖。圖37顯示有在下述情形所產生之上述凹坑51的分布:與本實施形態不同,不把來自照明裝置36之光線44施加到半導體晶圓SW,而對於半導體晶圓SW主面上所形成之上述氧化膜102進行了濕式蝕刻步驟(上述從圖32轉移至圖33的步驟)。於圖37之半導體晶圓SW的主面,以黑點所顯示者係產生凹坑51的位置,且從圖37可知:凹坑51容易於半導體晶圓SW之主面在特定位置密集產生。又,如比較圖37與圖35(a)時可知,圖37中之於半導體晶圓SW主面密集產生有凹坑51的區域,與圖35(a)中之已於半導體晶圓SW主面所充電之電荷的密度較高的區域,二者相當一致(即二者相關性高)。亦即可認為:當於半導體晶圓SW主面上所形成氧化膜102存在有電荷以高密度充電的區域時,容易在該區域產生凹坑51。
相對於此,本實施形態中,藉由一面把來自照明裝置36之光線44施加到半導體晶圓SW,一面進行步驟S11(絕緣膜2之濕式蝕刻),能夠利用光電效果,將已於半導體晶圓SW主面之絕緣膜2所充電的電荷進行中和,而將電荷密度減少,因此能抑制或防止凹坑(相當於上述凹坑51者)在基板區產生。於本實施形態的情形,圖37中變得幾乎不會產生凹坑51。
為了使Si產生光電效果,只要供應1.1eV以上的能量即可。光能量係以下述式1表示:E=hv=h×c/λ………(式1)式1中,E為能量,h為普朗克常數,v為頻率,c為光速,λ為波長。從式1可知:欲供應1.1eV以上的能量,需要波長在1127nm以下的光線。因此,步驟S11(絕緣膜2之濕式蝕刻步驟)中,照射到半導體晶圓SW的光線44只要設定成波長在1127nm以下的光線即可。
如上述,本實施形態藉由於步驟S11中,一面把光線(具體而 言為來自照明裝置36的光線44)施加(照射)到半導體晶圓SW(半導體基板1),一面將絕緣膜2進行濕式蝕刻,而抑制或防止上述凹坑51產生。此時,照射到半導體晶圓SW的光線44較佳係設定在140勒克司以上。在此,所謂140勒克司以上,係對應於半導體晶圓SW之主面的光照度在140勒克司以上。當半導體晶圓SW之主面的光照度較低時,無法充分得到光電效果,但是藉由將照射到半導體晶圓SW的光線44設定在140勒克司以上,可利用光電效果確實地於Si基板(對應於半導體基板1之Si基板區)產生電荷(在此主要為電子),變得能夠確實地防止上述凹坑51產生。
又,欲抑制凹坑51的產生,一面把光線(較佳為140勒克司以上的光線)施加到半導體晶圓SW之主面,一面將絕緣膜2進行濕式蝕刻(進行步驟S11)係屬重要,且即使已於步驟S11前將光線照射到半導體晶圓SW,只要不在絕緣膜2之濕式蝕刻(步驟S11)中把光線施加到半導體晶圓SW,即無法充分得到抑制凹坑51產生的效果。此係由於下述原因。
亦即,於將光線照射到形成有絕緣膜2之半導體晶圓SW的情形,欲產生光電效果,必須使光線通過絕緣膜2而到達Si基板區,於是在絕緣膜2之厚度已變薄時照射出光線係有其效能。因此,已於步驟S11前將光線照射到半導體晶圓SW時,由於絕緣膜2之厚度較厚,因此光電效果有限。然而,如本實施形態般,於步驟S11中,一面把光線(較佳為140勒克司以上的光線)施加到半導體晶圓SW之主面,一面將絕緣膜2進行濕式蝕刻時,由於一面照射出光線,一面進行濕式蝕刻而使絕緣膜2之厚度變薄,因此光線變得容易到達半導體晶圓SW之Si基板區,變得能利用光電效果,而有效率地產生電荷(在此主要為電子)。因此,能確實地得到抑制凹坑51產生的效果。又,如本實施形態般,於步驟S11中,一面把光線施加到半導體晶圓SW之主面,一面將絕緣膜2進行濕式蝕刻時,當進行濕式蝕刻而使絕緣膜2之厚度變薄時,於 絕緣膜2所充電之電荷密度(每單位體積之電荷密度)逐漸變大,在此時供給出利用光電效果所產生的電荷(主要為電子),藉以使電子與電洞變得容易結合而消滅。因此,能確實地得到抑制凹坑51產生的效果。
接著,將本實施形態的步驟S11中之光線44往半導體基板1(半導體晶圓SW)照射的方式整理如下。
本實施形態係於步驟S11中,一面把光線44(較佳為140勒克司以上的光線)施加到半導體基板1(半導體晶圓SW)之主面,一面將絕緣膜2進行濕式蝕刻,但此時係一面把光線44(較佳為140勒克司以上的光線)施加到半導體基板1(半導體晶圓SW)之主面的至少一部分,一面將絕緣膜2進行濕式蝕刻。藉此,可於半導體基板1(半導體晶圓SW)主面之被光線44所照射的區域,抑制或防止上述凹坑51產生。
又,本實施形態係於步驟S11中,一面使半導體基板1(半導體晶圓SW)旋轉,把光線44(較佳為140勒克司以上的光線)施加到旋轉的半導體基板1(半導體晶圓SW)之主面的至少一部分,一面將絕緣膜2進行濕式蝕刻。藉此,即便是將光線44照射到半導體基板1(半導體晶圓SW)之主面的一部分而非整體的情形,被光線44所照射的區域也可隨著半導體基板1(半導體晶圓SW)的旋轉,而在半導體基板1(半導體晶圓SW)之主面內移動,能使得於半導體基板1(半導體晶圓SW)主面上被光線44照射之區域的實質面積加大。藉此,能夠使半導體基板1(半導體晶圓SW)主面中之可抑制或防止上述凹坑51產生的區域加大。
又,本實施形態於步驟S11中,較佳係使得半導體基板1(半導體晶圓SW)之主面的任一區域,均在將絕緣膜2進行濕式蝕刻之間具有被光線44(較佳為140勒克司以上之光線)進行照射的期 間。亦即,於步驟S11中,較佳係使得半導體基板1(半導體晶圓SW)之主面在將絕緣膜2進行濕式蝕刻之間,不存在有完全未被光線44(較佳為140勒克司以上之光線)照射的區域。藉此,能夠於半導體基板1(半導體晶圓SW)之主面的整個區域,得到可抑制或防止上述凹坑51產生的效果。
如上述,本實施形態中,由於可抑制或防止凹坑51產生,因此能提高半導體裝置的可靠度。又,能夠提高半導體裝置的製造良率。
又,本實施形態係可抑制或防止凹坑51產生的技術,該凹坑51起因於:在形成元件分離區4之各種步驟(尤其是使用電漿之步驟)中,電荷容易充電的情形。但是,對於電荷充電特別有較大影響者為用來形成元件分離用之溝槽4a的電漿乾蝕刻步驟,除此之外,用來形成充填住溝槽4a之絕緣膜6的電漿CVD步驟之影響也較大。因此,本實施形態係只要適用於:滿足以電漿乾蝕刻形成元件分離用之溝槽4a者、及以電漿CVD法形成充填住溝槽4a之絕緣膜6者的至少其中一者的情形,即可得到上述抑制(防止)凹坑51產生的效果,但是若適用於滿足兩者的情形,其效果最大。
<步驟S11與其他濕式蝕刻步驟的關係>又,本實施形態中,為了抑制或防止隨著形成元件分離區4而產生凹坑51,於步驟S11之絕緣膜2的濕式蝕刻步驟中,將光線44照射到半導體晶圓SW。但是,較步驟S11(絕緣膜2去除步驟)以後,在半導體基板1(半導體晶圓SW)之主面上形成有絕緣膜、及該絕緣膜上之光阻層(發揮作為蝕刻遮罩之功能的光阻層)的狀態下,進行該絕緣膜的濕式蝕刻,以使半導體基板1露出時,則使得100勒克司以上之光線不照射到半導體基板1(半導體晶圓SW)的主面。其原因為:當光阻層(光阻層)被光線所照射時會變質(例如變硬),然後變得難以去除。
步驟S11中,由於在半導體基板1(半導體晶圓SW)之主面上未形成有光阻層(光阻層)的狀態下,將絕緣膜2進行濕式蝕刻,因此即使一面把光線(較佳為140勒克司以上的光線)施加到半導體晶圓SW之主面,一面將絕緣膜2進行濕式蝕刻,也因為沒有光阻層本身,故不會發生因光線照射到光阻層(光阻層)所造成的問題。另一方面,較步驟S11以後,在半導體基板1(半導體晶圓SW)之主面上形成有絕緣膜、及該絕緣膜上之光阻層(光阻層)的狀態下,進行該絕緣膜的濕式蝕刻,以使半導體基板1露出時,藉由使得100勒克司以上之光線不照射到半導體基板1(半導體晶圓SW)的主面,能抑制或防止因光線照射到光阻層(光阻層)所造成的問題。
較步驟S11以後,在半導體基板1(半導體晶圓SW)之主面上形成有絕緣膜、及該絕緣膜上之光阻層(光阻層)的狀態下,進行該絕緣膜的濕式蝕刻,以使半導體基板1露出時,即便使得100勒克司以上之光線不照射到半導體基板1(半導體晶圓SW)的主面,也不容易產生如上述凹坑51者。其原因為:已於步驟S11中,利用濕式蝕刻去除絕緣膜2以使半導體基板1露出的階段下,半導體基板1之主面上成為除了元件分離區4以外,未形成有絕緣膜的狀態(沒有電荷已充電之絕緣膜的狀態);於其後的步驟中,便不會如形成元件分離區4時一般地,有電荷在半導體基板1(半導體晶圓SW)之主面的絕緣膜進行充電。
亦即,於步驟S11去除絕緣膜2的步驟為容易產生凹坑51的步驟,且係於未形成光阻層(光阻層)之狀態下進行的步驟,因此為了抑制(防止)凹坑51的產生,一面將光線(較佳為140勒克司以上的光線)施加到半導體晶圓SW之主面,一面將絕緣膜2進行濕式蝕刻。另一方面,較步驟S11以後,在半導體基板1(半導體晶圓SW)之主面上形成有絕緣膜、及該絕緣膜上之光阻層的狀態下,進 行該絕緣膜之濕式蝕刻以使半導體基板1露出的步驟則為不易產生凹坑51的步驟,且係於形成有光阻層之狀態下進行的步驟,因此為了抑制光阻層的變形,使得100勒克司以上之光線不照射到半導體基板1(半導體晶圓SW)的主面。如上述,即使就利用濕式蝕刻去除半導體基板1(半導體晶圓SW)主面上所形成之絕緣膜以使半導體基板1露出的觀點而言,係屬相同的步驟,但是可分開採用:積極地施加光線的情形(步驟S11)、與不施加的情形(較步驟S11以後的步驟,且為形成有光阻層的步驟),而達到半導體裝置之總合可靠度的提高、或製造良率的提高。
在此,較步驟S11以後,若舉例說明:在半導體基板1(半導體晶圓SW)之主面上形成有絕緣膜、及該絕緣膜上之光阻層(光阻層)的狀態下進行該絕緣膜之濕式蝕刻以使半導體基板1露出的步驟,為上述步驟S14,且該絕緣膜及該光阻層分別對應於絕緣膜11及光阻圖案PR3。亦即,上述步驟S14中,藉由濕式蝕刻,去除未被光阻圖案PR3所覆蓋之區域(在此為低耐壓MIS區1B)的絕緣膜11,而露出半導體基板1之頂面(亦即p型井PW之表面)。但是,此時的濕式蝕刻係以100勒克司以上之光線不照射到半導體基板1(半導體晶圓SW)主面的方式進行。此對應於:步驟S14之絕緣膜11的濕式蝕刻中,使得半導體基板1(半導體晶圓SW)之主面的光照度未滿100勒克司,亦即使得半導體基板1之主面不存在有光照度在100勒克司以上的區域。藉此,可抑制住光阻圖案PR3在將絕緣膜11進行濕式蝕刻時因光線而變質(例如硬化),而使得光阻圖案PR3後來容易去除,能夠抑制或防止光阻圖案PR3發生未完全去除的情形。藉此,可使得半導體裝置的可靠度提高,並使得半導體裝置的製造良率提高。又,相較於步驟S11中在緊接於被濕式蝕刻前之絕緣膜2所充電的電荷,步驟S14中在緊接於被濕式蝕刻前之絕緣膜11所充電的電荷係非常少,因此於步驟S14中,將絕緣膜11進行濕式蝕刻時,即便使得100勒克司以上之光線不照射到半導體基板1(半導體晶圓SW)的主面,也 能抑制或防止相當於凹坑51者產生。
又,若以另一觀點來觀察上述事項,較步驟S11以後,在半導體基板1(半導體晶圓SW)之主面上形成有絕緣膜、及該絕緣膜上之光阻層(光阻層)的狀態下,進行該絕緣膜的濕式蝕刻,以使半導體基板1露出時,使得半導體基板1(半導體晶圓SW)之主面的光照度,較低於步驟S11中的半導體基板1(半導體晶圓SW)之主面的光照度。具體而言,係使得於上述步驟S14中將絕緣膜11進行濕式蝕刻時的半導體基板1(半導體晶圓SW)之主面的光照度,相較於上述步驟S11中將絕緣膜2進行濕式蝕刻時的半導體基板1(半導體晶圓SW)之主面的光照度為低。藉此,能夠得到可抑制或防止相當於上述凹坑51者產生的效果,並且能夠得到可抑制或防止光阻層發生未完全去除情形的效果。而且,步驟S11中的半導體基板1(半導體晶圓SW)之主面的光照度較佳為140勒克司以上;步驟S11以後,在半導體基板1之主面上形成有絕緣膜、及該絕緣膜上之光阻層的狀態下,進行該絕緣膜的濕式蝕刻,以使半導體基板1露出時(具體而言為步驟S14中),半導體基板1(半導體晶圓SW)之主面的光照度較佳為100勒克司以下。藉此,能使得可抑制或防止相當於上述凹坑51者產生的效果、以及可抑制或防止光阻層發生未完全去除情形的效果更加提高,使半導體裝置的可靠度或製造良率更確實地提高。
以上,已針對本發明人所設計之發明,依據其實施形態進行具體的說明。但是,本發明並不限於該實施形態,於不脫離其要旨的範圍內可進行各種變更,係屬當然。
【產業上利用性】
本發明係適用於半導體裝置之製造技術,而有其效能。
1‧‧‧半導體基板
1A‧‧‧高耐壓MIS區
1B‧‧‧低耐壓MIS區
2、3‧‧‧絕緣膜
4‧‧‧元件分離區
4a‧‧‧溝槽
5、6‧‧‧絕緣膜
11、12‧‧‧絕緣膜
13‧‧‧導電體膜
22‧‧‧絕緣膜
23‧‧‧接觸洞
24‧‧‧插頭
25‧‧‧絕緣膜
31‧‧‧半導體製造裝置
32‧‧‧搬運室
33‧‧‧蝕刻用腔室
34‧‧‧載入埠
35‧‧‧搬運用機器人
36‧‧‧照明裝置
41‧‧‧旋轉平台
42‧‧‧噴嘴
43‧‧‧蝕刻液
44‧‧‧光線
51‧‧‧凹坑
102‧‧‧氧化膜
104‧‧‧元件分離區
104a‧‧‧溝槽
105、106‧‧‧絕緣膜
EX‧‧‧延伸區
GE、GE1、GE2‧‧‧閘電極
M1‧‧‧配線
PR1、PR2、PR3、PR4‧‧‧光阻圖案
PW‧‧‧p型井
Q1、Q2‧‧‧MISFET
SD‧‧‧源極/汲極區
SP‧‧‧側壁間隙壁
SW‧‧‧半導體晶圓
圖1係顯示本發明之一實施形態的半導體裝置之部分製程的製造處理流程圖。
圖2係顯示本發明之一實施形態的半導體裝置之部分製程的製造處理流程圖。
圖3係本發明之一實施形態的半導體裝置在製程中的主要部分剖面圖。
圖4係接續於圖3之半導體裝置在製程中的主要部分剖面圖。
圖5係接續於圖4之半導體裝置在製程中的主要部分剖面圖。
圖6係接續於圖5之半導體裝置在製程中的主要部分剖面圖。
圖7係接續於圖6之半導體裝置在製程中的主要部分剖面圖。
圖8係接續於圖7之半導體裝置在製程中的主要部分剖面圖。
圖9係接續於圖8之半導體裝置在製程中的主要部分剖面圖。
圖10係接續於圖9之半導體裝置在製程中的主要部分剖面圖。
圖11係接續於圖10之半導體裝置在製程中的主要部分剖面圖。
圖12係接續於圖11之半導體裝置在製程中的主要部分剖面圖。
圖13係接續於圖12之半導體裝置在製程中的主要部分剖面圖。
圖14係接續於圖13之半導體裝置在製程中的主要部分剖面圖。
圖15係接續於圖14之半導體裝置在製程中的主要部分剖面圖。
圖16係接續於圖15之半導體裝置在製程中的主要部分剖面圖。
圖17係接續於圖16之半導體裝置在製程中的主要部分剖面圖。
圖18係接續於圖17之半導體裝置在製程中的主要部分剖面圖。
圖19係接續於圖18之半導體裝置在製程中的主要部分剖面圖。
圖20係接續於圖19之半導體裝置在製程中的主要部分剖面圖。
圖21係接續於圖20之半導體裝置在製程中的主要部分剖面圖。
圖22係接續於圖21之半導體裝置在製程中的主要部分剖面圖。
圖23係接續於圖22之半導體裝置在製程中的主要部分剖面圖。
圖24係接續於圖23之半導體裝置在製程中的主要部分剖面圖。
圖25係接續於圖24之半導體裝置在製程中的主要部分剖面圖。
圖26係接續於圖25之半導體裝置在製程中的主要部分剖面圖。
圖27係接續於圖26之半導體裝置在製程中的主要部分剖面圖。
圖28係接續於圖27之半導體裝置在製程中的主要部分剖面圖。
圖29係接續於圖28之半導體裝置在製程中的主要部分剖面圖。
圖30係步驟S11之絕緣膜去除步驟(濕式蝕刻步驟)中所使用的半導體製造裝置(蝕刻裝置)之說明圖。
圖31係步驟S11之絕緣膜去除步驟(濕式蝕刻步驟)中所使用的半導體製造裝置(蝕刻裝置)之說明圖。
圖32係在已藉由濕式蝕刻將半導體基板主面之氧化膜去除時產生之缺陷的說明圖。
圖33係在已藉由濕式蝕刻將半導體基板主面之氧化膜去除時產生之缺陷的說明圖。
圖34係在已藉由濕式蝕刻將半導體基板主面之氧化膜去除時產生之缺陷的說明圖。
圖35(a)、35(b)係半導體晶圓主面之電荷分布的說明圖。
圖36(a)、36(b)係半導體晶圓主面之電荷分布的說明圖。
圖37係顯示產生凹坑之狀況的說明圖。
1‧‧‧半導體基板
2‧‧‧絕緣膜
4‧‧‧元件分離區
4a‧‧‧溝槽
5、6‧‧‧絕緣膜

Claims (13)

  1. 一種半導體裝置之製造方法,其特徵在於:包含有:(a)步驟,準備半導體基板;(b)步驟,在該半導體基板之主面形成第1絕緣膜;(c)步驟,將該第1絕緣膜及該半導體基板進行電漿乾蝕刻,藉以在該第1絕緣膜及該半導體基板形成元件分離用的溝槽;(d)步驟,在該半導體基板之主面上形成第2絕緣膜,以將該溝槽予以充填;(e)步驟,藉由CMP處理,將該溝槽之外部的該第2絕緣膜去除,而在該溝槽內留下該第2絕緣膜;(f)步驟,於該(e)步驟後,藉由濕式蝕刻以去除該第1絕緣膜,而使該半導體基板露出;(g)步驟,於該(f)步驟後,在該半導體基板形成井的工程;且該(f)步驟中,於該半導體基板之主面上未形成有光阻層之狀態下,一面將140勒克司以上的光線施加到該半導體基板之主面的至少一部分,一面將該第1絕緣膜進行濕式蝕刻,於該(f)步驟時,該半導體基板整面由第1導電型構成。
  2. 如申請專利範圍第1項之半導體裝置之製造方法,其中,該(f)步驟中,一面將140勒克司以上的光線施加到旋轉的該半導體基板之主面的至少一部分,一面將該第1絕緣膜進行濕式蝕刻。
  3. 如申請專利範圍第2項之半導體裝置之製造方法,其中,該(f)步驟中,把用以對該第1絕緣膜進行蝕刻的蝕刻液供給到旋轉之該半導體基板的主面,以將該第1絕緣膜進行濕式蝕刻。
  4. 如申請專利範圍第3項之半導體裝置之製造方法,其中,該(f)步驟中,該半導體基板之主面的任一區域均在將該第1絕緣膜進行濕式蝕刻之間,具有被140勒克司以上之光線進行照射的期間。
  5. 如申請專利範圍第4項之半導體裝置之製造方法,其中,該第1絕緣膜為氧化膜。
  6. 如申請專利範圍第5項之半導體裝置之製造方法,其中,該(b)步驟中,藉由熱氧化以形成該第1絕緣膜。
  7. 如申請專利範圍第6項之半導體裝置之製造方法,其中,於該(b)步驟後,而該(c)步驟前,更包含有在該第1絕緣膜上形成第3絕緣膜的(b1)步驟;該(c)步驟中,將該第3絕緣膜、該第1絕緣膜及該半導體基板進行電漿乾蝕刻,藉以在該第3絕緣膜、該第1絕緣膜及該半導體基板形成元件分離用的溝槽;且於該(e)步驟後,而該(f)步驟前,更包含有將該第3絕緣膜去除以使該第1絕緣膜露出的(e1)步驟。
  8. 如申請專利範圍第7項之半導體裝置之製造方法,其中,該第3絕緣膜為氮化矽膜。
  9. 如申請專利範圍第8項之半導體裝置之製造方法,其中,該(d)步驟中,以電漿CVD法形成該第2絕緣膜。
  10. 如申請專利範圍第9項之半導體裝置之製造方法,其中,該(d)步驟中,以高密度電漿CVD法形成該第2絕緣膜。
  11. 如申請專利範圍第10項之半導體裝置之製造方法,其中,該第2絕緣膜為氧化矽膜。
  12. 如申請專利範圍第11項之半導體裝置之製造方法,其中,於該(g)步驟後,在該半導體基板之主面上形成有MISFET之閘絕緣膜、及該MISFET之閘絕緣膜上之光阻層的狀態下,進行該MISFET之閘絕緣膜的濕式蝕刻,以使該半導體基板露出時,使得100勒克司以上之光線不照射到該半導體基板的主面。
  13. 如申請專利範圍第1項之半導體裝置之製造方法,其中,於該(f)步驟時,在該半導體基板未形成有PN接合。
TW101123770A 2011-07-05 2012-07-02 半導體裝置之製造方法 TWI553724B (zh)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP2011148802A JP5859758B2 (ja) 2011-07-05 2011-07-05 半導体装置の製造方法

Publications (2)

Publication Number Publication Date
TW201318054A TW201318054A (zh) 2013-05-01
TWI553724B true TWI553724B (zh) 2016-10-11

Family

ID=47438907

Family Applications (2)

Application Number Title Priority Date Filing Date
TW101123770A TWI553724B (zh) 2011-07-05 2012-07-02 半導體裝置之製造方法
TW105125218A TW201637090A (zh) 2011-07-05 2012-07-02 半導體裝置之製造方法

Family Applications After (1)

Application Number Title Priority Date Filing Date
TW105125218A TW201637090A (zh) 2011-07-05 2012-07-02 半導體裝置之製造方法

Country Status (4)

Country Link
US (2) US9142443B2 (zh)
JP (1) JP5859758B2 (zh)
CN (1) CN102867739A (zh)
TW (2) TWI553724B (zh)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI749336B (zh) * 2018-09-26 2021-12-11 日商國際電氣股份有限公司 半導體裝置之製造方法、基板處理裝置及程式

Families Citing this family (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP6341634B2 (ja) 2013-05-28 2018-06-13 新光電気工業株式会社 プローブガイド板及びその製造方法、半導体検査装置
JP6200818B2 (ja) * 2014-01-21 2017-09-20 ルネサスエレクトロニクス株式会社 半導体装置の製造方法
CN103871899B (zh) * 2014-02-21 2017-05-03 上海华力微电子有限公司 一种finfet结构的制备方法
JP6418694B2 (ja) * 2015-03-26 2018-11-07 株式会社Screenホールディングス 基板処理装置および基板処理方法
EP3652780B1 (en) * 2017-07-14 2022-01-05 Sunedison Semiconductor Limited Method of manufacture of a semiconductor on insulator structure
JP7001896B2 (ja) * 2017-11-10 2022-01-20 オムロン株式会社 Dc-dcコンバータ
JP6867283B2 (ja) * 2017-12-28 2021-04-28 ルネサスエレクトロニクス株式会社 半導体装置の製造方法

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20040262265A1 (en) * 2003-06-26 2004-12-30 Trecenti Technologies, Inc. Wet processing apparatus, wet processing method and manufacturing method of semiconductor device
US20080132059A1 (en) * 2000-04-05 2008-06-05 Junji Noguchi Semiconductor integrated circuit device and fabrication method for semiconductor integrated circuit device

Family Cites Families (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4041518A (en) * 1973-02-24 1977-08-09 Hitachi, Ltd. MIS semiconductor device and method of manufacturing the same
TW388096B (en) * 1996-06-10 2000-04-21 Texas Instruments Inc Integrated circuit insulator and method
CN1516266A (zh) * 1997-03-14 2004-07-28 株式会社日立制作所 制造半导体集成电路器件的方法
JP2001203263A (ja) * 2000-01-20 2001-07-27 Hitachi Ltd 半導体集積回路装置の製造方法および半導体集積回路装置
JP2004179571A (ja) * 2002-11-29 2004-06-24 Nec Electronics Corp 半導体装置の製造方法
JP4524457B2 (ja) * 2004-12-14 2010-08-18 ルネサスエレクトロニクス株式会社 半導体装置の製造方法及び装置
KR100760926B1 (ko) * 2006-10-11 2007-09-21 동부일렉트로닉스 주식회사 다중 비트셀을 구현하는 비휘발성 반도체 메모리 장치 및그 제조방법
JP2009049293A (ja) * 2007-08-22 2009-03-05 Panasonic Corp 半導体装置の製造方法及び半導体装置の製造装置
JP5408930B2 (ja) * 2007-08-31 2014-02-05 株式会社半導体エネルギー研究所 半導体装置の作製方法
JP4799539B2 (ja) 2007-12-26 2011-10-26 Sumco Techxiv株式会社 シリコンのエッチング方法、シリコンのエッチング装置
JP5486498B2 (ja) * 2008-08-01 2014-05-07 ルネサスエレクトロニクス株式会社 半導体装置及び半導体装置の製造方法

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20080132059A1 (en) * 2000-04-05 2008-06-05 Junji Noguchi Semiconductor integrated circuit device and fabrication method for semiconductor integrated circuit device
US20040262265A1 (en) * 2003-06-26 2004-12-30 Trecenti Technologies, Inc. Wet processing apparatus, wet processing method and manufacturing method of semiconductor device

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI749336B (zh) * 2018-09-26 2021-12-11 日商國際電氣股份有限公司 半導體裝置之製造方法、基板處理裝置及程式

Also Published As

Publication number Publication date
CN102867739A (zh) 2013-01-09
US20150357231A1 (en) 2015-12-10
US9142443B2 (en) 2015-09-22
TW201637090A (zh) 2016-10-16
TW201318054A (zh) 2013-05-01
JP5859758B2 (ja) 2016-02-16
US20130011996A1 (en) 2013-01-10
JP2013016672A (ja) 2013-01-24

Similar Documents

Publication Publication Date Title
TWI553724B (zh) 半導體裝置之製造方法
US20200321345A1 (en) Cell boundary structure for embedded memory
US11410846B2 (en) Method for metal gate surface clean
US20070082440A1 (en) Semiconductor device and manufacturing method thereof
US20200411519A1 (en) Semiconductor structure
TW200945500A (en) Complementary metal oxide semiconductor device with an electroplated metal replacement gate
US10438854B2 (en) Method for manufacturing CMOS structure
US9397228B2 (en) Semiconductor device structure and method for forming the same
TWI613816B (zh) 半導體裝置及其製造方法
TWI761800B (zh) 溝槽型mosfet裝置製造方法
TW201839964A (zh) 半導體裝置之製造方法
CN116013940B (zh) 形成图像传感器装置的方法及半导体器件
KR102010703B1 (ko) 고 유전 상수 유전체 층 형성 방법, 이미지 센서 디바이스 및 그 제조 방법
US6727187B2 (en) Fabrication method for semiconductor device
US9484251B1 (en) Contact integration for reduced interface and series contact resistance
US10109638B1 (en) Embedded non-volatile memory (NVM) on fully depleted silicon-on-insulator (FD-SOI) substrate
US20230387152A1 (en) Pixel sensor including a transfer finfet
US7687363B2 (en) Method for manufacturing semiconductor device
TWI469261B (zh) 藉由更改寄生pn接合以減少在清淨製程期間之cmos裝置之閘極缺陷的方法
US9966455B2 (en) Method for manufacturing a semiconductor device
CN111863723B (zh) 半导体结构及其形成方法
CN114695547A (zh) 半导体结构及其形成方法
CN111785689A (zh) Cmos器件及其形成方法
US20230369369A1 (en) Stacked image sensors and methods of formation
KR20080071809A (ko) 반도체 소자의 형성 방법