TWI521706B - 半導體元件、半導體裝置及其製造方法 - Google Patents

半導體元件、半導體裝置及其製造方法 Download PDF

Info

Publication number
TWI521706B
TWI521706B TW101128185A TW101128185A TWI521706B TW I521706 B TWI521706 B TW I521706B TW 101128185 A TW101128185 A TW 101128185A TW 101128185 A TW101128185 A TW 101128185A TW I521706 B TWI521706 B TW I521706B
Authority
TW
Taiwan
Prior art keywords
region
substrate
isolation
source
dove
Prior art date
Application number
TW101128185A
Other languages
English (en)
Other versions
TW201338165A (zh
Inventor
李宜靜
林佑儒
萬政典
吳政憲
柯誌欣
Original Assignee
台灣積體電路製造股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 台灣積體電路製造股份有限公司 filed Critical 台灣積體電路製造股份有限公司
Publication of TW201338165A publication Critical patent/TW201338165A/zh
Application granted granted Critical
Publication of TWI521706B publication Critical patent/TWI521706B/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66787Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel
    • H01L29/66795Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/02521Materials
    • H01L21/02524Group 14 semiconducting materials
    • H01L21/02532Silicon, silicon germanium, germanium
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02612Formation types
    • H01L21/02617Deposition types
    • H01L21/0262Reduction or decomposition of gaseous compounds, e.g. CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02612Formation types
    • H01L21/02617Deposition types
    • H01L21/02631Physical deposition at reduced pressure, e.g. MBE, sputtering, evaporation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02612Formation types
    • H01L21/02617Deposition types
    • H01L21/02634Homoepitaxy
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/30625With simultaneous mechanical treatment, e.g. mechanico-chemical polishing
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/76Making of isolation regions between components
    • H01L21/762Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers
    • H01L21/76224Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers using trench refilling with dielectric materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body
    • H01L27/08Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind
    • H01L27/085Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
    • H01L27/088Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate
    • H01L27/0886Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate including transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/785Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • H01L29/7853Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET the body having a non-rectangular crossection

Description

半導體元件、半導體裝置及其製造方法
本發明係關於半導體製作,且特別是關於一種半導體裝置、元件及其製造方法。
由於各種電子構件(例如電晶體、二極體、電阻、電容等)之整合密度持續改進,半導體積體電路已經歷了迅速成長。最重要部分為,整合密度的改善者要來自最小特徵尺寸(minimum feature size)的持續縮減,其使得於一特定區域內可更整合有更多構件。然而,較小之特徵尺寸可能導致了更多的漏電流(leakage current)情形。隨著近年來更小電子裝置的需求的提升,便需要降低半導體裝置之漏電流情形。
於互補型金氧半導體(CMOS)場效電晶體(FET)中,主動區包括了一汲極(drain)、一源極(source)、連結於上述汲極與源極之間的一通道區(channel region)、以及位於上述通道區上之一閘極(gate),以控制通道區的開關狀態。當一閘極電壓(gate voltage)超過一臨界電壓(threshold voltage)時,於源極與汲極之間便形成了一導電通道。因此,於源極與汲極之間便允許了電子或電洞的移動。另一方面,當上述閘極電壓係低於臨界電壓時,於理想狀態中,上述通道區為關閉的,於其處並沒有電子或電洞可於汲極與源極之間流通。然而,雖著半導體裝置的持續微縮,由於短通道漏電流(short channel leakage)效應,閘極無法完全地控制 通道區,特別是較遠離閘極之通道區的部份。如此,當半導體裝置縮減至次30奈米(sub-30 nm)之尺寸時,習知之平面型電晶體之對應短閘極通道長度可能導致了閘極無法關閉通道區。
隨著半導體技術的演進,鰭型場效電晶體(FinFET)已提供了一有效選擇,以更降低於半導體裝置內之漏電流。於一場效電晶體中,包括汲極、通道區與源極之一主動區突出此鰭型場效電晶體所在位置之半導體基板的表面。鰭型場效電晶體之主動區,類似一鰭狀物,其剖面情形為一長方形形狀。此外,鰭型場效電晶體之閘極結構沿著三個側面而包覆了主動區,類似倒U形。因此,閘極結構對於通道區的控制變的更強。因而可減少習知平坦型電晶體之短通道漏電效應。如此,於鰭型場效電晶體關閉時,閘極結構可較佳地控制通道區並減少漏電流之情形。
依據一實施例,本發明提供了一種半導體裝置,包括:一第一隔離區,位於一基板內,其中該第一隔離區具有一第一非垂直側壁;一第二隔離區,位於該基板內,其中該第二隔離區具有一第二非垂直側壁;一V形槽,位於該基板內,其中該V形槽、該第一非垂直側壁與該第二非垂直側壁於該基板內形成了一斗蓬形凹口;一斗蓬形主動區,位於該基板上之該斗蓬形凹口之內,其中該斗蓬形主動區具有突出於該第一隔離區與該第二隔離區之一頂面之一上方部,且該斗蓬形主動區包括:一第一源極/汲極區; 一第二源極/汲極區;以及一通道區,連結於該第一源極/汲極區與該第二源極/汲極區之間;以及一閘電極,包覆該斗蓬形主動區之該通道區。
依據另一實施例,本發明提供了一種半導體元件,包括:一第一源極/汲極區,位於一基板內;一第二源極/汲極區,位於該基板內;一通道,連結於該第一源極/汲極區與該第二源極/汲極區之間,其中該第一源極/汲極區、該第二源極/汲極區與該通道形成了一斗蓬形主動區;以及一閘電極,包覆該通道。
依據又一實施例,本發明提供了一種半導體裝置之製造方法,包括:形成一第一隔離區於一基板內;形成一第二隔離區於該基板內;移除該基板之一部,以形成一凹口於該第一隔離區與該第二隔離區之間;於該凹口處施行一表面處理,以形成一斗蓬形凹口;以及使用一磊晶成長,以形成一斗蓬形主動區。
為讓本發明之上述目的、特徵及優點能更明顯易懂,下文特舉一較佳實施例,並配合所附的圖式,作詳細說明如下:
於下文中藉由不同實施例以解說本發明之具有斗蓬形(cloak-shaped)主動區之一鰭型場效電晶體(FinFET)之解說。然而,本發明亦可應用於各種半導體裝置中。於下文 中,將藉由下述圖式以解說本發明之不同實施例。
第1圖為一剖面圖,繪示了依據本發明之一實施例之具有斗蓬狀主動區之一鰭型場效電晶體。在此,鰭型場效電晶體100係形成於一基板102之上。自剖面觀之,鰭型場效電晶體100包括一斗蓬狀主動區110。尤其是,斗蓬狀主動區110可包括一第一源極/汲極區、一第二源極/汲極區以及連結於第一源極/汲極區與第二源極汲極區(皆未顯示)間之一通道(在此未顯示)。如第1圖所示,斗蓬狀主動區110係位於兩隔離區之間,即隔離區106與隔離區108。依據一實施例,隔離區106與隔離區108皆採用了一淺溝槽隔離(shallow trench isolation,STI)結構。
鰭型場效電晶體100可更包括形成於斗蓬狀主動區110上之一閘電極114。特別地,閘介電層112係形成於斗蓬狀主動區110與閘電極114之間。如第1圖所示,閘介電層112係設置於斗蓬狀主動區110以及隔離區106與隔離區108之上。於下文中將配合第2-9圖以解說鰭型場效電晶體100之製造方法之一實施例。
具有一斗蓬狀主動區110之一較佳元件係於施行一矽鍺磊晶成長製程之前,提供具有適用於後續磊晶成長之潔淨表面之一斗蓬狀凹口(cloak-shaped recess,未顯示,請參照第4圖)。因此,可於斗蓬狀凹口內成長單晶矽鍺磊晶層(single crystalline silicon germanium epitaxial layer)。如此之單晶矽鍺磊晶層有助於改善鰭型場效電晶體100之通道之結晶品質。
第2圖為一剖面圖,繪示了依據本發明之一實施例之 具有數個隔離區之一半導體基板。在此,基板102可為一矽基板。或者,基板102可包括如鍺之其他半導體材料、或如碳化矽、砷化鎵、砷化銦、磷化銦及相似物之化合物半導體材料。依據一實施例,基板102可為一結晶結構。依據另一實施例,基板102可為一絕緣層上覆矽(silicon-on-insulator,SOI)基板。
隔離區106與108係形成於基板102之內。依據一實施例,隔離區106與108係採用淺溝槽隔離結構。此些淺溝槽隔離結構(例如隔離區106)可採用包括微影與蝕刻製程之適當技術所形成。特別地,微影與蝕刻製程可包括沈積如阻劑之常用罩幕材料於基板102上、曝光此罩幕材料以形成一圖案、以及依據上述圖案以蝕刻基板102。依照此方法,可形成複數個開口。此些開口接著為介電材料所填滿,以形成數個淺溝槽隔離結構(例如隔離區106與108)。接著施行一化學機械研磨製程,以移除過量之介電材料,而剩餘部份便形成了此些隔離區106與108。
如第2圖所示,隔離區106與108具有相對之側壁。值得注意的是,於第2圖中僅顯示了分隔之兩隔離區106與108,此些隔離區106與108可為一連續區域之數個部份,而於一實施例中此連續區域可形成一隔離環(isolation ring)。基板102之一上部104係介於隔離區106與108之間並鄰近於隔離區106與108。此上部104之寬度W為小的。依據一實施例,此寬度W約少於50奈米。較佳地,上述尺寸於描述中之僅作為範例之用,且可改變為其他數值。
第3圖為一剖面圖,繪示了依據本發明之一實施例中第2圖所示之基板於移除其一部份後之情形。基板102之上部104之一上方部(請參照第2圖)經過移除而形成一V形槽302。依據一實施例,此V形槽302之底部係高於隔離區106與108之底面。依據另一實施例,V形槽302之底部可大體水平於或低於隔離區106與108之底部。
可採用適當技術移除基板102之上部104之上方部。 特別地,此V形槽302可使用一蝕刻製程所形成。舉例來說,如阻劑罩幕及/或一硬罩幕之一圖案化罩幕(未顯示)可採用沈積與微影技術而形成於隔離區106與108之頂面上。接著,施行如反應性離子蝕刻、其他乾蝕刻、非等向性濕蝕刻或其他適當非等向性蝕刻製程之一蝕刻程序,以形成V形槽302。依據一實施例,可使用如氫氧化四甲基銨(TMAH)之蝕刻化學品,以施行一非等向性濕蝕刻。如此之非等向性濕蝕刻可具有約為3-5%之TMAH濃度。此蝕刻製程可於介於約20-35℃之一溫度下施行。
請參照第3圖,此V形槽302底部具有一內角。依據一實施例,此內角約為100-110度。如第3圖所示之具有V形槽之一優點為如此之V形槽有助於改善後續矽鍺磊晶成長之品質。
第4圖為一剖面圖,繪示了依據本發明之一實施例中第3圖內基板於施行一表面處理後之情形。可施行一表面處理以處理基板102之露出表面,其中上述露出表面係位於V形槽的內部。而上述表面處理可具有一真空環境之一腔體內(未顯示)內施行。此表面處理之製程氣體包括了含 氧氣體以及一蝕刻氣體,上述氣體可同時使用。上述蝕刻氣體具有蝕刻基板102之功能。依據一實施例,含氧氣體包括了氧氣、臭氧及其組合。而蝕刻氣體則可包括含氟氣體,例如CF4。依據一實施例,蝕刻氣體可包括如氟化氫(HCl)之含氯氣體。於此表面處理中,所使用之流率比率,即含氧氣體之流率與含氧氣體流率與蝕刻氣體之總流率之一比率,約介於0.99-0.995。含氧氣體與蝕刻氣體之總壓力約介於500mTorr至1.5 Torr。依據另一實施例,此表面處理可包括一電漿處理,其中電漿之各別射頻功率可介於約1100-1500瓦特。於上述表面處理中,基板102可加熱至介於約150-300℃之一溫度。
基於此表面處理,可改善基板102之表面。可移除形成於基板102之表面上之凹處(pits)與凸處(islands)。此外,如第4圖所示,隔離區(例如隔離區106)之部份側壁於上述表面處理中被移除。因此,形成了一斗蓬形凹口402。如此之斗蓬形凹口有助於改善後續磊晶成長之結晶品質。磊晶成長將參照第5圖而於下文中描述。
此斗蓬狀凹口402可由三個角度所定義形成。如第4圖所示,隔離區106之一側壁為非垂直的。上述側壁偏離於垂直之一角度定義出了一第一角度α。依據一實施例,此第一角度α介於約0-20度。而介於非垂直側壁與V形槽之轉折點處則可定義出一第二角度β。依據一實施例,第二角度β介於約130-160度。此V形槽可定義出一第三角度γ。依據一實施例,第三角度γ具有介於約100-110度之一角度。
於表面處理之後,可於基板102以及隔離區106與108之側壁上施行一潔淨程序(cleaning process)。可採用此潔淨程序以移除表面氧化物(native oxide),當其形成於基板102之上時。依據一實施例,潔淨程序可施行採用經稀釋之氫氟酸(HF)溶液(diluted HF solution)及/或一高溫氫氣烘焙程序(high temperature H2 bake process)。
第5圖為一剖面圖,繪示了依據本發明之一實施例中第4圖基板於斗蓬狀凹口內成長一磊晶矽鍺層後之情形。可於斗蓬狀凹口402(請參見第4圖)內使用如選擇性磊晶成長(selective epitaxial growth,SEG)之適當技術以成長矽鍺,進而形成一斗蓬狀磊晶區110。於一實施例中,可於上述磊晶成長中臨場地摻雜有如硼之P型摻質或如磷之N型摻質。或者,此磊晶層可採用如離子佈值製程、擴散製程或相似製程之其他製程而摻雜之。如第5圖所示,斗蓬狀磊晶區110之頂面可成長至高於隔離區(例如隔離區106)頂面之一程度。
於一實施例中,斗蓬狀磊晶區110可包括鍺。或者,斗蓬狀磊晶區110可包括矽鍺。可使用CMOS相容磊晶製程以成長此磊晶層。上述CMOS相容磊晶製程可包括化學氣相沈積(CVD)或相似方法。
依照斗蓬狀磊晶區110之期望組成情形,用於磊晶成長之前驅物可包括含矽氣體以及含鍺氣體,例如SiH4、GeH4及或相似物,而含矽氣體以及含鍺氣體的分壓(partial pressure)可經過調整,以調整鍺與矽的原子比。依據一實施例,斗蓬狀磊晶區110之矽鍺可表示為Si1-xGex,其中x 為鍺之原子百分比,且其可介於0-1。依據一實施例,斗蓬狀磊晶區110包括大體純鍺(具有x等於1)。或者,斗蓬狀磊晶區110可具有一低鍺含量。例如,x約介於0.1-0.3。
依據另一實施例,斗蓬狀磊晶區110可包括其他半導體材料,例如碳化矽,大體純矽、如GaN、AlAs、InN、AlN、InxGa(1-x)N、AlxGa(1-x)N、AlxGa(1-x)N、AlxIn(1-x)N、AlxInyGa(1-x-y)N之III-V化合物半導體材料及其組合,其中x與y可介於約0-1。
依據一實施例,斗蓬狀磊晶區110之下部與上部可具有不同成分。舉例來說,斗蓬狀磊晶區110之下部與上部可具有不同之鍺比例。例如,上部可具有高於下部之鍺含量。如此之結構可用於形成一p型鰭型場效電晶體。或者,斗蓬狀磊晶區110之上部可具有低於下部之一鍺含量。如此之結構可用於形成一n型鰭型場效電晶體。
第6圖為一剖面圖,繪示了依據本發明之一實施例中第5圖基板於針對突出之磊晶矽鍺層施行一化學機械研磨製程後之情形。依據矽鍺鰭型場效電晶體之製程,需移除矽鍺磊晶成長之突出部,以形成如第6圖所示之一平坦表面。特別地,可去除如第5圖所示之矽鍺磊晶層之突出部直至矽鍺部的頂面水平於鄰近隔離區(例如隔離區106)之頂面。
上述移除可藉由適當技術所形成,例如研磨、拋光及/或化學蝕刻。依據一實施例,上述移除製程可藉由化學機械研磨製程所施行。於一化學機械研磨製程中,導入蝕刻材料與研磨材料的組合物並使之接觸矽鍺區110之頂面, 並使用研磨墊(未顯示)以研磨去除突出部直至達成一期望之平坦表面。
第7圖為一剖面圖,繪示了依據本發明之一實施例中第6圖基板於移除淺溝槽隔離結構之一上部部份後之情形。鰭型場效電晶體之製程可更包括凹陷隔離區106與108,使得隔離區106與108之頂面低於斗蓬狀磊晶區110之頂面。斗蓬狀磊晶區110高於隔離區106與108之頂面之一部形成了一半導體鰭狀物。
第8圖為一剖面圖,繪示了依據本發明之一實施例中第7圖基板於形成一閘介電層後之情形。閘介電層112可由氧化物材料所形成,且可由如濕式熱氧化或乾式熱氧化之適當氧化製程、濺鍍或藉由採用四乙基矽氧烷(TEOS)與氧氣做為前驅物之化學氣相沈積所形成。此外,閘介電層112可為一高介電常數(high-K)介電材料,例如氧化矽、氮氧化矽、與氧化物、含氮氧化物、氧化鋁、氧化鑭、氧化鉿、氧化鉺、氮氧化鉿、其組合或相似物。
第9圖為一剖面圖,繪示了依據本發明之一實施例中第8圖之基板於形成一閘電極後之情形。閘電極114可包括擇自由包括多晶矽、多晶矽鍺、金屬材料、金屬矽化物材料、金屬氮化物材料、金屬氧化物材料及相似物所組成族群之一導電材料。舉例來說,金屬材料可包括鉭、鈦、鉬、鎢、鉑、鋁、鉿、釕、其組合以及相似物。金屬矽化物材料可包括鈦矽化物、鈷矽化物、鎳矽化物、鉭矽化物、其組合或相似物。金屬氮化物可包括鈦氮化物、鉭氮化物、鎢氮化物、其組合及相似物。金屬氧化物材料可包括氧化 釕、氧化銦錫、其組合及相似物。
值得注意的是,亦可使用其他製程以形成閘電極。上述其他適當製程包括化學氣相沈積(CVD)、物理氣相沈積(PVD)、電漿加強型CVD、大氣壓CVD、高密度電漿CVD、低壓CVD、原子層CVD及相似製程,但非以其為限。
可以理解的是,於閘電極114形成後可能形成一非平坦表面。因此可施行一化學機械研磨製程以平坦化閘電極的頂面。此化學機械研磨製程可參照第6圖所示,故於此並不再次描述。
雖然本發明已以較佳實施例揭露如上,然其並非用以限定本發明,任何熟習此項技藝者,在不脫離本發明之精神和範圍內,當可作更動與潤飾,因此本發明之保護範圍當視後附之申請專利範圍所界定者為準。
100‧‧‧鰭型場效電晶體
102‧‧‧基板
104‧‧‧基板之上部
106、108‧‧‧隔離區
110‧‧‧斗蓬狀主動區
112‧‧‧閘介電層
114‧‧‧閘電極
302‧‧‧V形槽
402‧‧‧斗蓬狀凹口
W‧‧‧寬度
A、β、γ‧‧‧角度
第1圖為一剖面圖,繪示了依據本發明之一實施例之具有斗蓬狀主動區之一鰭型場效電晶體;第2圖為一剖面圖,繪示了依據本發明之一實施例之具有數個隔離區之一半導體基板;第3圖為一剖面圖,繪示了依據本發明之一實施例中第2圖之基板於移除其一部份後之情形;第4圖為一剖面圖,繪示了依據本發明之一實施例中第3圖之基板於經過一表面處理後之情形;第5圖為一剖面圖,繪示了依據本發明之一實施例中第4圖之基板於基板凹口之內成長一磊晶矽鍺層後之情形;第6圖為一剖面圖,繪示了依據本發明之一實施例中第5圖之基板於針對磊晶矽鍺層施行一化學機械研磨製程後之情形;第7圖為一剖面圖,繪示了依據本發明之一實施例中第6圖之基板於移除淺溝槽隔離結構之上部部份後之情形;第8圖為一剖面圖,繪示了依據本發明之一實施例中第7圖之基板於形成一閘介電層後之情形;以及第9圖為一剖面圖,繪示了依據本發明之一實施例中第8圖之基板於形成一閘電極後之情形。
100‧‧‧鰭型場效電晶體
102‧‧‧基板
106、108‧‧‧隔離區
110‧‧‧斗蓬狀主動區
112‧‧‧閘介電層
114‧‧‧閘電極

Claims (10)

  1. 一種半導體裝置,包括:一第一隔離區,位於一基板內,其中該第一隔離區具有一第一非垂直側壁;一第二隔離區,位於該基板內,其中該第二隔離區具有一第二非垂直側壁;一V形槽,位於該基板內,其中該V形槽、該第一非垂直側壁與該第二非垂直側壁於該基板內形成了一斗蓬形凹口;一斗蓬形主動區,位於該基板上之該斗蓬形凹口之內,其中該斗蓬形主動區具有突出於該第一隔離區與該第二隔離區之一頂面之一上方部、一第一三角區埋置於該第一隔離區中、以及一第二三角區埋置於該第二隔離區中,且該斗蓬形主動區包括:一第一源極/汲極區;一第二源極/汲極區;以及一通道區,連結於該第一源極/汲極區與該第二源極/汲極區之間;以及一閘電極,包覆該斗蓬形主動區之該通道區。
  2. 如申請專利範圍第1項所述之半導體裝置,更包括:一閘介電層,位於該斗蓬形主動區與該閘電極之間。
  3. 如申請專利範圍第1項所述之半導體裝置,其中該斗蓬形主動區係由矽鍺所形成。
  4. 如申請專利範圍第1項所述之半導體裝置,其中由 該第一非垂直側壁與該V型槽形成了約介於130-160度之一轉折角度,而該V型槽具有介於約100-110度之一內角。
  5. 一種半導體元件,包括:一第一源極/汲極區,位於一基板內;一第二源極/汲極區,位於該基板內;一通道,連結於該第一源極/汲極區與該第二源極/汲極區之間,其中該第一源極/汲極區、該第二源極/汲極區與該通道形成了一斗蓬形主動區,其中該斗蓬形主動區具有一第一三角區埋置於該第一隔離區中,以及一第二三角區埋置於該第二隔離區中;以及一閘電極,包覆該通道。
  6. 如申請專利範圍第5項所述之半導體元件,其中該斗蓬形主動區具有一V形底部。
  7. 如申請專利範圍第5項所述之半導體元件,更包括:一第一隔離區,位於該基板內;一第二隔離區,位於該基板內,其中該第一隔離區與該第二隔離區係形成於該斗蓬形主動區之對稱側;以及一閘介電層,位於該閘電極與該斗蓬形主動區之間。
  8. 如申請專利範圍第5項所述之半導體元件,其中:該第一源極/汲極區係由矽鍺所形成;該第二源極/汲極區係由矽鍺所形成;以及該通道係由矽鍺所形成。
  9. 一種半導體裝置之製造方法,包括:形成一第一隔離區於一基板內;形成一第二隔離區於該基板內; 移除該基板之一部,以形成一凹口於該第一隔離區與該第二隔離區之間;於該凹口處施行一表面處理,以形成一斗蓬形凹口;以及使用一磊晶成長,以形成一斗蓬形主動區,其中該斗蓬形主動區具有一第一三角區埋置於該第一隔離區中,以及一第二三角區埋置於該第二隔離區中。
  10. 如申請專利範圍第9項所述之半導體裝置之製造方法,更包括:形成一矽鍺磊晶區於該斗蓬形凹口內。
TW101128185A 2012-03-01 2012-08-06 半導體元件、半導體裝置及其製造方法 TWI521706B (zh)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US13/410,073 US8742509B2 (en) 2012-03-01 2012-03-01 Apparatus and method for FinFETs

Publications (2)

Publication Number Publication Date
TW201338165A TW201338165A (zh) 2013-09-16
TWI521706B true TWI521706B (zh) 2016-02-11

Family

ID=49042354

Family Applications (1)

Application Number Title Priority Date Filing Date
TW101128185A TWI521706B (zh) 2012-03-01 2012-08-06 半導體元件、半導體裝置及其製造方法

Country Status (4)

Country Link
US (4) US8742509B2 (zh)
KR (1) KR101369560B1 (zh)
CN (1) CN103296084B (zh)
TW (1) TWI521706B (zh)

Families Citing this family (109)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8742509B2 (en) * 2012-03-01 2014-06-03 Taiwan Semiconductor Manufacturing Company, Ltd. Apparatus and method for FinFETs
US9559099B2 (en) 2012-03-01 2017-01-31 Taiwan Semiconductor Manufacturing Company, Ltd. Apparatus and method for FinFETs
US8836016B2 (en) 2012-03-08 2014-09-16 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor structures and methods with high mobility and high energy bandgap materials
US9142400B1 (en) 2012-07-17 2015-09-22 Stc.Unm Method of making a heteroepitaxial layer on a seed area
US8957476B2 (en) * 2012-12-20 2015-02-17 Intel Corporation Conversion of thin transistor elements from silicon to silicon germanium
US9029246B2 (en) 2013-07-30 2015-05-12 Taiwan Semiconductor Manufacturing Company, Ltd. Methods of forming epitaxial structures
KR102058895B1 (ko) 2013-08-23 2019-12-24 현대모비스 주식회사 요크 유격 보상장치
US9553012B2 (en) 2013-09-13 2017-01-24 Taiwan Semiconductor Manufacturing Company Ltd. Semiconductor structure and the manufacturing method thereof
KR102151768B1 (ko) * 2014-01-27 2020-09-03 삼성전자주식회사 반도체 장치 및 그 제조방법
US9548303B2 (en) 2014-03-13 2017-01-17 Taiwan Semiconductor Manufacturing Company, Ltd. FinFET devices with unique fin shape and the fabrication thereof
EP2924722A1 (en) * 2014-03-28 2015-09-30 IMEC vzw Method for manufacturing a semiconductor-on-insulator device
US9209185B2 (en) 2014-04-16 2015-12-08 Taiwan Semiconductor Manufacturing Company, Ltd. Method and structure for FinFET device
US9443769B2 (en) 2014-04-21 2016-09-13 Taiwan Semiconductor Manufacturing Company, Ltd. Wrap-around contact
US9312389B2 (en) * 2014-05-23 2016-04-12 Broadcom Corporation FinFET with undoped body bulk
US9484376B2 (en) * 2014-05-30 2016-11-01 Taiwan Semiconductor Manufacturing Company Ltd. Semiconductor isolation structure and manufacturing method thereof
KR101595780B1 (ko) * 2014-08-14 2016-02-19 경북대학교 산학협력단 GaN-Fin 구조 및 FinFET를 제조하는 방법 및 이러한 방법으로 제조된 GaN-Fin 구조를 사용하는 소자 및 FinFET
US9324868B2 (en) * 2014-08-19 2016-04-26 Globalfoundries Inc. Epitaxial growth of silicon for FinFETS with non-rectangular cross-sections
TWI556285B (zh) * 2014-08-21 2016-11-01 國立中央大學 在矽基板上磊晶成長鍺薄膜的方法
US9653605B2 (en) * 2014-10-17 2017-05-16 Taiwan Semiconductor Manufacturing Company, Ltd. Fin field effect transistor (FinFET) device and method for forming the same
US9391201B2 (en) 2014-11-25 2016-07-12 Taiwan Semiconductor Manufacturing Company, Ltd. Source/drain structure and manufacturing the same
US9349652B1 (en) 2014-12-12 2016-05-24 Taiwan Semiconductor Manufacturing Company, Ltd. Method of forming semiconductor device with different threshold voltages
US9780214B2 (en) 2014-12-22 2017-10-03 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device including Fin- FET and manufacturing method thereof
US10141310B2 (en) 2014-12-23 2018-11-27 Taiwan Semiconductor Manufacturing Company, Ltd. Short channel effect suppression
US9768301B2 (en) 2014-12-23 2017-09-19 Taiwan Semiconductor Manufacturing Company, Ltd. Short channel effect suppression
US10134871B2 (en) 2014-12-23 2018-11-20 Taiwan Semiconductor Manufacturing Company, Ltd. Doping of high-K dielectric oxide by wet chemical treatment
US9515071B2 (en) 2014-12-24 2016-12-06 Taiwan Semiconductor Manufacturing Company, Ltd. Asymmetric source/drain depths
US9647090B2 (en) 2014-12-30 2017-05-09 Taiwan Semiconductor Manufacturing Company, Ltd. Surface passivation for germanium-based semiconductor structure
US9425250B2 (en) 2014-12-30 2016-08-23 Taiwan Semiconductor Manufacturing Company, Ltd. Transistor with wurtzite channel
US9601626B2 (en) 2015-01-23 2017-03-21 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device including fin structure with two channel layers and manufacturing method thereof
US9443729B1 (en) 2015-03-31 2016-09-13 Taiwan Semiconductor Manufacturing Company, Ltd. Method for forming FinFET devices
CN106158748B (zh) * 2015-04-07 2022-01-18 联华电子股份有限公司 半导体元件及其制作方法
US9590102B2 (en) 2015-04-15 2017-03-07 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and manufacturing method thereof
US9680014B2 (en) 2015-04-17 2017-06-13 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device including Fin structures and manufacturing method thereof
US9570557B2 (en) 2015-04-29 2017-02-14 Taiwan Semiconductor Manufacturing Co., Ltd. Tilt implantation for STI formation in FinFET structures
US9461110B1 (en) 2015-04-30 2016-10-04 Taiwan Semiconductor Manufacturing Company, Ltd. FETs and methods of forming FETs
US9773786B2 (en) 2015-04-30 2017-09-26 Taiwan Semiconductor Manufacturing Company, Ltd. FETs and methods of forming FETs
US10269968B2 (en) 2015-06-03 2019-04-23 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device including fin structures and manufacturing method thereof
US9647071B2 (en) 2015-06-15 2017-05-09 Taiwan Semiconductor Manufacturing Company, Ltd. FINFET structures and methods of forming the same
US9449975B1 (en) 2015-06-15 2016-09-20 Taiwan Semiconductor Manufacturing Company, Ltd. FinFET devices and methods of forming
CN107667423B (zh) 2015-06-24 2022-02-11 英特尔公司 用于高质量界面的替换沟道蚀刻
US10211208B2 (en) 2015-06-26 2019-02-19 Intel Corporation High-mobility semiconductor source/drain spacer
US9425313B1 (en) 2015-07-07 2016-08-23 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and manufacturing method thereof
US9953881B2 (en) 2015-07-20 2018-04-24 Taiwan Semiconductor Manufacturing Company, Ltd. Method of forming a FinFET device
US9472620B1 (en) 2015-09-04 2016-10-18 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device including fin structures and manufacturing method thereof
US10734488B2 (en) 2015-09-11 2020-08-04 Intel Corporation Aluminum indium phosphide subfin germanium channel transistors
US9680017B2 (en) 2015-09-16 2017-06-13 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device including Fin FET and manufacturing method thereof
CN108028272B (zh) 2015-09-25 2022-09-27 英特尔公司 具有反向掺杂的掺杂剂扩散屏障的高电子迁移率晶体管
DE112015006945T5 (de) 2015-09-25 2018-06-21 Intel Corporation Transistoren mit hoher Elektronenbeweglichkeit mit Heteroübergang-Dotierstoffdiffusionsbarriere
US9922975B2 (en) 2015-10-05 2018-03-20 Taiwan Semiconductor Manufacturing Co., Ltd. Integrated circuit having field-effect trasistors with dielectric fin sidewall structures and manufacturing method thereof
KR102323943B1 (ko) 2015-10-21 2021-11-08 삼성전자주식회사 반도체 장치 제조 방법
US10121858B2 (en) 2015-10-30 2018-11-06 Taiwan Semiconductor Manufacturing Company, Ltd. Elongated semiconductor structure planarization
US10032627B2 (en) 2015-11-16 2018-07-24 Taiwan Semiconductor Manufacturing Company, Ltd. Method for forming stacked nanowire transistors
US9960273B2 (en) 2015-11-16 2018-05-01 Taiwan Semiconductor Manufacturing Company, Ltd. Integrated circuit structure with substrate isolation and un-doped channel
KR102413371B1 (ko) * 2015-11-25 2022-06-28 삼성전자주식회사 반도체 소자
US9887269B2 (en) 2015-11-30 2018-02-06 Taiwan Semiconductor Manufacturing Company, Ltd. Multi-gate device and method of fabrication thereof
US9997615B2 (en) * 2015-11-30 2018-06-12 Taiwan Semiconductor Manufacturing Co., Ltd. Method for forming semiconductor structure with epitaxial growth structure
US9564317B1 (en) 2015-12-02 2017-02-07 Taiwan Semiconductor Manufacturing Company, Ltd. Method of forming a nanowire
US9716146B2 (en) 2015-12-15 2017-07-25 Taiwan Semiconductor Manufacturing Company, Ltd. Integrated circuit structure and method with solid phase diffusion
US9899269B2 (en) 2015-12-30 2018-02-20 Taiwan Semiconductor Manufacturing Company, Ltd Multi-gate device and method of fabrication thereof
US9660033B1 (en) 2016-01-13 2017-05-23 Taiwan Semiconductor Manufactuing Company, Ltd. Multi-gate device and method of fabrication thereof
US9876098B2 (en) 2016-01-15 2018-01-23 Taiwan Semiconductor Manufacturing Company, Ltd. Method of forming a gate spacer
US10038095B2 (en) * 2016-01-28 2018-07-31 Taiwan Semiconductor Manufacturing Co., Ltd. V-shape recess profile for embedded source/drain epitaxy
US10453925B2 (en) 2016-01-29 2019-10-22 Taiwan Semiconductor Manufacturing Co., Ltd. Epitaxial growth methods and structures thereof
CN107045983B (zh) * 2016-02-05 2020-07-10 中芯国际集成电路制造(上海)有限公司 晶体管及其形成方法
US10340383B2 (en) 2016-03-25 2019-07-02 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device having stressor layer
US10164061B2 (en) 2016-05-19 2018-12-25 Taiwan Semiconductor Manufacturing Co., Ltd. Method of fabricating non-volatile memory device array
US10734522B2 (en) 2016-06-15 2020-08-04 Taiwan Semiconductor Manufacturing Co., Ltd. Structure and formation method of semiconductor device structure with gate stacks
WO2017218015A1 (en) * 2016-06-17 2017-12-21 Intel Corporation High-mobility field effect transistors with wide bandgap fin cladding
WO2017218014A1 (en) * 2016-06-17 2017-12-21 Intel Corporation Field effect transistors with gate electrode self-aligned to semiconductor fin
US10008414B2 (en) 2016-06-28 2018-06-26 Taiwan Semiconductor Manufacturing Co., Ltd. System and method for widening Fin widths for small pitch FinFET devices
US9620628B1 (en) 2016-07-07 2017-04-11 Taiwan Semiconductor Manufacturing Co., Ltd. Methods of forming contact feature
US10269938B2 (en) 2016-07-15 2019-04-23 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device structure having a doped passivation layer
EP3273468B1 (en) * 2016-07-20 2023-03-15 Imec Vzw Monolithic integration of semiconductor materials
US10002759B2 (en) 2016-07-26 2018-06-19 Applied Materials, Inc. Method of forming structures with V shaped bottom on silicon substrate
US10217741B2 (en) 2016-08-03 2019-02-26 Taiwan Semiconductor Manufacturing Company, Ltd. Fin structure and method of forming same through two-step etching processes
US9853150B1 (en) 2016-08-15 2017-12-26 Taiwan Semiconductor Manufacturing Co., Ltd. Method of fabricating epitaxial gate dielectrics and semiconductor device of the same
US9865589B1 (en) 2016-10-31 2018-01-09 Taiwan Semiconductor Manufacturing Co., Ltd. System and method of fabricating ESD FinFET with improved metal landing in the drain
US11152362B2 (en) 2016-11-10 2021-10-19 Taiwan Semiconductor Manufacturing Co., Ltd. Fin field effect transistor (FinFET) device structure
US10879240B2 (en) 2016-11-18 2020-12-29 Taiwan Semiconductor Manufacturing Co., Ltd. Fin field effect transistor (FinFET) device structure
US9847334B1 (en) 2016-11-18 2017-12-19 Taiwan Semiconductor Manufacturing Co., Ltd. Structure and formation method of semiconductor device with channel layer
US10134870B2 (en) 2016-11-28 2018-11-20 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor structure and method of manufacturing the same
US10529862B2 (en) * 2016-11-28 2020-01-07 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and method of forming semiconductor fin thereof
US10062782B2 (en) 2016-11-29 2018-08-28 Taiwan Semiconductor Manufacturing Co., Ltd. Method of manufacturing a semiconductor device with multilayered channel structure
US10290546B2 (en) 2016-11-29 2019-05-14 Taiwan Semiconductor Manufacturing Co., Ltd. Threshold voltage adjustment for a gate-all-around semiconductor structure
US11011634B2 (en) 2016-11-30 2021-05-18 Taiwan Semiconductor Manufacturing Co., Ltd. Elongated source/drain region structure in finFET device
US9865595B1 (en) 2016-12-14 2018-01-09 Taiwan Semiconductor Manufacturing Co., Ltd. FinFET device with epitaxial structures that wrap around the fins and the method of fabricating the same
US9899273B1 (en) 2016-12-15 2018-02-20 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor structure with dopants diffuse protection and method for forming the same
EP3339244A1 (en) * 2016-12-21 2018-06-27 IMEC vzw Source and drain contacts in fin- or nanowire- based semiconductor devices.
US10522643B2 (en) 2017-04-26 2019-12-31 Taiwan Semiconductor Manufacturing Co., Ltd. Device and method for tuning threshold voltage by implementing different work function metals in different segments of a gate
US10522417B2 (en) 2017-04-27 2019-12-31 Taiwan Semiconductor Manufacturing Co., Ltd. FinFET device with different liners for PFET and NFET and method of fabricating thereof
US10163628B1 (en) * 2017-05-31 2018-12-25 Taiwan Semiconductor Manufacturing Co., Ltd. Lattice-mismatched semiconductor substrates with defect reduction
US10453753B2 (en) 2017-08-31 2019-10-22 Taiwan Semiconductor Manufacturing Co., Ltd. Using a metal-containing layer as an etching stop layer and to pattern source/drain regions of a FinFET
US10276697B1 (en) 2017-10-27 2019-04-30 Taiwan Semiconductor Manufacturing Co., Ltd. Negative capacitance FET with improved reliability performance
US10522557B2 (en) 2017-10-30 2019-12-31 Taiwan Semiconductor Manufacturing Co., Ltd. Surface topography by forming spacer-like components
US10366915B2 (en) 2017-11-15 2019-07-30 Taiwan Semiconductor Manufacturing Co., Ltd. FinFET devices with embedded air gaps and the fabrication thereof
US10510894B2 (en) 2017-11-30 2019-12-17 Taiwan Semiconductor Manufacturing Co., Ltd. Isolation structure having different distances to adjacent FinFET devices
US10854615B2 (en) 2018-03-30 2020-12-01 Taiwan Semiconductor Manufacturing Co., Ltd. FinFET having non-merging epitaxially grown source/drains
US10665697B2 (en) 2018-06-15 2020-05-26 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and method
US11302535B2 (en) 2018-06-27 2022-04-12 Taiwan Semiconductor Manufacturing Co., Ltd. Performing annealing process to improve fin quality of a FinFET semiconductor
US10790352B2 (en) 2018-06-28 2020-09-29 Taiwan Semiconductor Manufacturing Co., Ltd. High density capacitor implemented using FinFET
US10388771B1 (en) 2018-06-28 2019-08-20 Taiwan Semiconductor Manufacturing Co., Ltd. Method and device for forming cut-metal-gate feature
US10886226B2 (en) 2018-07-31 2021-01-05 Taiwan Semiconductor Manufacturing Co, Ltd. Conductive contact having staircase barrier layers
US10998241B2 (en) 2018-09-19 2021-05-04 Taiwan Semiconductor Manufacturing Co., Ltd. Selective dual silicide formation using a maskless fabrication process flow
US10971605B2 (en) 2018-10-22 2021-04-06 Taiwan Semiconductor Manufacturing Co., Ltd. Dummy dielectric fin design for parasitic capacitance reduction
KR102655419B1 (ko) 2019-05-14 2024-04-05 삼성전자주식회사 반도체 장치
US11728344B2 (en) 2019-06-28 2023-08-15 Taiwan Semiconductor Manufacturing Co., Ltd. Hybrid SRAM design with nano-structures
US11469238B2 (en) 2019-09-26 2022-10-11 Taiwan Semiconductor Manufacturing Co., Ltd. Non-interleaving N-well and P-well pickup region design for IC devices
CN113823565A (zh) * 2020-06-18 2021-12-21 新时代电力系统有限公司 基于超结的垂直型氮化镓jfet和mosfet功率器件的方法和系统
US11804374B2 (en) * 2020-10-27 2023-10-31 Taiwan Semiconductor Manufacturing Company, Ltd. Strain relief trenches for epitaxial growth

Family Cites Families (30)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7385247B2 (en) * 2004-01-17 2008-06-10 Samsung Electronics Co., Ltd. At least penta-sided-channel type of FinFET transistor
EP1555688B1 (en) 2004-01-17 2009-11-11 Samsung Electronics Co., Ltd. Method of manufacturing a multi-sided-channel finfet transistor
JP2005228781A (ja) * 2004-02-10 2005-08-25 Matsushita Electric Ind Co Ltd 半導体装置およびその製造方法
US7154118B2 (en) * 2004-03-31 2006-12-26 Intel Corporation Bulk non-planar transistor having strained enhanced mobility and methods of fabrication
US7442609B2 (en) * 2004-09-10 2008-10-28 Infineon Technologies Ag Method of manufacturing a transistor and a method of forming a memory device with isolation trenches
US20070267722A1 (en) * 2006-05-17 2007-11-22 Amberwave Systems Corporation Lattice-mismatched semiconductor structures with reduced dislocation defect densities and related methods for device fabrication
US9153645B2 (en) * 2005-05-17 2015-10-06 Taiwan Semiconductor Manufacturing Company, Ltd. Lattice-mismatched semiconductor structures with reduced dislocation defect densities and related methods for device fabrication
US7547637B2 (en) * 2005-06-21 2009-06-16 Intel Corporation Methods for patterning a semiconductor film
WO2007112066A2 (en) * 2006-03-24 2007-10-04 Amberwave Systems Corporation Lattice-mismatched semiconductor structures and related methods for device fabrication
US8173551B2 (en) 2006-09-07 2012-05-08 Taiwan Semiconductor Manufacturing Co., Ltd. Defect reduction using aspect ratio trapping
US7829407B2 (en) 2006-11-20 2010-11-09 International Business Machines Corporation Method of fabricating a stressed MOSFET by bending SOI region
US8927353B2 (en) * 2007-05-07 2015-01-06 Taiwan Semiconductor Manufacturing Co., Ltd. Fin field effect transistor and method of forming the same
KR100991382B1 (ko) 2007-05-10 2010-11-02 주식회사 하이닉스반도체 다면 채널을 갖는 트랜지스터 및 그 형성방법
JP2008300384A (ja) * 2007-05-29 2008-12-11 Elpida Memory Inc 半導体装置及びその製造方法
US9768305B2 (en) * 2009-05-29 2017-09-19 Taiwan Semiconductor Manufacturing Company, Ltd. Gradient ternary or quaternary multiple-gate transistor
US9484462B2 (en) 2009-09-24 2016-11-01 Taiwan Semiconductor Manufacturing Company, Ltd. Fin structure of fin field effect transistor
US8629478B2 (en) * 2009-07-31 2014-01-14 Taiwan Semiconductor Manufacturing Company, Ltd. Fin structure for high mobility multiple-gate transistor
US8598003B2 (en) * 2009-12-21 2013-12-03 Intel Corporation Semiconductor device having doped epitaxial region and its methods of fabrication
US8609517B2 (en) * 2010-06-11 2013-12-17 Taiwan Semiconductor Manufacturing Company, Ltd. MOCVD for growing III-V compound semiconductors on silicon substrates
US8183134B2 (en) * 2010-10-19 2012-05-22 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and manufacturing method with improved epitaxial quality of III-V compound on silicon surfaces
US8455930B2 (en) * 2011-01-05 2013-06-04 Taiwan Semiconductor Manufacturing Company, Ltd. Strained semiconductor device with facets
US8598675B2 (en) * 2011-02-10 2013-12-03 Taiwan Semiconductor Manufacturing Company, Ltd. Isolation structure profile for gap filling
US8349692B2 (en) * 2011-03-08 2013-01-08 Globalfoundries Singapore Pte. Ltd. Channel surface technique for fabrication of FinFET devices
US8618556B2 (en) * 2011-06-30 2013-12-31 Taiwan Semiconductor Manufacturing Company, Ltd. FinFET design and method of fabricating same
US9105660B2 (en) 2011-08-17 2015-08-11 United Microelectronics Corp. Fin-FET and method of forming the same
US8841701B2 (en) * 2011-08-30 2014-09-23 Taiwan Semiconductor Manufacturing Company, Ltd. FinFET device having a channel defined in a diamond-like shape semiconductor structure
US9559099B2 (en) * 2012-03-01 2017-01-31 Taiwan Semiconductor Manufacturing Company, Ltd. Apparatus and method for FinFETs
US8742509B2 (en) 2012-03-01 2014-06-03 Taiwan Semiconductor Manufacturing Company, Ltd. Apparatus and method for FinFETs
US8836016B2 (en) * 2012-03-08 2014-09-16 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor structures and methods with high mobility and high energy bandgap materials
US9159554B2 (en) * 2013-05-01 2015-10-13 Applied Materials, Inc. Structure and method of forming metamorphic heteroepi materials and III-V channel structures on si

Also Published As

Publication number Publication date
US20170309730A1 (en) 2017-10-26
US9153582B2 (en) 2015-10-06
US20140220753A1 (en) 2014-08-07
US9722051B2 (en) 2017-08-01
CN103296084B (zh) 2016-01-20
US10084069B2 (en) 2018-09-25
US20130228875A1 (en) 2013-09-05
CN103296084A (zh) 2013-09-11
KR20130100657A (ko) 2013-09-11
TW201338165A (zh) 2013-09-16
US8742509B2 (en) 2014-06-03
KR101369560B1 (ko) 2014-03-04
US20150380527A1 (en) 2015-12-31

Similar Documents

Publication Publication Date Title
TWI521706B (zh) 半導體元件、半導體裝置及其製造方法
US11393727B2 (en) Structure and formation method of fin-like field effect transistor
US9922828B2 (en) Apparatus and method for FinFETs
TWI816685B (zh) 半導體裝置及其製造方法
TWI555201B (zh) 半導體結構及形成閘極堆疊之方法
CN112530943A (zh) 半导体器件及其制造方法
US11515423B2 (en) Semiconductor device having fins
US9882031B2 (en) Method of manufacturing a horizontal gate-all-around transistor having a fin
TW201724351A (zh) 半導體裝置及其製造方法
TW202002302A (zh) 半導體結構
US20230343849A1 (en) Field-effect transistor and method of forming the same
CN107919326B (zh) 鳍式场效应管及其形成方法
TWI699829B (zh) 形成半導體結構的方法及形成鰭狀場效電晶體結構的方法
CN107591327B (zh) 鳍式场效应管的形成方法
CN113284803A (zh) 形成半导体器件的方法
WO2017091327A1 (en) Method for modifying epitaxial growth shape
TW202339259A (zh) 半導體結構及其形成方法

Legal Events

Date Code Title Description
MM4A Annulment or lapse of patent due to non-payment of fees