KR101369560B1 - FinFET를 위한 장치 및 방법 - Google Patents

FinFET를 위한 장치 및 방법 Download PDF

Info

Publication number
KR101369560B1
KR101369560B1 KR1020120058722A KR20120058722A KR101369560B1 KR 101369560 B1 KR101369560 B1 KR 101369560B1 KR 1020120058722 A KR1020120058722 A KR 1020120058722A KR 20120058722 A KR20120058722 A KR 20120058722A KR 101369560 B1 KR101369560 B1 KR 101369560B1
Authority
KR
South Korea
Prior art keywords
region
substrate
drain
cloak
active region
Prior art date
Application number
KR1020120058722A
Other languages
English (en)
Other versions
KR20130100657A (ko
Inventor
이-징 리
유-루 린
쳉-티엔 완
쳉-시엔 우
치-신 코
Original Assignee
타이완 세미콘덕터 매뉴팩쳐링 컴퍼니 리미티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 타이완 세미콘덕터 매뉴팩쳐링 컴퍼니 리미티드 filed Critical 타이완 세미콘덕터 매뉴팩쳐링 컴퍼니 리미티드
Publication of KR20130100657A publication Critical patent/KR20130100657A/ko
Application granted granted Critical
Publication of KR101369560B1 publication Critical patent/KR101369560B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66787Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel
    • H01L29/66795Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/02521Materials
    • H01L21/02524Group 14 semiconducting materials
    • H01L21/02532Silicon, silicon germanium, germanium
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02612Formation types
    • H01L21/02617Deposition types
    • H01L21/0262Reduction or decomposition of gaseous compounds, e.g. CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02612Formation types
    • H01L21/02617Deposition types
    • H01L21/02631Physical deposition at reduced pressure, e.g. MBE, sputtering, evaporation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02612Formation types
    • H01L21/02617Deposition types
    • H01L21/02634Homoepitaxy
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/30625With simultaneous mechanical treatment, e.g. mechanico-chemical polishing
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/76Making of isolation regions between components
    • H01L21/762Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers
    • H01L21/76224Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers using trench refilling with dielectric materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body
    • H01L27/08Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind
    • H01L27/085Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
    • H01L27/088Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate
    • H01L27/0886Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate including transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/785Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • H01L29/7853Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET the body having a non-rectangular crossection

Abstract

FinFET는 기판 내에 형성된 분리 영역과, 기판 위에 형성된 클로크-형태의 활성 영역을 포함하고, 클로크-형태의 활성 영역은 분리 영역의 상단면 위에 돌출되는 상부를 가진다. 또한, FinFET는 클로크-형태의 활성 영역의 채널을 둘러싸는 게이트 전극을 포함한다.

Description

FinFET를 위한 장치 및 방법{APPARATUS AND METHOD FOR FINFETS}
본 발명은 FinFET를 위한 장치 및 방법에 대한 것이다.
반도체 산업은 다양한 전자 컴포넌트(예, 트랜지스터, 다이오드, 저항, 커패시터 등)의 집적 밀도의 지속적 개선에 기인한 급속한 성장을 경험하였다. 대부분의 경우, 집적 밀도의 이러한 개선은 주어진 면적에 더 많은 컴포넌트들이 집적되도록 하는 최소 특징부(feature) 크기에서의 반복된 감소로부터 기인하였다. 하지만, 더 작은 특징부 크기는 더 많은 누설 전류를 초래할 수 있다. 더욱 더 작은 전자 장치를 위한 요구가 최근에 증대됨에 따라, 반도체 장치의 누설 전류를 감소시키기 위한 필요가 증대되었다.
상보형 금속 산화막 반도체(CMOS: complementary metal oxide semiconductor) 전계 효과 트랜지스터(FET: field effect transistor)에서, 활성 영역은 드레인, 소스, 드레인과 소스 사이에 연결된 채널 영역과, 채널 영역의 온 및 오프 상태를 제어하도록 채널의 상단상에 게이트를 포함한다. 게이트 전압이 문턱 전압보다 높을 때, 전도 채널은 드레인과 소스 사이에 형성된다. 결과적으로, 전자 또는 정공은 드레인과 소스 사이에서 움직이는 것이 허용된다. 반면에, 게이트 전압이 문턱 전압보다 낮을 때, 이상적으로, 채널이 차단되고, 드레인과 소스 사이에 흐르는 어떠한 전자 또는 정공도 존재하지 않는다. 하지만, 반도체 장치가 계속해서 크기가 줄어듬에 따라, 짧은 채널 누설 효과 때문에, 게이트는 채널 영역, 특히, 게이트로부터 멀리 이격된 채널 영역의 부분을 완전히 제어할 수 없다. 결과적으로, 반도체 장치가 30 나노미터 이하의 크기로 줄어든 후에, 종래의 평면 트랜지스터의 대응되는 짧은 게이트 길이는 게이트가 채널 영역을 실질적으로 턴 오프할 수 없게 할 수 있다.
반도체 기술이 진화함에 따라, 핀 전계 효과 트랜지스터(FinFET: fin field effect transistors)는 반도체 장치에서 누설 전류를 추가로 감소시키기 위한 효과적인 대안으로서 등장하였다. FinFET에서, 드레인, 채널 영역과 소스를 포함하는 활성 영역은 FinFET가 배치되는 반도체 기판의 표면으로부터 위로 돌출된다. 핀과 같은, FinFET의 활성 영역은 단면도에서 직사각형이다. 또한, FinFET의 게이트 구조는 U자를 거꾸로 한 것과 같이 3개의 측면 주위에서 활성 영역을 둘러싼다. 결과적으로, 게이트 구조에 의한 채널의 제어는 더 강해졌다. 종래의 평면 트랜지스터의 짧은 채널 누설 효과는 감소되었다. 따라서, FinFET가 턴오프될 때, 게이트 구조는 누설 전류를 감소시키도록 채널을 더 잘 제어할 수 있다.
본 발명은 기판 내에 형성된 제1 분리 영역 - 상기 제1 분리 영역은 제1 비수직 측벽을 가짐 -; 기판 내에 형성된 제2 분리 영역 - 상기 제2 분리 영역은 제2 비수직 측벽을 가짐 -; 기판 내에 형성된 V-형태의 홈 - 상기 V-형태의 홈, 상기 제1 비수직 측벽과 상기 제2 비-수직 측벽은 상기 기판 내에 클로크(cloak)-형태의 리세스를 형성함 -; 상기 기판 위에 상기 클로크-형태의 리세스 내에 형성된 클로크-형태의 활성 영역 - 상기 클로크-형태의 활성 영역은 상기 분리 영역의 상단면 위에 돌출된 상부를 가지고, 상기 클로크-형태의 활성 영역은: 제1 드레인/소스 영역; 제2 드레인/소스 영역; 및 상기 제1 드레인/소스 영역과 상기 제2 드레인/소스 영역 사이에 연결된 채널을 포함함 -; 및 상기 클로크-형태의 활성 영역의 채널을 둘러싸는 게이트 전극을 포함하는 장치를 제공한다.
또한, 본 발명은 기판 내에 형성된 제1 드레인/소스 영역; 상기 기판 내에 형성된 제2 드레인/소스 영역; 상기 제1 드레인/소스 영역과 상기 제2 드레인/소스 영역 사이에 연결된 채널 - 상기 제1 드레인/소스 영역, 상기 제2 드레인/소스 영역과 상기 채널은 클로크-형태의 활성 영역을 형성함 -; 및 상기 채널을 둘러싸는 게이트 전극을 포함하는 장치를 제공한다.
또한, 본 발명은 기판 내에 제1 분리 영역을 형성하는 단계; 상기 기판내에 제2 분리 영역을 형성하는 단계; 상기 기판의 일부분을 제거함으로써 상기 제1 분리 영역과 상기 제2 분리 영역 사이에 리세스를 형성하는 단계; 클로크-형태의 리세스를 형성하도록 상기 리세스에 대해 표면 처리를 수행하는 단계; 및 에피택샬(epitaxial) 성장을 이용해서 클로크-형태의 활성 영역을 형성하는 단계를 포함하는 방법을 제공한다.
본 발명 개시 및 그 이점의 보다 완전한 이해를 위해, 첨부된 도면들과 결합해서 이하의 설명이 이제 참조된다.
도 1은 일 실시예에 따른 클로크(cloak)-형태의 활성 영역을 갖는 FinFET의 단면도를 예증한다.
도 2는 일 실시예에 따른 복수의 분리 영역을 갖는 반도체 기판의 단면도를 예증한다.
도 3은, 기판의 일부분이 일 실시예에 따라 제거된 후에 도 2에 도시된 기판의 단면도를 예증한다.
도 4는, 일 실시예에 따라 표면 처리가 수행된 후에 도 3에 도시된 기판의 단면도를 예증한다.
도 5는, 에피택샬(epitaxial) 실리콘 게르마늄층이 일 실시예에 따라 기판의 리세스 내에서 성장한 후에, 도 4에 도시된 기판의 단면도를 예증한다.
도 6은, 일 실시예에 따라 실리콘 게르마늄 에피택샬 영역의 돌출된 부분에 화학 기계적 폴리싱 공정이 적용된 후에, 도 5에 예증된 반도체 장치의 단면도를 예증한다.
도 7은, 일 실시예에 따라 STI 구조의 상부가 제거된 후에, 도 6에 도시된 기판의 단면도를 예증한다.
도 8은, 일 실시예에 따라 게이트 유전층이 형성된 후에, 도 7에 도시된 기판의 단면도를 예증한다.
도 9는, 일 실시예에 따라 게이트 전극이 형성된 후에, 도 8에 도시된 기판의 단면도를 예증한다.
상이한 도면들에 표기된 대응하는 참조번호들과 기호들은 만약 다르게 지정되지 않으면 대응 부분들을 일반적을 지칭한다. 다양한 실시예들의 관련된 양태들을 명확하게 설명하기 위해 도면들이 도시되고 있으며, 도면들은 반드시 실척도로 도시되어 있지는 않다.
이하에서는 본 발명의 실시예들의 제조 및 이용을 자세하게 설명한다. 하지만, 본 발명개시는 폭넓은 다양한 특정 상황에서 구현될 수 있는 다수의 적용가능한 발명 사상을 제공한다는 점을 이해해야 한다. 논의된 특정 실시예들은 본 발명 개시의 실시예를 제조하고 이용하는 특정한 방식들에 대한 단순한 예시에 불과하며, 본 발명의 개시의 범위를 제한하지 않는다.
본 발명 개시는 하나의 특정 상황, 즉, 클로크-형태의 활성 영역을 갖는 핀 전계 효과 트랜지스터(FinFET)에서의 실시예에 대해 설명될 것이다. 하지만, 본 발명 개시의 실시예는 다양한 반도체 장치에 또한 적용될 수 있다. 이하에서, 다양한 실시예가 첨부된 도면드을 참조해서 자세히 설명될 것이다.
도 1은 일 실시예에 따른 클로크-형태의 활성 영역을 갖는 FinFET의 단면도를 예증한다. FinFET(100)는 기판(102) 위에 형성된다. FinFET(100)는 단면도에서 볼 때 클로크-형태의 활성 영역(110)을 포함한다. 보다 특별히, 클로크-형태의 활성 영역(110)은 제1 드레인/소스 영역, 제2 드레인/소스 영역과, 제1 드레인/소스 영역과 제2 드레인/소스 영역 사이에 연결된 채널(각각 미도시됨)을 포함할 수 있다. 도 1에 도시된 바와 같이, 클로크-형태의 활성 영역(110)은 두 개의 분리 영역들, 즉, 제1 분리 영역(106)과 제2 분리 영역(108) 사이에 배치된다. 일 실시예에 따라, 제1 분리 영역(106)과 제2 분리 영역(108)은 얕은 트렌치 분리(STI: shallow trench isolation) 구조를 이용해서 구현될 수 있다.
FinFET(100)는 클로크-형태의 활성 영역(110) 위에 형성된 게이트 전극(114)을 또한 포함할 수 있다. 보다 특별하게, 게이트 유전층(112)은 클로크-형태의 활성 영역(110)과 게이트 전극(114) 사이에 형성된다. 도 1에 도시된 바와 같이, 게이트 유전층(112)은 분리 영역(106과 108) 뿐만 아니라 클로크-형태의 활성 영역(110)의 상단상에 성막된다. FinFET(100)의 제조 방법은 일 실시예에 따라 도 2 내지 9에 대해 이하에서 설명될 것이다.
클로크-형태의 활성 영역(110)을 갖는 이로운 특징은 실리콘 게르마늄 에피택샬 성장 공정 이전에, 클로크-형태의 리세스(도 4에서 미도시되지만 예증됨)는 후속적인 에피택샬 성장을 위해 깨끗한 표면을 제공한다는 것이다. 결과적으로, 단일 결정질 실리콘 게르마늄 에피택샬층은 클로크-형태의 트렌치 내에서 성장될 수 있다. 이러한 단일 결정질 실리콘 게르마늄 에피택셜층은 FinFET(100)의 채널의 결정 품질을 향상시키는 것을 돕는다.
도 2는 일 실시예에 따른 복수의 분리 영역을 갖는 반도체 기판의 단면도를 예증한다. 기판(102)은 실리콘 기판일 수 있다. 대안적으로, 기판(102)은 게르마늄, 실리콘 탄화물, 갈륨 비화물, 인듐 비화물, 인듐 인화물 등과 같은 화합물 반도체 물질과 같은 다른 반도체 물질을 포함할 수 있다. 일 실시예에 따라, 기판(102)은 결정질 구조일 수 있다. 다른 실시예에 따라, 기판(102)은 실리콘-온-절연체(SOI: silicon-on-insulator) 기판일 수 있다.
절연 영역(106과 108)은 기판(102) 내에 형성된다. 일 실시예에 따라, 분리 영역(106과 108)은 STI 구조에 의해 구현된다. STI 구조{예, 분리 영역(106)}은 포토리소그래피와 에칭 공정을 포함하는 적절한 기술을 이용해서 제조될 수 있다. 특히, 포토리소스래피와 에칭 공정은 기판(102) 위에 포토레지스트와 같은 일반적으로 이용되는 마스크 물질을 성막하는 공정과, 마스크 물질을 패턴에 대해 노출시키는 공정과, 이 패턴에 따라 기판(102)을 에칭하는 공정을 포함할 수 있다. 이런 방식으로, 복수의 개구가 결과적으로 형성될 수 있다. 그런 다음, 개구가 STI 구조{예, 분리 영역(106과 108)}를 형성하기 위해 유전물질로 채워진다. 그런 다음, 화학 기계적 폴리싱(CMP: chemical mechanical polishing) 공정이 유전물질의 과잉 부분을 제거하도록 수행되고, 나머지 부분이 분리 영역(106과 108)이다.
도 2에 도시된 바와 같이, 분리 영역(106과 108)은 서로 마주보는 측벽을 갖는다. 도 2가 두 개의 별도의 분리 영역(106과 108)을 예증하는 한편, 분리 영역(106과 108)은 일 실시예에 따라 분리 링을 형성할 수 있는 연속적인 영역의 일부분일 수 있다는 것이 주목되어야 한다. 기판(102)의 상부(104)는 분리 영역(106과 108) 사이에 위치하거나 이러한 분리 영역에 인접해 위치한다. 상부(104)의 폭(W)은 작을 수 있다. 일 실시예에 따라, 폭 W은 약 50 nm보다 작다. 상세한 설명 전체를 통해 기재된 크기는 단지 예시일뿐이고, 다른 값으로 변경될 수 있다고 인정된다.
도 3은, 기판의 일부분이 일 실시예에 따라 제거된 후에 도 2에 도시된 기판의 단면도를 예증한다. 기판 부분(104)의 상부(도 2에 도시됨)가 제거되어, V-형태의 홈(302)을 형성한다. 일 실시예에 따라, V-형태의 홈(302)의 하단은 분리 영역(106과 108)의 하단면보다 높다. 다른 실시예에 따라, V-형태의 홈(302)의 하단은 분리 영역(106과 108)의 하단면과 실질적으로 수평을 이루거나 더 낮을 수 있다.
기판 부분(104)의 상부는 적절한 기술을 이용해서 제거될 수 있다. 보다 특별하게, V-형태의 홈(302)은 에칭 공정을 이용해서 달성될 수 있다. 예를 들면, 포토레지스트 마스크 및/또는 하드 마스크와 같은 패터닝된 마스크(미도시됨)는 성막과 포토리소그래피 기술을 이용해서 분리 영역(106과 108)의 상단면 위에 형성된다. 그런 후에, 반응 이온 에칭(RIE: reactive ion etch) 또는 다른 건식 에칭, 이방성 습식 에칭, 또는 다른 적절한 이방성 에칭 공정과 같은 에칭 공정이 V-형태의 홈(302)을 형성하기 위해 수행된다. 일 실시예에 따라, TMAH(tetramethylammonium hydroxide)와 같은 에천트가 이방성 습식 에칭 공정을 수행하기 위해 이용될 수 있다. 이러한 이방성 습식 에칭 공정은 약 3%에서 5%의 TMAH 농도를 가질 수 있다. 에칭 공정은 약 20℃에서 약 35℃의 범위 내의 온도 하에 수행될 수 있다.
도 3에 도시된 바와 같이, V-홈 형태의 하단은 내각을 갖는다. 일 실시예에 따라, 내부각은 약 100도에서 약 110도까지의 범위 내에 있다. 도 3에 도시된 것과 같은 V-형태의 홈을 구비하는 하나의 이로운 특징은 V-형태의 홈이 후속적인 실리콘 게르마늄 에피택샬 성장의 품질을 개선하는 것을 돕는다는 것이다.
도 4는 표면 처리가 일 실시예에 따라 수행된 후에 도 3에 도시된 기판의 단면도를 예증한다. 표면 처리는 기판(102)의 노출된 표면을 처리하기 위해 수행되며, 노출된 표면은 V-형태의 홈 내부에 있다. 표면 처리는 진공 환경을 가질 수 있는 체임버(미도시됨) 내에서 수행될 수 있다. 이러한 처리의 공정 기체는 산소-함유 기체와 에칭 기체를 포함하며, 이러한 기체들은 동시에 이용될 수 있다. 에칭 기체는 기판(102)을 에칭하는 기능을 가진다. 일 실시예에 따라, 산소-함유 기체는 산소(O2), 오존(O3), 또는 이것들의 조합을 포함한다. 에칭 기체는 CF4와 같은 불소-함유 기체를 포함할 수 있다. 다른 실시예에 따라, 에칭 기체는 HCl과 같은 염소 함유 기체를 포함할 수 있다. 표면 처리 동안에, 산소-함유 기체와 에칭 기체의 전체 유속에 대한 산소-함유 기체의 유속의 비율인 유속비는 약 0.99에서 약 0.995까지의 범위 내에 있을 수 있다. 산소-함유 공정 기체와 에칭 기체의 전체 압력은 약 500 밀리 토르에서 약 1.5 밀리 토르까지의 범위 내에 있을 수 있다. 또 다른 실시예에 따라, 표면 처리는 플라즈마 처리를 포함할 수 있고, 플라즈마의 각각의 무선 주파수(RF) 전력은 약 1,100 와트에서 약 1,500 와트까지의 범위 내에 있을 수 있다. 표면 처리 동안, 기판(102)은 약 150℃에서 약 300℃까지의 범위 내에 있는 온도로 가열될 수 있다.
표면 처리 때문에, 기판(102)의 표면은 개선되었다. 기판(102)의 표면상에 형성되는 피트(pit)와 아일랜드(island)는 제거된다. 또한, 도 4에 도시된 바와 같이, 분리 영역{예, 분리 영역(106)}의 측벽의 일부분은 표면 처리 때문에 제거된다. 결과적으로, 클로크-형태의 리세스(402)가 형성된다. 이러한 클로크-형태의 리세스는 후속적인 에피택샬 성장의 결정 품질을 개선하는 것을 돕는다. 에피택샬 성장은 도 5에 대해 이하에서 설명될 것이다.
클록-형태의 리세스(402)는 3개의 각도에 의해 한정될 수 있다. 도 4에 도시된 바와 같이, 분리 영역(106)의 측벽은 수직이 아니다. 수직으로부터 측벽의 편차는 제1 각 α의해 한정된다. 일 실시예에 따라, 제1 각 α는 약 0도에서 약 20도까지의 범위 내에 있다. 비수직 측벽과 V-형태의 홈 사이의 전환점(turning point)은 제2 각 β에 의해 한정될 수 있다. 일 실시예에 따라, 제2 각 β는 약 130도에서 약 160도까지의 범위 내에 있다. V-형태의 홈은 제3 각
Figure 112012043856430-pat00001
에 의해 한정될 수 있다. 일 실시예에 따라, 제3 각
Figure 112012043856430-pat00002
는 약 100도에서 약 110도까지의 범위 내에 있다.
표면 처리 후에, 세정 공정이 분리 영역(106과 108)의 측벽뿐만 아니라 기판상에서 수행될 수 있다. 세정 공정은, 만약 존재한다면, 기판(102)의 표면상에 형성되는 자연발생 산화층(native oxide)을 제거하기 위해 이용될 수 있다. 일 실시예에 따라, 세정 공정은 희석된 HF 용액 및/또는 고온 H2 베이크(bake) 공정을 이용함으로써 구현될 수 있다.
도 5는, 에피택샬 실리콘 게르마늄 영역이 일 실시예에 따라 기판의 클로크-형태의 리세스 내에서 성장한 후에, 도 4에 도시된 기판의 단면도를 예증한다. 실리콘 게르마늄은 클로크-형태의 에피택샬 영역(110)을 형성하도록 선택적 에피택샬 성장(SEG: selective epitaxial growth)과 같은 적절한 기술을 이용해서 클로크-형태의 리세스(402)(도 4에 도시됨) 내에서 성장한다. 일 실시예에 따라, 붕소와 같은 n형 도펀트 또는 인과 같은 p형 도펀트가 에피택샬 성장의 진행과 함께 현장에서(in-situ) 도핑될 수 있다. 대안적으로, 에피택샬 성장은 이온 주입, 확산 등과 같은 다른 적절한 기술을 이용해서 도핑될 수 있다. 도 5에 도시된 바와 같이, 클로크-형태의 에피택샬 영역(110)의 상단면은 분리 영역{예, 분리 영역(106)}의 상단면보다 한 단계 높게 성장될 수 있다.
일 실시예에 따라, 클로크-형태의 에피택샬 영역(110)은 게르마늄을 포함할 수 있다. 대안적으로, 클로크-형태의 에피택샬 영역(110)은 실리콘 게르마늄을 포함할 수 있다. 에피택샬층은 CMOS 호환 에피택샬 공정을 이용함으로써 성장될 수 있다. CMOS 호환 에피택샬 공정은 CVD 등을 포함할 수 있다.
클로크-형태의 에피택샬 영역(110)의 요구되는 조성에 따라, SiH4와 GeH4와 같은 실리콘 함유 기체와 게르마늄 함유 기체를 포함할 수 있으며, 실리콘 함유 기체와 게르마늄 함유 기체의 부분적 압력은 게르마늄 대 실리콘의 원자 비율을 수정하기 위해 조정된다. 일 실시예에 따라, 클로크-형태의 에피택샬 영역(110)의 실리콘 게르마늄은 Si1 - xGex으로서 표현될 수 있으며, 여기서 x는 게르마늄의 원자 백분율이고, 0에서 1 사이의 범위 내에 있을 수 있다. 일 실시예에 따라, 클로크-형태의 에피택샬 영역(110)은 실질적으로 순수한 게르마늄(x가 1임)을 포함한다. 대안적으로, 클로크-형태의 에피택샬 영역(110)은 낮은 게르마늄 농도를 포함할 수 있다. 예를 들면, x는 약 0.1에서 약 0.3까지의 범위 내에 있다.
다른 실시예에 따라, 클로크-형태의 에피택샬 영역(110)은 실리콘 탄소, 실질적으로 순수한 실리콘과 같은 다른 반도체 물질, GaN, AlAs, GaN, InN, AlN, InxGa(1-x)N, AlxGa(1-x)N, AlxIn(1-x)N, AlxInyGa(1-x-y)N과 같은 III-V 화합물 반도체 물질과, 이러한 물질들의 조합을 포함할 수 있으며, x와 y 각각은 약 0에서 1까지의 범위 내에 있을 수 있다.
일 실시예에 따라, 클로크-형태의 에피택샬 영역(110)의 하부와 상부는 상이한 조성을 가질 수 있다. 예를 들면, 클로크-형태의 에피택샬 영역(110)의 하부와 상부는 상이한 게르마늄 백분율을 가질 수 있다. 예를 들면, 상부는 하부보다 높은 게르마늄 백분율을 가질 수 있다. 이러한 구조는 p형 FinFET를 형성하기 위해 사용될 수 있다. 대안적으로, 상부는 클로크-형태의 에피택샬 영역(110)의 하부보다 낮은 게르마늄 백분율을 가질 수 있다. 이러한 구조는 n형 FinFET를 형성하기 위해 사용될 수 있다.
도 6은, 일 실시예에 따라 실리콘 게르마늄 에피택샬 영역의 돌출된 부분에 화학 기계적 폴리싱 공정이 적용된 후에, 도 5에 예증된 반도체 장치의 단면도를 예증한다. 실리콘 게르마늄 FinFET의 제조 공정에 따라, 실리콘 게르마늄 에피택샬 성장으로부터의 돌출된 부분은 도 6에 도시된 바와 같은 평면을 달성하도록 제거된다. 특히, 실리콘 게르마늄 부분의 상단면이 인접 분리 영역{예, 분리 영역(106)}의 상단면과 수평이 될 때까지, 도 5에 도시된 실리콘 게르마늄 에피택샬 영역의 돌출된 부분은 그라인딩된다(ground away).
제거 공정은 그라인딩, 폴리싱 및/또는 화학적 에칭과 같은 적절한 기술을 사용함으로써 구현될 수 있다. 일 실시예에 따라, 제거 공정은 CMP 공정을 이용함으로써 구현될 수 있다. CMP 공정에서, 에칭 물질과 연마 물질의 조합은 실리콘 게르마늄 영역(110)의 상단면과 접촉하게 되고, 그라인딩 패드(미도시)는 원하는 평탄화된 표면이 달성될 때가 돌출된 부분을 그라인딩하기 위해 사용된다.
도 7은, 일 실시예에 따라 분리 영역의 상부가 제거된 후에, 도 6에 도시된 반도체 장치의 단면도를 예증한다. FinFET의 형성 공정은 분리 영역(106과 108)을 리세싱하는 단계를 포함할 수 있어서, 그 결과 생성되는 분리 영역(106과 108)의 상단면은 클로크-형태의 에피택샬 영역(110)의 상단면보다 낮게 된다. 분리 영역(106과 108)의 상단면보다 높은 클로크-형태의 에피택샬 영역(110)의 부분은 반도체 핀(fin)을 형성한다.
도 8은, 일 실시예에 따라 게이트 유전층이 형성된 후에, 도 7에 도시된 기판의 단면도를 예증한다. 게이트 유전층(112)은 산화물로부터 형성될 수 있고, 습식 또는 건식 열산화와 같은 적절한 산화 공정, 스퍼터링, 또는 TEOS(tetra-ethyl-ortho-silicate)와 산소를 전구체로서 이용하는 CVD 기술에 형성될 수 있다. 또한, 게이트 유전층(112)은 실리콘 산화물, 실리콘 산화질화물, 실리콘 질화물, 산화물, 질소-함유 산화물, 알루미늄 산화물, 란타늄 산화물, 하프늄 산화물, 지르코늄 산화물, 하프늄 산화질화물, 이것들의 조합 등과 같은 하이-K 유전물질일 수 있다.
도 9는, 일 실시예에 따라 게이트 전극이 형성된 후에, 도 8에 도시된 기판의 단면도를 예증한다. 게이트 전극(114)은 다결정-실리콘(poly-Si), 다결정 실리콘-게르마늄(poly-SiGe), 금속 물질, 금속 규화물, 금속 질화물, 금속 산화물 등을 포함하는 그룹으로부터 선택된 전도물질을 포함할 수 있다. 예를 들면, 금속 물질은 탄탈륨, 티타늄, 몰리브덴, 텅스텐, 백금, 알루미늄, 하프늄, 루테늄, 이것들의 조합 등을 포함할 수 있다. 금속 규화물은 티타늄 규화물, 코발트 규화물, 니켈 규화물, 탄탈륨 규화물, 이것들의 조합 등을 포함한다. 금속 질화물은 티타늄 질화물, 탄탈륨 질화물, 텅스텐 질화물, 이것들의 조합 등을 포함한다. 금속 산화물은 루테늄 산화물, 인듐 주석 산화물, 이것들의 조합 등을 포함한다.
게이트 전극을 형성하도록 다른 제조 공정이 이용될 수 있다는 것이 주목되어야 한다. 다른 제조 공정은 CVD, 물리적 증기 증착(PVD), 플라즈마 강화 CVD(PECVD), 대기압 CVD(APCVD), 고밀도 플라즈마 CVD(HD CVD), 저압 화학적 증기 증착(LPCVD), 원자층 CVD(ALCVD) 등을 포함하지만, 이러한 공정에 제한되지는 않는다.
게이트 전극(114)이 형성된 후에 평면이 아닌 표면이 존재할 수 있다는 것이 주목되어야 한다. CMP 공정은 게이트의 상단면을 평탄화하도록 이용될 수 있다. CMP 공정은 도 6에 대해 위에서 설명되었고, 따라서 반복을 피하기 위해 이 시점에서는 자세히 설명되지 않는다.
본 발명 개시의 실시예 및 이에 관한 이점을 자세하게 설명하였지만, 본 발명에 대한 다양한 변경, 대체, 및 변동이 첨부된 청구범위들에 의해 정의된 개시의 정신 및 범위로부터 이탈하지 않고서 행해질 수 있다는 것을 이해해야 한다.
또한, 본 출원의 범위는 상세한 설명에서 설명된 물질, 수단, 방법, 및 단계의 프로세스, 머신, 제품, 구성의 특정한 실시예들로 한정되는 것을 의도하지 않는다. 본 발명분야의 당업자라면 본 명세서에서 설명된 대응하는 실시예들과 실질적으로 동일한 기능을 수행하거나 이와 실질적으로 동일한 결과를 달성하는, 현존하거나 후에 개발될 공정, 기계, 제조, 물질, 수단, 방법, 또는 단계의 조합이 본 발명의 개시(disclosure)로부터 활용될 수 있다는 것을 본 발명개시로부터 쉽게 알 수 있을 것이다. 따라서, 첨부된 청구항들은 이와 같은 물질, 수단, 방법, 또는 단계의 공정, 머신, 제조품, 구성을 청구항의 범위 내에 포함하는 것으로 한다.

Claims (10)

  1. 기판 내에 형성된 제1 분리 영역 - 상기 제1 분리 영역은 제1 비수직 측벽을 가짐 -;
    상기 기판 내에 형성된 제2 분리 영역 - 상기 제2 분리 영역은 제2 비수직 측벽을 가짐 -;
    상기 기판 내에 형성된 V-형태의 홈 - 상기 V-형태의 홈, 상기 제1 비수직 측벽과 상기 제2 비-수직 측벽은 상기 기판 내에 클로크(cloak)-형태의 리세스를 형성함 -;
    상기 기판 위에 상기 클로크-형태의 리세스 내에 형성된 클로크-형태의 활성 영역 - 상기 클로크-형태의 활성 영역은 상기 분리 영역의 상단면 위에 돌출된 상부를 가지고, 상기 클로크-형태의 활성 영역은:
    제1 드레인/소스 영역;
    제2 드레인/소스 영역; 및
    상기 제1 드레인/소스 영역과 상기 제2 드레인/소스 영역 사이에 연결된 채널을 포함함 -; 및
    상기 클로크-형태의 활성 영역의 채널을 둘러싸는 게이트 전극을
    포함하는 장치.
  2. 제1항에 있어서, 상기 클로크-형태의 활성 영역과 상기 게이트 전극 사이에 형성된 게이트 유전층을 또한 포함하는 장치.
  3. 제1항에 있어서, 상기 제1 분리 영역은 얕은 트렌치 분리 구조인 것인 장치.
  4. 기판 내에 형성된 제1 드레인/소스 영역;
    상기 기판 내에 형성된 제2 드레인/소스 영역;
    상기 제1 드레인/소스 영역과 상기 제2 드레인/소스 영역 사이에 연결된 채널 - 상기 제1 드레인/소스 영역, 상기 제2 드레인/소스 영역과 상기 채널은 클로크-형태의 활성 영역을 형성함 -; 및
    상기 채널을 둘러싸는 게이트 전극을
    포함하는 장치.
  5. 제4항에 있어서,
    상기 기판 내에 형성된 제1 분리 영역;
    상기 기판 내에 형성된 제2 분리 영역 - 상기 제1 분리 영역과 상기 제2 분리 영역은 상기 클로크-형태의 활성 영역의 대향하는 측부들상에 형성됨 -; 및
    상기 게이트 전극과 상기 클로크-형태의 활성 영역 사이에 형성된 게이트 유전층을
    또한 포함하는 장치.
  6. 제5항에 있어서, 상기 게이트 유전층은:
    상기 제1 분리 영역과 상기 게이트 전극 사이에 형성된 제1 부분;
    상기 클로크-형태의 활성 영역의 제1 측벽과 상기 게이트 전극 사이에 형성된 제2 부분;
    상기 클로크-형태의 활성 영역의 상단면과 상기 게이트 전극 사이에 형성된 제3 부분;
    상기 클로크-형태의 활성 영역의 제2 측벽과 상기 게이트 전극 사이에 형성된 제4 부분; 및
    상기 제2 분리 영역과 상기 게이트 전극 사이에 형성된 제5 부분을
    포함하는 것인 장치.
  7. 기판 내에 제1 분리 영역을 형성하는 단계;
    상기 기판내에 제2 분리 영역을 형성하는 단계;
    상기 기판의 일부분을 제거함으로써 상기 제1 분리 영역과 상기 제2 분리 영역 사이에 리세스를 형성하는 단계;
    클로크-형태의 리세스를 형성하도록 상기 리세스에 대해 표면 처리를 수행하는 단계; 및
    에피택샬(epitaxial) 성장을 이용해서 클로크-형태의 활성 영역을 형성하는 단계를
    포함하는 방법.
  8. 제7항에 있어서,
    제1 이온 주입 공정을 이용해서 상기 클로크-형태의 활성 영역 내에 제1 드레인/소스 영역을 형성하는 단계;
    제2 이온 주입 공정을 이용해서 상기 클로크-형태의 활성 영역 내에 제2 드레인/소스 영역을 형성하는 단계; 및
    상기 제1 드레인/소스 영역과 상기 제2 드레인/소스 영역 사이에 연결된 채널을 형성하는 단계를
    또한 포함하는 방법.
  9. 제8항에 있어서,
    평면을 형성하도록 상기 클로크-형태의 활성 영역상에 화학 기계적 폴리싱 공정을 적용하는 단계;
    상기 제1 분리 영역의 제1 상부를 제거하는 단계;
    상기 제2 분리 영역의 제2 상부를 제거하는 단계;
    상기 클로크-형태의 활성 영역의 상단면상에 게이트 유전층을 성막하는 단계; 및
    상기 게이트 유전층상에 게이트 전극을 형성하는 단계를
    또한 포함하는 방법.
  10. 제9항에 있어서, 상기 게이트 전극은 상기 채널을 둘러싸는 것인 방법.
KR1020120058722A 2012-03-01 2012-05-31 FinFET를 위한 장치 및 방법 KR101369560B1 (ko)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US13/410,073 2012-03-01
US13/410,073 US8742509B2 (en) 2012-03-01 2012-03-01 Apparatus and method for FinFETs

Publications (2)

Publication Number Publication Date
KR20130100657A KR20130100657A (ko) 2013-09-11
KR101369560B1 true KR101369560B1 (ko) 2014-03-04

Family

ID=49042354

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020120058722A KR101369560B1 (ko) 2012-03-01 2012-05-31 FinFET를 위한 장치 및 방법

Country Status (4)

Country Link
US (4) US8742509B2 (ko)
KR (1) KR101369560B1 (ko)
CN (1) CN103296084B (ko)
TW (1) TWI521706B (ko)

Families Citing this family (109)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8742509B2 (en) * 2012-03-01 2014-06-03 Taiwan Semiconductor Manufacturing Company, Ltd. Apparatus and method for FinFETs
US9559099B2 (en) 2012-03-01 2017-01-31 Taiwan Semiconductor Manufacturing Company, Ltd. Apparatus and method for FinFETs
US8836016B2 (en) 2012-03-08 2014-09-16 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor structures and methods with high mobility and high energy bandgap materials
US9142400B1 (en) 2012-07-17 2015-09-22 Stc.Unm Method of making a heteroepitaxial layer on a seed area
US8957476B2 (en) * 2012-12-20 2015-02-17 Intel Corporation Conversion of thin transistor elements from silicon to silicon germanium
US9029246B2 (en) * 2013-07-30 2015-05-12 Taiwan Semiconductor Manufacturing Company, Ltd. Methods of forming epitaxial structures
KR102058895B1 (ko) 2013-08-23 2019-12-24 현대모비스 주식회사 요크 유격 보상장치
US9553012B2 (en) 2013-09-13 2017-01-24 Taiwan Semiconductor Manufacturing Company Ltd. Semiconductor structure and the manufacturing method thereof
KR102151768B1 (ko) * 2014-01-27 2020-09-03 삼성전자주식회사 반도체 장치 및 그 제조방법
US9548303B2 (en) 2014-03-13 2017-01-17 Taiwan Semiconductor Manufacturing Company, Ltd. FinFET devices with unique fin shape and the fabrication thereof
EP2924722A1 (en) * 2014-03-28 2015-09-30 IMEC vzw Method for manufacturing a semiconductor-on-insulator device
US9209185B2 (en) 2014-04-16 2015-12-08 Taiwan Semiconductor Manufacturing Company, Ltd. Method and structure for FinFET device
US9443769B2 (en) 2014-04-21 2016-09-13 Taiwan Semiconductor Manufacturing Company, Ltd. Wrap-around contact
US9312389B2 (en) * 2014-05-23 2016-04-12 Broadcom Corporation FinFET with undoped body bulk
US9484376B2 (en) * 2014-05-30 2016-11-01 Taiwan Semiconductor Manufacturing Company Ltd. Semiconductor isolation structure and manufacturing method thereof
KR101595780B1 (ko) * 2014-08-14 2016-02-19 경북대학교 산학협력단 GaN-Fin 구조 및 FinFET를 제조하는 방법 및 이러한 방법으로 제조된 GaN-Fin 구조를 사용하는 소자 및 FinFET
US9324868B2 (en) * 2014-08-19 2016-04-26 Globalfoundries Inc. Epitaxial growth of silicon for FinFETS with non-rectangular cross-sections
TWI556285B (zh) * 2014-08-21 2016-11-01 國立中央大學 在矽基板上磊晶成長鍺薄膜的方法
US9653605B2 (en) * 2014-10-17 2017-05-16 Taiwan Semiconductor Manufacturing Company, Ltd. Fin field effect transistor (FinFET) device and method for forming the same
US9391201B2 (en) 2014-11-25 2016-07-12 Taiwan Semiconductor Manufacturing Company, Ltd. Source/drain structure and manufacturing the same
US9349652B1 (en) 2014-12-12 2016-05-24 Taiwan Semiconductor Manufacturing Company, Ltd. Method of forming semiconductor device with different threshold voltages
US9780214B2 (en) 2014-12-22 2017-10-03 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device including Fin- FET and manufacturing method thereof
US10141310B2 (en) 2014-12-23 2018-11-27 Taiwan Semiconductor Manufacturing Company, Ltd. Short channel effect suppression
US10134871B2 (en) 2014-12-23 2018-11-20 Taiwan Semiconductor Manufacturing Company, Ltd. Doping of high-K dielectric oxide by wet chemical treatment
US9768301B2 (en) 2014-12-23 2017-09-19 Taiwan Semiconductor Manufacturing Company, Ltd. Short channel effect suppression
US9515071B2 (en) 2014-12-24 2016-12-06 Taiwan Semiconductor Manufacturing Company, Ltd. Asymmetric source/drain depths
US9647090B2 (en) 2014-12-30 2017-05-09 Taiwan Semiconductor Manufacturing Company, Ltd. Surface passivation for germanium-based semiconductor structure
US9425250B2 (en) 2014-12-30 2016-08-23 Taiwan Semiconductor Manufacturing Company, Ltd. Transistor with wurtzite channel
US9601626B2 (en) 2015-01-23 2017-03-21 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device including fin structure with two channel layers and manufacturing method thereof
US9443729B1 (en) 2015-03-31 2016-09-13 Taiwan Semiconductor Manufacturing Company, Ltd. Method for forming FinFET devices
CN106158748B (zh) * 2015-04-07 2022-01-18 联华电子股份有限公司 半导体元件及其制作方法
US9590102B2 (en) 2015-04-15 2017-03-07 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and manufacturing method thereof
US9680014B2 (en) 2015-04-17 2017-06-13 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device including Fin structures and manufacturing method thereof
US9570557B2 (en) 2015-04-29 2017-02-14 Taiwan Semiconductor Manufacturing Co., Ltd. Tilt implantation for STI formation in FinFET structures
US9773786B2 (en) 2015-04-30 2017-09-26 Taiwan Semiconductor Manufacturing Company, Ltd. FETs and methods of forming FETs
US9461110B1 (en) 2015-04-30 2016-10-04 Taiwan Semiconductor Manufacturing Company, Ltd. FETs and methods of forming FETs
US10269968B2 (en) 2015-06-03 2019-04-23 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device including fin structures and manufacturing method thereof
US9449975B1 (en) 2015-06-15 2016-09-20 Taiwan Semiconductor Manufacturing Company, Ltd. FinFET devices and methods of forming
US9647071B2 (en) 2015-06-15 2017-05-09 Taiwan Semiconductor Manufacturing Company, Ltd. FINFET structures and methods of forming the same
WO2016209220A1 (en) * 2015-06-24 2016-12-29 Intel Corporation Replacement channel etch for high quality interface
US10211208B2 (en) 2015-06-26 2019-02-19 Intel Corporation High-mobility semiconductor source/drain spacer
US9425313B1 (en) 2015-07-07 2016-08-23 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and manufacturing method thereof
US9953881B2 (en) 2015-07-20 2018-04-24 Taiwan Semiconductor Manufacturing Company, Ltd. Method of forming a FinFET device
US9472620B1 (en) 2015-09-04 2016-10-18 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device including fin structures and manufacturing method thereof
CN107924944B (zh) 2015-09-11 2021-03-30 英特尔公司 磷化铝铟子鳍状物锗沟道晶体管
US9680017B2 (en) 2015-09-16 2017-06-13 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device including Fin FET and manufacturing method thereof
US10388764B2 (en) 2015-09-25 2019-08-20 Intel Corporation High-electron-mobility transistors with counter-doped dopant diffusion barrier
DE112015006945T5 (de) 2015-09-25 2018-06-21 Intel Corporation Transistoren mit hoher Elektronenbeweglichkeit mit Heteroübergang-Dotierstoffdiffusionsbarriere
US9922975B2 (en) 2015-10-05 2018-03-20 Taiwan Semiconductor Manufacturing Co., Ltd. Integrated circuit having field-effect trasistors with dielectric fin sidewall structures and manufacturing method thereof
KR102323943B1 (ko) 2015-10-21 2021-11-08 삼성전자주식회사 반도체 장치 제조 방법
US10121858B2 (en) 2015-10-30 2018-11-06 Taiwan Semiconductor Manufacturing Company, Ltd. Elongated semiconductor structure planarization
US9960273B2 (en) 2015-11-16 2018-05-01 Taiwan Semiconductor Manufacturing Company, Ltd. Integrated circuit structure with substrate isolation and un-doped channel
US10032627B2 (en) 2015-11-16 2018-07-24 Taiwan Semiconductor Manufacturing Company, Ltd. Method for forming stacked nanowire transistors
KR102413371B1 (ko) * 2015-11-25 2022-06-28 삼성전자주식회사 반도체 소자
US9887269B2 (en) 2015-11-30 2018-02-06 Taiwan Semiconductor Manufacturing Company, Ltd. Multi-gate device and method of fabrication thereof
US9997615B2 (en) * 2015-11-30 2018-06-12 Taiwan Semiconductor Manufacturing Co., Ltd. Method for forming semiconductor structure with epitaxial growth structure
US9564317B1 (en) 2015-12-02 2017-02-07 Taiwan Semiconductor Manufacturing Company, Ltd. Method of forming a nanowire
US9716146B2 (en) 2015-12-15 2017-07-25 Taiwan Semiconductor Manufacturing Company, Ltd. Integrated circuit structure and method with solid phase diffusion
US9899269B2 (en) 2015-12-30 2018-02-20 Taiwan Semiconductor Manufacturing Company, Ltd Multi-gate device and method of fabrication thereof
US9660033B1 (en) 2016-01-13 2017-05-23 Taiwan Semiconductor Manufactuing Company, Ltd. Multi-gate device and method of fabrication thereof
US9876098B2 (en) 2016-01-15 2018-01-23 Taiwan Semiconductor Manufacturing Company, Ltd. Method of forming a gate spacer
US10038095B2 (en) 2016-01-28 2018-07-31 Taiwan Semiconductor Manufacturing Co., Ltd. V-shape recess profile for embedded source/drain epitaxy
US10453925B2 (en) 2016-01-29 2019-10-22 Taiwan Semiconductor Manufacturing Co., Ltd. Epitaxial growth methods and structures thereof
CN107045983B (zh) * 2016-02-05 2020-07-10 中芯国际集成电路制造(上海)有限公司 晶体管及其形成方法
US10340383B2 (en) 2016-03-25 2019-07-02 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device having stressor layer
US10164061B2 (en) 2016-05-19 2018-12-25 Taiwan Semiconductor Manufacturing Co., Ltd. Method of fabricating non-volatile memory device array
US10734522B2 (en) 2016-06-15 2020-08-04 Taiwan Semiconductor Manufacturing Co., Ltd. Structure and formation method of semiconductor device structure with gate stacks
EP3472867A4 (en) * 2016-06-17 2020-12-02 INTEL Corporation SELF-ALIGNED GATE ELECTRODE FIELD-EFFECT TRANSISTORS ON A SEMICONDUCTOR FIN
WO2017218015A1 (en) * 2016-06-17 2017-12-21 Intel Corporation High-mobility field effect transistors with wide bandgap fin cladding
US10008414B2 (en) 2016-06-28 2018-06-26 Taiwan Semiconductor Manufacturing Co., Ltd. System and method for widening Fin widths for small pitch FinFET devices
US9620628B1 (en) 2016-07-07 2017-04-11 Taiwan Semiconductor Manufacturing Co., Ltd. Methods of forming contact feature
US10269938B2 (en) 2016-07-15 2019-04-23 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device structure having a doped passivation layer
EP3273468B1 (en) * 2016-07-20 2023-03-15 Imec Vzw Monolithic integration of semiconductor materials
US10002759B2 (en) 2016-07-26 2018-06-19 Applied Materials, Inc. Method of forming structures with V shaped bottom on silicon substrate
US10217741B2 (en) 2016-08-03 2019-02-26 Taiwan Semiconductor Manufacturing Company, Ltd. Fin structure and method of forming same through two-step etching processes
US9853150B1 (en) 2016-08-15 2017-12-26 Taiwan Semiconductor Manufacturing Co., Ltd. Method of fabricating epitaxial gate dielectrics and semiconductor device of the same
US9865589B1 (en) 2016-10-31 2018-01-09 Taiwan Semiconductor Manufacturing Co., Ltd. System and method of fabricating ESD FinFET with improved metal landing in the drain
US11152362B2 (en) 2016-11-10 2021-10-19 Taiwan Semiconductor Manufacturing Co., Ltd. Fin field effect transistor (FinFET) device structure
US9847334B1 (en) 2016-11-18 2017-12-19 Taiwan Semiconductor Manufacturing Co., Ltd. Structure and formation method of semiconductor device with channel layer
US10879240B2 (en) 2016-11-18 2020-12-29 Taiwan Semiconductor Manufacturing Co., Ltd. Fin field effect transistor (FinFET) device structure
US10134870B2 (en) 2016-11-28 2018-11-20 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor structure and method of manufacturing the same
US10529862B2 (en) * 2016-11-28 2020-01-07 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and method of forming semiconductor fin thereof
US10290546B2 (en) 2016-11-29 2019-05-14 Taiwan Semiconductor Manufacturing Co., Ltd. Threshold voltage adjustment for a gate-all-around semiconductor structure
US10062782B2 (en) 2016-11-29 2018-08-28 Taiwan Semiconductor Manufacturing Co., Ltd. Method of manufacturing a semiconductor device with multilayered channel structure
US11011634B2 (en) 2016-11-30 2021-05-18 Taiwan Semiconductor Manufacturing Co., Ltd. Elongated source/drain region structure in finFET device
US9865595B1 (en) 2016-12-14 2018-01-09 Taiwan Semiconductor Manufacturing Co., Ltd. FinFET device with epitaxial structures that wrap around the fins and the method of fabricating the same
US9899273B1 (en) 2016-12-15 2018-02-20 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor structure with dopants diffuse protection and method for forming the same
EP3339244A1 (en) * 2016-12-21 2018-06-27 IMEC vzw Source and drain contacts in fin- or nanowire- based semiconductor devices.
US10522643B2 (en) 2017-04-26 2019-12-31 Taiwan Semiconductor Manufacturing Co., Ltd. Device and method for tuning threshold voltage by implementing different work function metals in different segments of a gate
US10522417B2 (en) 2017-04-27 2019-12-31 Taiwan Semiconductor Manufacturing Co., Ltd. FinFET device with different liners for PFET and NFET and method of fabricating thereof
US10163628B1 (en) * 2017-05-31 2018-12-25 Taiwan Semiconductor Manufacturing Co., Ltd. Lattice-mismatched semiconductor substrates with defect reduction
US10453753B2 (en) 2017-08-31 2019-10-22 Taiwan Semiconductor Manufacturing Co., Ltd. Using a metal-containing layer as an etching stop layer and to pattern source/drain regions of a FinFET
US10276697B1 (en) 2017-10-27 2019-04-30 Taiwan Semiconductor Manufacturing Co., Ltd. Negative capacitance FET with improved reliability performance
US10522557B2 (en) 2017-10-30 2019-12-31 Taiwan Semiconductor Manufacturing Co., Ltd. Surface topography by forming spacer-like components
US10366915B2 (en) 2017-11-15 2019-07-30 Taiwan Semiconductor Manufacturing Co., Ltd. FinFET devices with embedded air gaps and the fabrication thereof
US10510894B2 (en) 2017-11-30 2019-12-17 Taiwan Semiconductor Manufacturing Co., Ltd. Isolation structure having different distances to adjacent FinFET devices
US10854615B2 (en) 2018-03-30 2020-12-01 Taiwan Semiconductor Manufacturing Co., Ltd. FinFET having non-merging epitaxially grown source/drains
US10665697B2 (en) 2018-06-15 2020-05-26 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and method
US11302535B2 (en) 2018-06-27 2022-04-12 Taiwan Semiconductor Manufacturing Co., Ltd. Performing annealing process to improve fin quality of a FinFET semiconductor
US10388771B1 (en) 2018-06-28 2019-08-20 Taiwan Semiconductor Manufacturing Co., Ltd. Method and device for forming cut-metal-gate feature
US10790352B2 (en) 2018-06-28 2020-09-29 Taiwan Semiconductor Manufacturing Co., Ltd. High density capacitor implemented using FinFET
US10886226B2 (en) 2018-07-31 2021-01-05 Taiwan Semiconductor Manufacturing Co, Ltd. Conductive contact having staircase barrier layers
US10998241B2 (en) 2018-09-19 2021-05-04 Taiwan Semiconductor Manufacturing Co., Ltd. Selective dual silicide formation using a maskless fabrication process flow
US10971605B2 (en) 2018-10-22 2021-04-06 Taiwan Semiconductor Manufacturing Co., Ltd. Dummy dielectric fin design for parasitic capacitance reduction
US11038018B2 (en) 2019-05-14 2021-06-15 Samsung Electronics Co., Ltd. Semiconductor devices
US11728344B2 (en) 2019-06-28 2023-08-15 Taiwan Semiconductor Manufacturing Co., Ltd. Hybrid SRAM design with nano-structures
US11469238B2 (en) 2019-09-26 2022-10-11 Taiwan Semiconductor Manufacturing Co., Ltd. Non-interleaving N-well and P-well pickup region design for IC devices
US11575000B2 (en) * 2020-06-18 2023-02-07 Nexgen Power Systems, Inc. Super-junction based vertical gallium nitride JFET power devices
US11804374B2 (en) * 2020-10-27 2023-10-31 Taiwan Semiconductor Manufacturing Company, Ltd. Strain relief trenches for epitaxial growth

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20050156202A1 (en) 2004-01-17 2005-07-21 Hwa-Sung Rhee At least penta-sided-channel type of FinFET transistor
KR100585171B1 (ko) 2004-01-17 2006-06-02 삼성전자주식회사 다면 채널을 가지는 반도체 소자 및 그 제조 방법
KR20080099687A (ko) * 2007-05-10 2008-11-13 주식회사 하이닉스반도체 다면 채널을 갖는 트랜지스터 및 그 형성방법
KR20090069301A (ko) * 2006-11-20 2009-06-30 인터내셔널 비지네스 머신즈 코포레이션 이중 응력 디바이스 및 방법

Family Cites Families (26)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2005228781A (ja) * 2004-02-10 2005-08-25 Matsushita Electric Ind Co Ltd 半導体装置およびその製造方法
US7154118B2 (en) * 2004-03-31 2006-12-26 Intel Corporation Bulk non-planar transistor having strained enhanced mobility and methods of fabrication
US7442609B2 (en) * 2004-09-10 2008-10-28 Infineon Technologies Ag Method of manufacturing a transistor and a method of forming a memory device with isolation trenches
US9153645B2 (en) * 2005-05-17 2015-10-06 Taiwan Semiconductor Manufacturing Company, Ltd. Lattice-mismatched semiconductor structures with reduced dislocation defect densities and related methods for device fabrication
US20070267722A1 (en) * 2006-05-17 2007-11-22 Amberwave Systems Corporation Lattice-mismatched semiconductor structures with reduced dislocation defect densities and related methods for device fabrication
US7547637B2 (en) * 2005-06-21 2009-06-16 Intel Corporation Methods for patterning a semiconductor film
US7777250B2 (en) * 2006-03-24 2010-08-17 Taiwan Semiconductor Manufacturing Company, Ltd. Lattice-mismatched semiconductor structures and related methods for device fabrication
EP2062290B1 (en) 2006-09-07 2019-08-28 Taiwan Semiconductor Manufacturing Company, Ltd. Defect reduction using aspect ratio trapping
US8927353B2 (en) * 2007-05-07 2015-01-06 Taiwan Semiconductor Manufacturing Co., Ltd. Fin field effect transistor and method of forming the same
JP2008300384A (ja) * 2007-05-29 2008-12-11 Elpida Memory Inc 半導体装置及びその製造方法
US9768305B2 (en) * 2009-05-29 2017-09-19 Taiwan Semiconductor Manufacturing Company, Ltd. Gradient ternary or quaternary multiple-gate transistor
US9484462B2 (en) 2009-09-24 2016-11-01 Taiwan Semiconductor Manufacturing Company, Ltd. Fin structure of fin field effect transistor
US8629478B2 (en) * 2009-07-31 2014-01-14 Taiwan Semiconductor Manufacturing Company, Ltd. Fin structure for high mobility multiple-gate transistor
US8598003B2 (en) * 2009-12-21 2013-12-03 Intel Corporation Semiconductor device having doped epitaxial region and its methods of fabrication
US8609517B2 (en) * 2010-06-11 2013-12-17 Taiwan Semiconductor Manufacturing Company, Ltd. MOCVD for growing III-V compound semiconductors on silicon substrates
US8183134B2 (en) * 2010-10-19 2012-05-22 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and manufacturing method with improved epitaxial quality of III-V compound on silicon surfaces
US8455930B2 (en) * 2011-01-05 2013-06-04 Taiwan Semiconductor Manufacturing Company, Ltd. Strained semiconductor device with facets
US8598675B2 (en) * 2011-02-10 2013-12-03 Taiwan Semiconductor Manufacturing Company, Ltd. Isolation structure profile for gap filling
US8349692B2 (en) * 2011-03-08 2013-01-08 Globalfoundries Singapore Pte. Ltd. Channel surface technique for fabrication of FinFET devices
US8618556B2 (en) * 2011-06-30 2013-12-31 Taiwan Semiconductor Manufacturing Company, Ltd. FinFET design and method of fabricating same
US9105660B2 (en) 2011-08-17 2015-08-11 United Microelectronics Corp. Fin-FET and method of forming the same
US8841701B2 (en) * 2011-08-30 2014-09-23 Taiwan Semiconductor Manufacturing Company, Ltd. FinFET device having a channel defined in a diamond-like shape semiconductor structure
US9559099B2 (en) * 2012-03-01 2017-01-31 Taiwan Semiconductor Manufacturing Company, Ltd. Apparatus and method for FinFETs
US8742509B2 (en) 2012-03-01 2014-06-03 Taiwan Semiconductor Manufacturing Company, Ltd. Apparatus and method for FinFETs
US8836016B2 (en) * 2012-03-08 2014-09-16 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor structures and methods with high mobility and high energy bandgap materials
US9159554B2 (en) * 2013-05-01 2015-10-13 Applied Materials, Inc. Structure and method of forming metamorphic heteroepi materials and III-V channel structures on si

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20050156202A1 (en) 2004-01-17 2005-07-21 Hwa-Sung Rhee At least penta-sided-channel type of FinFET transistor
KR100585171B1 (ko) 2004-01-17 2006-06-02 삼성전자주식회사 다면 채널을 가지는 반도체 소자 및 그 제조 방법
KR20090069301A (ko) * 2006-11-20 2009-06-30 인터내셔널 비지네스 머신즈 코포레이션 이중 응력 디바이스 및 방법
KR20080099687A (ko) * 2007-05-10 2008-11-13 주식회사 하이닉스반도체 다면 채널을 갖는 트랜지스터 및 그 형성방법

Also Published As

Publication number Publication date
US20150380527A1 (en) 2015-12-31
KR20130100657A (ko) 2013-09-11
US10084069B2 (en) 2018-09-25
TWI521706B (zh) 2016-02-11
CN103296084A (zh) 2013-09-11
US20140220753A1 (en) 2014-08-07
TW201338165A (zh) 2013-09-16
US20130228875A1 (en) 2013-09-05
US9153582B2 (en) 2015-10-06
US20170309730A1 (en) 2017-10-26
CN103296084B (zh) 2016-01-20
US8742509B2 (en) 2014-06-03
US9722051B2 (en) 2017-08-01

Similar Documents

Publication Publication Date Title
KR101369560B1 (ko) FinFET를 위한 장치 및 방법
US11393727B2 (en) Structure and formation method of fin-like field effect transistor
US9922828B2 (en) Apparatus and method for FinFETs
US10037921B2 (en) Structure and formation method of fin-like field effect transistor
US10103264B2 (en) Channel strain control for nonplanar compound semiconductor devices
KR101653464B1 (ko) 기판 격리 및 도핑되지 않은 채널을 갖는 집적 회로 구조체 및 그 형성방법
US8871584B2 (en) Replacement source/drain finFET fabrication
US20140175568A1 (en) Replacement source/drain finfet fabrication
CN105280707A (zh) 半导体结构及其制造方法
US9490346B2 (en) Structure and formation method of fin-like field effect transistor
US9659826B2 (en) Asymmetric source/drain depths
US20130302954A1 (en) Methods of forming fins for a finfet device without performing a cmp process
CN107591327B (zh) 鳍式场效应管的形成方法

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20170221

Year of fee payment: 4

FPAY Annual fee payment

Payment date: 20180208

Year of fee payment: 5

FPAY Annual fee payment

Payment date: 20190212

Year of fee payment: 6

FPAY Annual fee payment

Payment date: 20200214

Year of fee payment: 7