US20140175568A1 - Replacement source/drain finfet fabrication - Google Patents

Replacement source/drain finfet fabrication Download PDF

Info

Publication number
US20140175568A1
US20140175568A1 US14/195,605 US201414195605A US2014175568A1 US 20140175568 A1 US20140175568 A1 US 20140175568A1 US 201414195605 A US201414195605 A US 201414195605A US 2014175568 A1 US2014175568 A1 US 2014175568A1
Authority
US
United States
Prior art keywords
source
drain
region
finfet
gate
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Abandoned
Application number
US14/195,605
Inventor
Daniel Tang
Tzu-Shih Yen
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Advanced Ion Beam Technology Inc
Original Assignee
Advanced Ion Beam Technology Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Advanced Ion Beam Technology Inc filed Critical Advanced Ion Beam Technology Inc
Priority to US14/195,605 priority Critical patent/US20140175568A1/en
Publication of US20140175568A1 publication Critical patent/US20140175568A1/en
Assigned to ADVANCED ION BEAM TECHNOLOGY, INC. reassignment ADVANCED ION BEAM TECHNOLOGY, INC. ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: TANG, DANIEL, YEN, TZU-SHIH
Abandoned legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66787Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel
    • H01L29/66795Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66787Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel
    • H01L29/66795Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • H01L29/66803Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET with a step of doping the vertical sidewall, e.g. using tilted or multi-angled implants
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/02521Materials
    • H01L21/02524Group 14 semiconducting materials
    • H01L21/02532Silicon, silicon germanium, germanium
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/0257Doping during depositing
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/30604Chemical etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/31051Planarisation of the insulating layers
    • H01L21/31053Planarisation of the insulating layers involving a dielectric removal step
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/0657Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by the shape of the body
    • H01L29/0665Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by the shape of the body the shape of the body defining a nanostructure
    • H01L29/0669Nanowires or nanotubes
    • H01L29/0673Nanowires or nanotubes oriented parallel to a substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/12Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/16Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic System
    • H01L29/161Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic System including two or more of the elements provided for in group H01L29/16, e.g. alloys
    • H01L29/165Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic System including two or more of the elements provided for in group H01L29/16, e.g. alloys in different semiconductor regions, e.g. heterojunctions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/51Insulating materials associated therewith
    • H01L29/517Insulating materials associated therewith the insulating material comprising a metallic compound, e.g. metal oxide, metal silicate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7842Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate
    • H01L29/7848Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate the means being located in the source/drain region, e.g. SiGe source and drain
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/785Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET

Definitions

  • the present disclosure relates generally to fabricating fin field effect transistors (finFETs), and, more specifically, to fabricating finFETs with replacement sources and drains.
  • a finFET is a non-planar multi-gate transistor that operates on the same principles as traditional metal oxide semiconductor field effect transistors (MOSFETs).
  • An island or fin of silicon is first patterned on to the wafer. After forming the fin, a gate stack is deposited and patterned so that the patterned gate material runs perpendicular to the fin. Where the patterned gate material overlaps the fin, a gate for the finFET is formed.
  • the finFET will have one gate on both vertical sidewalls of the fin. Depending on the size of the top surface of the fin, the finFET may also have a gate on top of the fin.
  • FIG. 1 depicts finFET 100 formed on a silicon-on-insulator (SOI) wafer.
  • Fin structure 102 protrudes from oxide layer 108 and is raised above shallow trench isolation (STI) 106 .
  • Gate stack 104 contacts fin structure 102 on three sides forming multiple gates.
  • a channel region is defined by the region under gate stack 104 in fin structure 102 .
  • Source and drain regions are adjacent the channel region at opposite ends in fin structure 102 .
  • FIG. 2 depicts traditional MOSFET 200 formed on oxide layer 208 of an SOI wafer.
  • silicon region 202 is planar with STI 206 , which causes gate stack 204 to contact only a single side of silicon region 202 and form only a single gate.
  • finFETs may offer many improvements over traditional MOSFETs.
  • finFETs may be more robust against short-channel effects and may offer higher current drive while improving subthreshold swing.
  • finFETs may lead to several difficulties during fabrication.
  • conventional implanters require line of sight to implant ions into a surface.
  • the wafer To dope vertical sidewalls of the source or drain of a finFET, the wafer must be tilt-angle implanted.
  • the implant may need to be performed at multiple angles or orientations, which may increase implanter tool complexity, implanting cost, and processing time.
  • design rules may be implemented that space non-planar structures far enough apart to minimize shadowing effects. However, using design rules to increase structure spacing will result in less dense circuits.
  • plasma doping may have its own set of disadvantages, such as doping control and limits on doping concentrations.
  • a finFET is formed having a fin with a source region, a drain region, and a channel region between the source and drain regions.
  • the fin is etched on a semiconductor wafer.
  • a gate stack is formed having an insulating layer in direct contact with the channel region and a conductive gate material in direct contact with the insulating layer.
  • the source and drain regions are etched leaving the channel region of the fin.
  • Epitaxial semiconductor is grown on the sides of the channel region that were adjacent the source and drain regions to form a source epitaxy region and a drain epitaxy region.
  • the source and drain epitaxy regions are doped in-situ while growing the epitaxial semiconductor.
  • the source and drain epitaxy regions may apply a strain to the channel region to improve the mobility of electrons or holes in the channel region.
  • FIG. 1 depicts a finFET.
  • FIG. 2 depicts an SOI MOSFET.
  • FIG. 3 depicts a flow chart of an exemplary process for forming a finFET.
  • FIG. 4A depicts a perspective view an exemplary embodiment of a finFET at an initial stage of fabrication according to the exemplary process depicted in FIG. 3 .
  • FIG. 4B depicts a cross-section view of the exemplary embodiment along dotted cut plane 402 in FIG. 4A .
  • FIG. 4C depicts another cross-section view of the exemplary embodiment along dotted cut plane 404 in FIG. 4A .
  • FIGS. 5A-5C ; 6 A- 6 C; 7 A- 7 C; 8 A- 8 C; 9 A- 9 C; and 10 A- 10 C depict the exemplary embodiment at various stages of fabrication according to the exemplary process depicted in FIG. 3 .
  • FIGS. 11A-11C depict an exemplary embodiment of a finFET on an SOI wafer.
  • FIGS. 12A-12C depict an exemplary embodiment of a finFET with asymmetrical source and drain regions.
  • FIGS. 13A-13C depict a stage of another exemplary process for fabricating a finFET.
  • FIGS. 14A-14C depict a stage of another exemplary process for fabricating a finFET.
  • FIGS. 15A-15C depict a stage of another exemplary process for fabricating a finFET.
  • FIG. 3 depicts an exemplary process 300 for fabricating a finFET.
  • FIGS. 4A-4C 5 A- 5 C; 6 A- 6 C; 7 A- 7 C; 8 A- 8 C; 9 A- 9 C; and 10 A- 10 C depict the finFET at various stages of fabrication according to exemplary process 300 ( FIG. 3 ).
  • FIGS. 4A-4C depict substrate 400 , which is a portion of a semiconductor wafer.
  • substrate 400 is a portion of a bulk silicon wafer.
  • other types of wafers or substrates may also be used, such as SOI wafers.
  • semiconductor materials other than silicon may be used, including but not limited to Ge, SiGe, SiC, GeP, GeN, InGaAs, GaAs, InSb, InAs, GaSb, and InP.
  • FIGS. 5A-5C depict substrate 400 after formation of fin structure 500 , which is directly connected to the silicon of the wafer. This operation may use standard semiconductor masking and etching techniques to form fin structure 500 .
  • an STI region may also be formed after formation of fin structure 500 .
  • FIGS. 5A-5C depict substrate 400 after formation of STI 502 .
  • the STI insulating material may be deposited over the substrate. Planarizing techniques such as chemical-mechanical polishing (CMP) or etch-backs may be used to remove the STI insulating material until top 504 of STI 502 is approximately planar with top 506 of fin structure 500 .
  • CMP chemical-mechanical polishing
  • FIGS. 5A-5C show STI 502 being perfectly planar with fin structure 500 , in practice there may be a small step between these regions. Even with a small step, the regions are still considered to be approximately planar.
  • the STI formation may take place later in the processing. For example, the STI formation may take place after the source/drain regions have been etched and refilled, as discussed below with respect to FIGS. 14A-14C and 15 A- 15 C.
  • an etch-back may also be used to expose a portion of the fin structure.
  • FIGS. 6A-6C depict substrate 400 after an etch-back of STI 502 to expose top portion 600 of fin structure 500 .
  • Bottom portion 602 of fin structure 500 remains covered by STI 502 .
  • the STI insulating material is made of silicon dioxide.
  • an etch that is selective to oxide over the silicon of the wafer may be used to etch STI 502 without etching significant amounts of fin structure 500 .
  • a photoresist mask or hardmask may be used to protect fin structure 500 during the etch-back.
  • the etch-back may alternatively be performed later in the process after the source/drain regions have been etched and refilled.
  • the gate of the finFET is formed by depositing and patterning a gate stack.
  • FIGS. 7A-7C depict substrate 400 after a gate stack has been deposited and patterned to form a gate.
  • the deposition of the gate stack starts with growing or depositing gate dielectric 700 over substrate 400 .
  • gate dielectric 700 is either a deposited material or a material that may be grown on silicon as well as the insulating material of STI 502 . If gate dielectric 700 is thermal oxide, the portion of gate dielectric 700 over STI 502 will not be present.
  • conductive gate material 702 is deposited.
  • conductive gate material 702 is poly or amorphous silicon, which may be implanted to reduce resistance and set the gate workfunction.
  • Other conductive gate materials, such as metals, may also be used.
  • the gate stack may also be a high-k, metal gate (HKMG) stack.
  • HKMG metal gate
  • a metal gate such as titanium nitride
  • high-k gate dielectrics such as hafnium dioxide (HfO 2 ), zirconium dioxide (ZrO 2 ), or titanium dioxide (TiO 2 )
  • the HKMG stack may be formed with a dielectric-first, gate-first, dielectric-last, or gate-last process.
  • the gate stack may be initially formed as a traditional silicon dioxide and polysilicon stack that is used as a sacrificial stack during front-end of line processing. Then, before proceeding to back-end of line processing, a portion of this sacrificial stack may be removed and replaced with a gate stack that includes a high-k dielectric and a metal gate. This is also an example of a gate-last HKMG process.
  • the gate dielectric may be formed with a high-k material (with or without traditional dielectrics) and polysilicon for the gate material. Then, before proceeding to the back-end of line processing, the polysilicon gate will be removed and replaced with a metal gate. The gate dielectric deposited earlier in the front-end of line processing will remain. This is also an example of a dielectric-first HKMG process.
  • both the high-k gate dielectric and the metal gate are formed during the front-end of line processing.
  • hardmask layer 704 is deposited and patterned.
  • the patterned hardmask may used to etch conductive gate material 702 and optionally gate dielectric 700 .
  • the remaining gate stack material forms gate 706 .
  • Channel 708 is the region in fin structure 500 that is approximately covered by gate 706 .
  • Source and drain 710 are in either end of fin structure 500 adjacent to channel 708 . In a discrete device as is being described, the source and drain are described together as they are interchangeable.
  • the hardmask may be omitted if a photoresist mask is used to pattern gate 706 .
  • the gate dielectric may also be left unetched over the entire wafer. It may be removed, for example, in the spacer etch step as described with respect to FIGS. 8A-8C .
  • FIGS. 8A-8C depict substrate 400 after the formation of spacer 800 and the etching of source and drain 710 ( FIG. 7A ) to remove fin structure 500 in those regions.
  • the formation of spacer 800 may start with deposition of an insulating spacer material over substrate 400 .
  • a blanket spacer etch may then be performed.
  • the spacer insulating material on the sidewalls of gate 706 will be protected from the etch.
  • hardmask layer 704 left on the wafer after patterning the gate, may still remain after the spacer insulating material is etched away. Hardmask layer 704 and spacer 800 may then be used as a mask to etch source and drain 710 ( FIG. 7A ). After the source and drain etch, most or all of the silicon from source and drain 710 ( FIG. 7A ) is removed, leaving channel 708 of fin structure 500 .
  • Operation 306 may also omit the spacer related operations. This version of operation 306 may use only the hardmask to etch the source and drain regions. In other example of operation 306 , a photoresist mask may used instead of a hardmark.
  • FIGS. 10A-10C depict substrate 400 after etched source and drain 710 ( FIG. 7A ) have been refilled with silicon 1000 . This may be done, for example, by depositing polysilicon or growing epitaxial silicon. If the sidewalls of channel 708 have been damaged during the source and drain etch, the sidewalls may optionally be prepared to receive new silicon by, for example, thermally oxidizing the sidewalls to consume damaged silicon. Even though source and drain 710 ( FIG. 7 ) were silicon, other semiconductors may be used to refill the regions. In this case, the channel region and the source/drain regions would be made of different materials.
  • the source and drain are doped in-situ while being refilled with silicon.
  • the source and drain are doped in-situ while being refilled with silicon.
  • FIGS. 10A-10C by doping while depositing or growing silicon 1000 , tilt angle and plasma implants may be avoided. Additionally, because in-situ doping allows for a constant heavy doping across silicon 1000 , the source and drain resistances may be reduced as compared to implants alone. The thermal steps involved with activating any source and drain implants may also be avoided.
  • an additional etch step may be needed to remove silicon from non-source and non-drain areas.
  • CMP or an etch-back (with or without a masking step) may be used to remove silicon from regions that should be non-conducting (e.g., to remove any silicon that may be shorting the source and drain).
  • silicon 1000 which was deposited to refill previously etched source and drain 710 ( FIG. 7A ), has been etched back so that the top of gate 706 is exposed and the source and drain are not shorted together.
  • silicon 1000 of FIGS. 10A-10C is depicted with one particular shading, the crystalline structure of silicon 1000 may vary across the device. For example, if silicon 1000 is produced with an epitaxial process, the portion of silicon 1000 in the source and drain regions may be crystalline while the portion of silicon 1000 over the STI may be polycrystalline. The use of a single shading for silicon 1000 is not intended to indicate that silicon 1000 has a uniform crystalline structure or necessarily has other uniform characteristics.
  • FIGS. 9A-9C depict substrate 400 after selectively growing silicon 900 to refill etched source and drain 710 ( FIG. 7A ). With selective epitaxy, an etch-back step may not be necessary.
  • FIGS. 9A-9C are in contrast to FIGS. 10A-10C , which depict substrate 400 after non-selective growth and an etch-back step.
  • the shape of source and drain 900 in FIGS. 9A-9C is only intended to be exemplary of a shape produced by using selective epitaxy to regrow the source and drain regions. Other shapes of the source and drain regions may also be produced without deviating from the exemplary embodiments of this invention.
  • a high-temperature epitaxy process may be used to grow an initial thickness of high quality silicon.
  • Polysilicon may then be deposited at a lower temperature to refill more of the source and drain.
  • CMP, an etch-back, or both may also be used to plannerize the wafer. Additionally, an etch-back may be required to remove silicon from non-source and non-drain regions, as described above.
  • FIGS. 9A-9C and 10 A- 10 C depict the etched source and drain being completely refilled with silicon, in other cases, only a portion of the source and drain needs to be refilled with silicon.
  • the replacement of source and drain 710 may also add strain to channel 708 ( FIG. 10A ).
  • SiGe or SiC if using a silicon substrate
  • SiGe or SiC may be grown with selective epitaxy on the sides of channel 708 ( FIG. 10A ) where the source and drain regions were etched away.
  • the different lattice constants of SiGe or SiC as compared to Si (or other semiconductor material forming the channel) may strain the semiconductor in channel 708 , which may increase the mobility of electrons or holes in channel 708 .
  • threshold adjust implants may be needed to properly set the threshold voltage for n-type or p-type finFETs.
  • the refilling of the source and drain through deposition or epitaxial growth of silicon may need to be performed twice: once for p-type finFETs requiring p-type sources and drains and once for n-type finFETs requiring n-type sources and drains.
  • FIGS. 11A-11C depict another exemplary embodiment of a finFET on substrate 1100 .
  • This exemplary embodiment is similar to the exemplary embodiment depicted in FIGS. 10A-10C , except that an SOI wafer is used for substrate 1100 instead of a bulk wafer as described above. Also, the process used for this exemplary embodiment does not include formation of an STI region.
  • the shape of source and drain 1102 in FIGS. 11A-11C is only intended to be exemplary of a shape produced by using selective epitaxy to regrow the source and drain region. Other shapes of the source and drain regions may also be produced without deviating from embodiments of the invention.
  • FIGS. 12A-12C depict another exemplary embodiment of a finFET with asymmetrical source 1200 and drain 1202 that have different material properties.
  • source 1200 and drain 1202 may be made of different materials.
  • the doping of the two regions could be different.
  • the strain of the two regions could be different.
  • This exemplary embodiment requires source 1200 and drain 1202 to be grown separately.
  • the shape of source 1200 and drain 1202 in FIGS. 12A-12C is only intended to be exemplary of a shape produced by using selective epitaxy to regrow the source and drain region. Other shapes of the source and drain regions may also be produced without deviating from embodiments of the invention.
  • FIGS. 13A-13C depict a stage of another exemplary process. This exemplary process is similar to exemplary process 300 ( FIG. 3 ), except that the STI etch-back step described above with respect to FIGS. 5A-5C is performed later in the process.
  • the etch-back of STI 1304 has not occurred yet.
  • the STI etch-back step occurs at some point prior the gate formation (operation 304 ).
  • Substrate 1300 is depicted as an SOI wafer; however, this process can be used on a bulk wafer as well.
  • FIGS. 14A-14C depict a stage of another exemplary process for fabrication of a finFET.
  • This exemplary process is similar to exemplary process 300 ( FIG. 3 ), except the source and drain etch and refill (described in operations 306 , 308 , and 310 ) takes place prior to formation of the fin structure (described in operation 302 ).
  • a standard photoresist mask may be used to etch away source and drain 1402 prior to refilling.
  • only silicon that will form source and drain 1402 are etched and refilled.
  • Region 1404 remains unetched and is the original top surface of substrate 1400 .
  • a subsequent stage in this exemplary process forms a fin structure as described above with respect to operation 302 .
  • Substrate 1400 is depicted as an SOI wafer; however, this process can be used with a bulk wafer as well.
  • FIGS. 15A-15C depict a stage of another exemplary process for fabrication of a finFET.
  • This exemplary process is similar to the exemplary process described above with reference to FIGS. 14A-14C , except in addition to source and drain 1502 being etched and refilled, area 1504 around source and drain 1502 are also etched and refilled. Only region 1506 remains of the original top surface of substrate 1500 .
  • a subsequent stage in this exemplary process forms a fin structure as described above with respect to operation 302 .
  • Substrate 1500 is depicted as an SOI wafer; however, this process can be used with a bulk wafer as well.
  • source and drain 1502 and area 1504 around source and drain 1052 of FIGS. 15A-15C are depicted with one particular shading, the crystalline structure of these areas may vary across the
  • the foregoing descriptions of specific embodiments of the present invention have been presented for purposes of illustration and description. They are not intended to be exhaustive or to limit the invention to the precise forms disclosed, and it should be understood that many modifications and variations are possible in light of the above teaching. device. For example, if epitaxy is used to regrow silicon in these areas, the silicon adjacent region 1506 may be crystalline while the silicon further away from region 1506 may be polycrystalline.
  • the use of a single shading is not intended to mean that these areas have uniform crystalline structure or necessarily have other uniform characteristics.

Abstract

A finFET is formed having a fin with a source region, a drain region, and a channel region between the source and drain regions. The fin is etched on a semiconductor wafer. A gate stack is formed having an insulating layer in direct contact with the channel region and a conductive gate material in direct contact with the insulating layer. The source and drain regions are etched leaving the channel region of the fin. Epitaxial semiconductor is grown on the sides of the channel region that were adjacent the source and drain regions to form a source epitaxy region and a drain epitaxy region. The source and drain epitaxy regions are doped in-situ while growing the epitaxial semiconductor.

Description

    BACKGROUND
  • 1. Field
  • The present disclosure relates generally to fabricating fin field effect transistors (finFETs), and, more specifically, to fabricating finFETs with replacement sources and drains.
  • 2. Description of Related Art
  • A finFET is a non-planar multi-gate transistor that operates on the same principles as traditional metal oxide semiconductor field effect transistors (MOSFETs). An island or fin of silicon is first patterned on to the wafer. After forming the fin, a gate stack is deposited and patterned so that the patterned gate material runs perpendicular to the fin. Where the patterned gate material overlaps the fin, a gate for the finFET is formed. The finFET will have one gate on both vertical sidewalls of the fin. Depending on the size of the top surface of the fin, the finFET may also have a gate on top of the fin.
  • For example, FIG. 1 depicts finFET 100 formed on a silicon-on-insulator (SOI) wafer. Fin structure 102 protrudes from oxide layer 108 and is raised above shallow trench isolation (STI) 106. Gate stack 104 contacts fin structure 102 on three sides forming multiple gates. A channel region is defined by the region under gate stack 104 in fin structure 102. Source and drain regions are adjacent the channel region at opposite ends in fin structure 102.
  • FIG. 2 depicts traditional MOSFET 200 formed on oxide layer 208 of an SOI wafer. In contrast to finFET 100 (FIG. 1), silicon region 202 is planar with STI 206, which causes gate stack 204 to contact only a single side of silicon region 202 and form only a single gate.
  • The multiple gates of finFETs may offer many improvements over traditional MOSFETs. For example, finFETs may be more robust against short-channel effects and may offer higher current drive while improving subthreshold swing.
  • However, the non-planar nature of finFETs may lead to several difficulties during fabrication. For example, conventional implanters require line of sight to implant ions into a surface. To dope vertical sidewalls of the source or drain of a finFET, the wafer must be tilt-angle implanted. To overcome shadowing effects from nearby finFETs, the implant may need to be performed at multiple angles or orientations, which may increase implanter tool complexity, implanting cost, and processing time. Alternatively, design rules may be implemented that space non-planar structures far enough apart to minimize shadowing effects. However, using design rules to increase structure spacing will result in less dense circuits.
  • One alternative to tilt-angle implants is plasma doping. However, plasma doping may have its own set of disadvantages, such as doping control and limits on doping concentrations.
  • SUMMARY
  • In an exemplary embodiment, a finFET is formed having a fin with a source region, a drain region, and a channel region between the source and drain regions. The fin is etched on a semiconductor wafer. A gate stack is formed having an insulating layer in direct contact with the channel region and a conductive gate material in direct contact with the insulating layer. The source and drain regions are etched leaving the channel region of the fin. Epitaxial semiconductor is grown on the sides of the channel region that were adjacent the source and drain regions to form a source epitaxy region and a drain epitaxy region. The source and drain epitaxy regions are doped in-situ while growing the epitaxial semiconductor. The source and drain epitaxy regions may apply a strain to the channel region to improve the mobility of electrons or holes in the channel region.
  • DESCRIPTION OF THE FIGURES
  • The present application can be best understood by reference to the following description taken in conjunction with the accompanying figures, in which like parts may be referred to by like numerals.
  • FIG. 1 depicts a finFET.
  • FIG. 2 depicts an SOI MOSFET.
  • FIG. 3 depicts a flow chart of an exemplary process for forming a finFET.
  • FIG. 4A depicts a perspective view an exemplary embodiment of a finFET at an initial stage of fabrication according to the exemplary process depicted in FIG. 3.
  • FIG. 4B depicts a cross-section view of the exemplary embodiment along dotted cut plane 402 in FIG. 4A.
  • FIG. 4C depicts another cross-section view of the exemplary embodiment along dotted cut plane 404 in FIG. 4A.
  • FIGS. 5A-5C; 6A-6C; 7A-7C; 8A-8C; 9A-9C; and 10A-10C depict the exemplary embodiment at various stages of fabrication according to the exemplary process depicted in FIG. 3.
  • FIGS. 11A-11C depict an exemplary embodiment of a finFET on an SOI wafer.
  • FIGS. 12A-12C depict an exemplary embodiment of a finFET with asymmetrical source and drain regions.
  • FIGS. 13A-13C depict a stage of another exemplary process for fabricating a finFET.
  • FIGS. 14A-14C depict a stage of another exemplary process for fabricating a finFET.
  • FIGS. 15A-15C depict a stage of another exemplary process for fabricating a finFET.
  • The figures depict various embodiment of the present invention for purposes of illustration only. One skilled in the art will readily recognize from the following discussion that alternative embodiments of the structures and methods illustrated herein can be employed without departing from the principles of the invention described herein.
  • DETAILED DESCRIPTION
  • The following description is presented to enable a person of ordinary skill in the art to make and use the various embodiments. Descriptions of specific devices, techniques, and applications are provided only as examples. Various modifications to the examples described herein will be readily apparent to those of ordinary skill in the art, and the general principles defined herein may be applied to other examples and applications without departing from the spirit and scope of the various embodiments. Thus, the various embodiments are not intended to be limited to the examples described herein and shown, but are to be accorded the scope consistent with the claims.
  • FIG. 3 depicts an exemplary process 300 for fabricating a finFET. Corresponding FIGS. 4A-4C; 5A-5C; 6A-6C; 7A-7C; 8A-8C; 9A-9C; and 10A-10C depict the finFET at various stages of fabrication according to exemplary process 300 (FIG. 3).
  • In particular, FIGS. 4A-4C depict substrate 400, which is a portion of a semiconductor wafer. In the present exemplary embodiment, substrate 400 is a portion of a bulk silicon wafer. However, other types of wafers or substrates may also be used, such as SOI wafers. Also, semiconductor materials other than silicon may be used, including but not limited to Ge, SiGe, SiC, GeP, GeN, InGaAs, GaAs, InSb, InAs, GaSb, and InP.
  • With reference to FIG. 3, in operation 302, a fin structure is etched on the substrate. FIGS. 5A-5C depict substrate 400 after formation of fin structure 500, which is directly connected to the silicon of the wafer. This operation may use standard semiconductor masking and etching techniques to form fin structure 500.
  • Although not required, an STI region may also be formed after formation of fin structure 500. FIGS. 5A-5C depict substrate 400 after formation of STI 502. After forming fin structure 500, the STI insulating material may be deposited over the substrate. Planarizing techniques such as chemical-mechanical polishing (CMP) or etch-backs may be used to remove the STI insulating material until top 504 of STI 502 is approximately planar with top 506 of fin structure 500. While FIGS. 5A-5C show STI 502 being perfectly planar with fin structure 500, in practice there may be a small step between these regions. Even with a small step, the regions are still considered to be approximately planar. In other exemplary processes, the STI formation may take place later in the processing. For example, the STI formation may take place after the source/drain regions have been etched and refilled, as discussed below with respect to FIGS. 14A-14C and 15A-15C.
  • If an STI region is formed, an etch-back may also be used to expose a portion of the fin structure. FIGS. 6A-6C depict substrate 400 after an etch-back of STI 502 to expose top portion 600 of fin structure 500. Bottom portion 602 of fin structure 500 remains covered by STI 502. In one example, the STI insulating material is made of silicon dioxide. In this example, an etch that is selective to oxide over the silicon of the wafer may be used to etch STI 502 without etching significant amounts of fin structure 500. Alternatively, a photoresist mask or hardmask may be used to protect fin structure 500 during the etch-back. In other exemplary processes that form an STI region, for example as discussed below with reference to FIGS. 12A-12C, the etch-back may alternatively be performed later in the process after the source/drain regions have been etched and refilled.
  • With reference back to FIG. 3, in operation 304, the gate of the finFET is formed by depositing and patterning a gate stack. FIGS. 7A-7C depict substrate 400 after a gate stack has been deposited and patterned to form a gate. The deposition of the gate stack starts with growing or depositing gate dielectric 700 over substrate 400. FIGS. 7A-7C depict gate dielectric 700 being present over fin structure 500 and STI 502. Accordingly, in the case of FIGS. 7A-7C, gate dielectric 700 is either a deposited material or a material that may be grown on silicon as well as the insulating material of STI 502. If gate dielectric 700 is thermal oxide, the portion of gate dielectric 700 over STI 502 will not be present.
  • Next, conductive gate material 702 is deposited. In one example, conductive gate material 702 is poly or amorphous silicon, which may be implanted to reduce resistance and set the gate workfunction. Other conductive gate materials, such as metals, may also be used.
  • In other exemplary embodiments, the gate stack may also be a high-k, metal gate (HKMG) stack. For example, a metal gate, such as titanium nitride, with high-k gate dielectrics, such as such as hafnium dioxide (HfO2), zirconium dioxide (ZrO2), or titanium dioxide (TiO2), may be used. The HKMG stack may be formed with a dielectric-first, gate-first, dielectric-last, or gate-last process.
  • For example, in a dielectric-last HKMG process, the gate stack may be initially formed as a traditional silicon dioxide and polysilicon stack that is used as a sacrificial stack during front-end of line processing. Then, before proceeding to back-end of line processing, a portion of this sacrificial stack may be removed and replaced with a gate stack that includes a high-k dielectric and a metal gate. This is also an example of a gate-last HKMG process.
  • In another example of a gate-last HKMG process, the gate dielectric may be formed with a high-k material (with or without traditional dielectrics) and polysilicon for the gate material. Then, before proceeding to the back-end of line processing, the polysilicon gate will be removed and replaced with a metal gate. The gate dielectric deposited earlier in the front-end of line processing will remain. This is also an example of a dielectric-first HKMG process.
  • In a gate-first, dielectric-first HKMG process, both the high-k gate dielectric and the metal gate are formed during the front-end of line processing.
  • After the gate stack is deposited, hardmask layer 704 is deposited and patterned. The patterned hardmask may used to etch conductive gate material 702 and optionally gate dielectric 700. The remaining gate stack material forms gate 706. Channel 708 is the region in fin structure 500 that is approximately covered by gate 706. Source and drain 710 are in either end of fin structure 500 adjacent to channel 708. In a discrete device as is being described, the source and drain are described together as they are interchangeable.
  • In other processes, the hardmask may be omitted if a photoresist mask is used to pattern gate 706. The gate dielectric may also be left unetched over the entire wafer. It may be removed, for example, in the spacer etch step as described with respect to FIGS. 8A-8C.
  • With reference to FIG. 3, in operation 306, some or all of the source/drain regions are etched leaving the channel region under the gate of the fin structure remaining. In one example of this operation, the gate hardmask, along with a spacer, may be used as the mask for the source/drain etch. FIGS. 8A-8C depict substrate 400 after the formation of spacer 800 and the etching of source and drain 710 (FIG. 7A) to remove fin structure 500 in those regions. The formation of spacer 800 may start with deposition of an insulating spacer material over substrate 400. A blanket spacer etch may then be performed. The spacer insulating material on the sidewalls of gate 706 will be protected from the etch. After the etch is completed by, for example, a set time or end-point, spacers 800 will remain. Additionally, hardmask layer 704, left on the wafer after patterning the gate, may still remain after the spacer insulating material is etched away. Hardmask layer 704 and spacer 800 may then be used as a mask to etch source and drain 710 (FIG. 7A). After the source and drain etch, most or all of the silicon from source and drain 710 (FIG. 7A) is removed, leaving channel 708 of fin structure 500.
  • Operation 306 may also omit the spacer related operations. This version of operation 306 may use only the hardmask to etch the source and drain regions. In other example of operation 306, a photoresist mask may used instead of a hardmark.
  • With reference to FIG. 3, in operation 308, portions of the source and drain that were etched in operation 306 are refilled with silicon. FIGS. 10A-10C depict substrate 400 after etched source and drain 710 (FIG. 7A) have been refilled with silicon 1000. This may be done, for example, by depositing polysilicon or growing epitaxial silicon. If the sidewalls of channel 708 have been damaged during the source and drain etch, the sidewalls may optionally be prepared to receive new silicon by, for example, thermally oxidizing the sidewalls to consume damaged silicon. Even though source and drain 710 (FIG. 7) were silicon, other semiconductors may be used to refill the regions. In this case, the channel region and the source/drain regions would be made of different materials.
  • With reference to FIG. 3, in operation 310, which occurs concurrently with operation 308, the source and drain are doped in-situ while being refilled with silicon. With reference again to FIGS. 10A-10C, by doping while depositing or growing silicon 1000, tilt angle and plasma implants may be avoided. Additionally, because in-situ doping allows for a constant heavy doping across silicon 1000, the source and drain resistances may be reduced as compared to implants alone. The thermal steps involved with activating any source and drain implants may also be avoided.
  • If a blanket silicon deposition or growth is used, an additional etch step may be needed to remove silicon from non-source and non-drain areas. CMP or an etch-back (with or without a masking step) may be used to remove silicon from regions that should be non-conducting (e.g., to remove any silicon that may be shorting the source and drain). For example, as depicted in FIGS. 10A-10C, silicon 1000, which was deposited to refill previously etched source and drain 710 (FIG. 7A), has been etched back so that the top of gate 706 is exposed and the source and drain are not shorted together.
  • While silicon 1000 of FIGS. 10A-10C is depicted with one particular shading, the crystalline structure of silicon 1000 may vary across the device. For example, if silicon 1000 is produced with an epitaxial process, the portion of silicon 1000 in the source and drain regions may be crystalline while the portion of silicon 1000 over the STI may be polycrystalline. The use of a single shading for silicon 1000 is not intended to indicate that silicon 1000 has a uniform crystalline structure or necessarily has other uniform characteristics.
  • Alternatively, selective epitaxial growth may be used to grow silicon only on exposed silicon (e.g. silicon portions of the wafer and fin that are exposed after removal of the source and drain in operation 306) and not on other materials (e.g., the STI insulator). FIGS. 9A-9C depict substrate 400 after selectively growing silicon 900 to refill etched source and drain 710 (FIG. 7A). With selective epitaxy, an etch-back step may not be necessary. FIGS. 9A-9C are in contrast to FIGS. 10A-10C, which depict substrate 400 after non-selective growth and an etch-back step. The shape of source and drain 900 in FIGS. 9A-9C is only intended to be exemplary of a shape produced by using selective epitaxy to regrow the source and drain regions. Other shapes of the source and drain regions may also be produced without deviating from the exemplary embodiments of this invention.
  • To control the thermal budget, initially, a high-temperature epitaxy process may be used to grow an initial thickness of high quality silicon. Polysilicon may then be deposited at a lower temperature to refill more of the source and drain. CMP, an etch-back, or both may also be used to plannerize the wafer. Additionally, an etch-back may be required to remove silicon from non-source and non-drain regions, as described above.
  • While FIGS. 9A-9C and 10A-10C depict the etched source and drain being completely refilled with silicon, in other cases, only a portion of the source and drain needs to be refilled with silicon.
  • In addition to creating a low-resistance source and drain, the replacement of source and drain 710 (FIG. 7A) may also add strain to channel 708 (FIG. 10A). For example, SiGe or SiC (if using a silicon substrate) may be grown with selective epitaxy on the sides of channel 708 (FIG. 10A) where the source and drain regions were etched away. The different lattice constants of SiGe or SiC as compared to Si (or other semiconductor material forming the channel) may strain the semiconductor in channel 708, which may increase the mobility of electrons or holes in channel 708.
  • While the first exemplary process was described with respect to several processing steps, those skilled in the art will recognize that other well-known processing steps will also be required to create a functioning finFET. For example, threshold adjust implants may be needed to properly set the threshold voltage for n-type or p-type finFETs. As another example, the refilling of the source and drain through deposition or epitaxial growth of silicon may need to be performed twice: once for p-type finFETs requiring p-type sources and drains and once for n-type finFETs requiring n-type sources and drains.
  • FIGS. 11A-11C depict another exemplary embodiment of a finFET on substrate 1100. This exemplary embodiment is similar to the exemplary embodiment depicted in FIGS. 10A-10C, except that an SOI wafer is used for substrate 1100 instead of a bulk wafer as described above. Also, the process used for this exemplary embodiment does not include formation of an STI region. The shape of source and drain 1102 in FIGS. 11A-11C is only intended to be exemplary of a shape produced by using selective epitaxy to regrow the source and drain region. Other shapes of the source and drain regions may also be produced without deviating from embodiments of the invention.
  • FIGS. 12A-12C depict another exemplary embodiment of a finFET with asymmetrical source 1200 and drain 1202 that have different material properties. For example, source 1200 and drain 1202 may be made of different materials. As another example, the doping of the two regions could be different. In yet another example, the strain of the two regions could be different. This exemplary embodiment requires source 1200 and drain 1202 to be grown separately. The shape of source 1200 and drain 1202 in FIGS. 12A-12C is only intended to be exemplary of a shape produced by using selective epitaxy to regrow the source and drain region. Other shapes of the source and drain regions may also be produced without deviating from embodiments of the invention.
  • FIGS. 13A-13C depict a stage of another exemplary process. This exemplary process is similar to exemplary process 300 (FIG. 3), except that the STI etch-back step described above with respect to FIGS. 5A-5C is performed later in the process. FIGS. 13A-13C depict substrate 1300 after formation of fin structure 1306 (described in operation 302), deposition of STI 1304 (described with respect to FIGS. 5A-5C), and etching and refilling of source drain 1302 (described in operations 306, 308, and 310). The etch-back of STI 1304, however, has not occurred yet. The STI etch-back step (described with respect to FIGS. 5A-5C) occurs at some point prior the gate formation (operation 304). Substrate 1300 is depicted as an SOI wafer; however, this process can be used on a bulk wafer as well.
  • FIGS. 14A-14C depict a stage of another exemplary process for fabrication of a finFET. This exemplary process is similar to exemplary process 300 (FIG. 3), except the source and drain etch and refill (described in operations 306, 308, and 310) takes place prior to formation of the fin structure (described in operation 302). In this process, a standard photoresist mask may be used to etch away source and drain 1402 prior to refilling. Additionally, only silicon that will form source and drain 1402 are etched and refilled. Region 1404 remains unetched and is the original top surface of substrate 1400. A subsequent stage in this exemplary process forms a fin structure as described above with respect to operation 302. Substrate 1400 is depicted as an SOI wafer; however, this process can be used with a bulk wafer as well.
  • FIGS. 15A-15C depict a stage of another exemplary process for fabrication of a finFET. This exemplary process is similar to the exemplary process described above with reference to FIGS. 14A-14C, except in addition to source and drain 1502 being etched and refilled, area 1504 around source and drain 1502 are also etched and refilled. Only region 1506 remains of the original top surface of substrate 1500. A subsequent stage in this exemplary process forms a fin structure as described above with respect to operation 302. Substrate 1500 is depicted as an SOI wafer; however, this process can be used with a bulk wafer as well.
  • While source and drain 1502 and area 1504 around source and drain 1052 of FIGS. 15A-15C are depicted with one particular shading, the crystalline structure of these areas may vary across the The foregoing descriptions of specific embodiments of the present invention have been presented for purposes of illustration and description. They are not intended to be exhaustive or to limit the invention to the precise forms disclosed, and it should be understood that many modifications and variations are possible in light of the above teaching. device. For example, if epitaxy is used to regrow silicon in these areas, the silicon adjacent region 1506 may be crystalline while the silicon further away from region 1506 may be polycrystalline. The use of a single shading is not intended to mean that these areas have uniform crystalline structure or necessarily have other uniform characteristics.
  • The foregoing descriptions of specific embodiments of the present invention have been presented for purposes of illustration and description. They are not intended to be exhaustive or to limit the invention to the precise forms disclosed, and it should be understood that many modifications and variations are possible in light of the above teaching.

Claims (8)

1-11. (canceled)
12. A finFET on a semiconductor substrate, the finFET comprising:
a channel region having a first side protruding from the semiconductor substrate and a second side opposite the first side and protruding from the semiconductor substrate;
a gate stack overlaying the channel region, wherein the gate stack includes an insulating layer in direct contact with the first and second sides of the channel region, and wherein the gate stack includes a conductive gate material directly contacting the insulating layer.
a source epitaxy region of semiconductor epitaxially grown on the channel region, wherein the source epitaxy region is in-situ doped; and
a drain epitaxy region of semiconductor epitaxially grown on a the channel region away from the source epitaxy region, wherein the drain epitaxy region is in-situ doped.
13. The finFET of claim 12, wherein the channel region has an intrinsic strain, wherein the source and drain epitaxy regions have a first strain, and wherein the first strain of the source and drain epitaxy regions modify the intrinsic strain of at least a part of the channel region to improve the mobility of electrons or holes in the channel region.
14. The finFET of claim 12, wherein the semiconductor substrate is a bulk silicon wafer.
15. The finFET of claim 12, wherein the drain epitaxy region is a different material than the semiconductor wafer.
16. The finFET of claim 12, wherein the source epitaxy region and drain epitaxy region have different material properties.
17. The finFET of claim 12, wherein the gate material is a metal.
18. The finFET of claim 12, wherein the insulating material comprises hafnium.
US14/195,605 2011-07-27 2014-03-03 Replacement source/drain finfet fabrication Abandoned US20140175568A1 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
US14/195,605 US20140175568A1 (en) 2011-07-27 2014-03-03 Replacement source/drain finfet fabrication

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US13/192,378 US8685825B2 (en) 2011-07-27 2011-07-27 Replacement source/drain finFET fabrication
US14/195,605 US20140175568A1 (en) 2011-07-27 2014-03-03 Replacement source/drain finfet fabrication

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
US13/192,378 Division US8685825B2 (en) 2011-07-27 2011-07-27 Replacement source/drain finFET fabrication

Publications (1)

Publication Number Publication Date
US20140175568A1 true US20140175568A1 (en) 2014-06-26

Family

ID=47596523

Family Applications (3)

Application Number Title Priority Date Filing Date
US13/192,378 Active US8685825B2 (en) 2011-07-27 2011-07-27 Replacement source/drain finFET fabrication
US14/195,712 Active US9209278B2 (en) 2011-07-27 2014-03-03 Replacement source/drain finFET fabrication
US14/195,605 Abandoned US20140175568A1 (en) 2011-07-27 2014-03-03 Replacement source/drain finfet fabrication

Family Applications Before (2)

Application Number Title Priority Date Filing Date
US13/192,378 Active US8685825B2 (en) 2011-07-27 2011-07-27 Replacement source/drain finFET fabrication
US14/195,712 Active US9209278B2 (en) 2011-07-27 2014-03-03 Replacement source/drain finFET fabrication

Country Status (4)

Country Link
US (3) US8685825B2 (en)
JP (1) JP2013030776A (en)
KR (1) KR20130014030A (en)
TW (1) TW201318077A (en)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9406522B2 (en) 2014-07-24 2016-08-02 Applied Materials, Inc. Single platform, multiple cycle spacer deposition and etch
TWI726209B (en) * 2017-10-30 2021-05-01 台灣積體電路製造股份有限公司 Semiconductor device

Families Citing this family (55)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8595661B2 (en) * 2011-07-29 2013-11-26 Synopsys, Inc. N-channel and p-channel finFET cell architecture
US8561003B2 (en) 2011-07-29 2013-10-15 Synopsys, Inc. N-channel and P-channel finFET cell architecture with inter-block insulator
US9099388B2 (en) * 2011-10-21 2015-08-04 Taiwan Semiconductor Manufacturing Company, Ltd. III-V multi-channel FinFETs
KR101805634B1 (en) * 2011-11-15 2017-12-08 삼성전자 주식회사 Semiconductor device comprising III-V group barrier and method of manufacturing the same
US9142400B1 (en) 2012-07-17 2015-09-22 Stc.Unm Method of making a heteroepitaxial layer on a seed area
CN103811340B (en) * 2012-11-09 2017-07-14 中国科学院微电子研究所 Semiconductor devices and its manufacture method
US9098666B2 (en) 2012-11-28 2015-08-04 Qualcomm Incorporated Clock distribution network for 3D integrated circuit
US9064077B2 (en) 2012-11-28 2015-06-23 Qualcomm Incorporated 3D floorplanning using 2D and 3D blocks
US8853025B2 (en) * 2013-02-08 2014-10-07 Taiwan Semiconductor Manufacturing Company, Ltd. FinFET/tri-gate channel doping for multiple threshold voltage tuning
US9536840B2 (en) 2013-02-12 2017-01-03 Qualcomm Incorporated Three-dimensional (3-D) integrated circuits (3DICS) with graphene shield, and related components and methods
US9041448B2 (en) 2013-03-05 2015-05-26 Qualcomm Incorporated Flip-flops in a monolithic three-dimensional (3D) integrated circuit (IC) (3DIC) and related methods
US9177890B2 (en) * 2013-03-07 2015-11-03 Qualcomm Incorporated Monolithic three dimensional integration of semiconductor integrated circuits
US9299840B2 (en) 2013-03-08 2016-03-29 Taiwan Semiconductor Manufacturing Company, Ltd. FinFETs and methods for forming the same
US9171608B2 (en) 2013-03-15 2015-10-27 Qualcomm Incorporated Three-dimensional (3D) memory cell separation among 3D integrated circuit (IC) tiers, and related 3D integrated circuits (3DICS), 3DIC processor cores, and methods
KR102017625B1 (en) * 2013-05-10 2019-10-22 삼성전자주식회사 Semiconductor device and method of manufacturing the same
US9385233B2 (en) * 2013-06-26 2016-07-05 Globalfoundries Inc. Bulk finFET with partial dielectric isolation featuring a punch-through stopping layer under the oxide
US9716174B2 (en) 2013-07-18 2017-07-25 Globalfoundries Inc. Electrical isolation of FinFET active region by selective oxidation of sacrificial layer
US9224865B2 (en) 2013-07-18 2015-12-29 Globalfoundries Inc. FinFET with insulator under channel
US9349730B2 (en) 2013-07-18 2016-05-24 Globalfoundries Inc. Fin transformation process and isolation structures facilitating different Fin isolation schemes
US9093496B2 (en) 2013-07-18 2015-07-28 Globalfoundries Inc. Process for faciltiating fin isolation schemes
US9105707B2 (en) 2013-07-24 2015-08-11 International Business Machines Corporation ZRAM heterochannel memory
US9685509B2 (en) 2013-07-30 2017-06-20 Samsung Electronics Co., Ltd. Finfet devices including high mobility channel materials with materials of graded composition in recessed source/drain regions
US10147793B2 (en) 2013-07-30 2018-12-04 Samsung Electronics Co., Ltd. FinFET devices including recessed source/drain regions having optimized depths
US9048262B2 (en) * 2013-09-20 2015-06-02 International Business Machines Corporation Multi-fin finFETs with merged-fin source/drains and replacement gates
KR102105363B1 (en) 2013-11-21 2020-04-28 삼성전자 주식회사 Semiconductor device and fabricating method thereof
US9716176B2 (en) 2013-11-26 2017-07-25 Samsung Electronics Co., Ltd. FinFET semiconductor devices including recessed source-drain regions on a bottom semiconductor layer and methods of fabricating the same
EP2889906B1 (en) * 2013-12-30 2019-02-20 IMEC vzw Improvements in or relating to electrostatic discharge protection
US9087900B1 (en) 2014-01-07 2015-07-21 Samsung Electronics Co., Ltd. Semiconductor device and method for fabricating the same
KR102157839B1 (en) * 2014-01-21 2020-09-18 삼성전자주식회사 Methods of selectively growing source and drain regions of fin field effect transistor
JP6361180B2 (en) * 2014-03-10 2018-07-25 富士通セミコンダクター株式会社 Manufacturing method of semiconductor device
MY186544A (en) 2014-03-24 2021-07-26 Intel Corp Fin sculpting and cladding during replacement gate process for transistor channel applications
KR102017611B1 (en) 2014-04-04 2019-09-04 삼성전자주식회사 Semiconductor device and method of manufacturing the same
CN105097535B (en) * 2014-05-12 2018-03-13 中国科学院微电子研究所 The manufacture method of FinFet devices
US9209305B1 (en) * 2014-06-06 2015-12-08 Stmicroelectronics, Inc. Backside source-drain contact for integrated circuit transistor devices and method of making same
US9818877B2 (en) 2014-09-18 2017-11-14 International Business Machines Corporation Embedded source/drain structure for tall finFET and method of formation
US10559690B2 (en) 2014-09-18 2020-02-11 International Business Machines Corporation Embedded source/drain structure for tall FinFET and method of formation
US9660059B2 (en) 2014-12-12 2017-05-23 International Business Machines Corporation Fin replacement in a field-effect transistor
KR102310080B1 (en) * 2015-03-02 2021-10-12 삼성전자주식회사 Semiconductor devices and methods of manufacturing semiconductor devices
US9343300B1 (en) * 2015-04-15 2016-05-17 Globalfoundries Inc. Methods of forming source/drain regions for a PMOS transistor device with a germanium-containing channel region
US9748364B2 (en) * 2015-04-21 2017-08-29 Varian Semiconductor Equipment Associates, Inc. Method for fabricating three dimensional device
US9741829B2 (en) * 2015-05-15 2017-08-22 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and manufacturing method thereof
US9437496B1 (en) 2015-06-01 2016-09-06 Globalfoundries Inc. Merged source drain epitaxy
KR102395073B1 (en) 2015-06-04 2022-05-10 삼성전자주식회사 Semiconductor device
US9601621B1 (en) * 2015-08-25 2017-03-21 International Business Machines Corporation Semiconductor device including dual spacer and uniform epitaxial buffer interface of embedded SiGe source/drain
KR102323943B1 (en) 2015-10-21 2021-11-08 삼성전자주식회사 Method of manufacturing semiconductor device
US10050043B2 (en) 2016-01-29 2018-08-14 Taiwan Semiconductor Manufacturing Co., Ltd. Static random access memory (SRAM) using FinFETs with varying widths of fin structures
US9634084B1 (en) 2016-02-10 2017-04-25 Globalfoundries Inc. Conformal buffer layer in source and drain regions of fin-type transistors
US9865589B1 (en) 2016-10-31 2018-01-09 Taiwan Semiconductor Manufacturing Co., Ltd. System and method of fabricating ESD FinFET with improved metal landing in the drain
US10008603B2 (en) * 2016-11-18 2018-06-26 Taiwan Semiconductor Manufacturing Co., Ltd. Multi-gate device and method of fabrication thereof
US10373912B2 (en) 2018-01-05 2019-08-06 International Business Machines Corporation Replacement metal gate processes for vertical transport field-effect transistor
US10529831B1 (en) 2018-08-03 2020-01-07 Globalfoundries Inc. Methods, apparatus, and system for forming epitaxial formations with reduced risk of merging
US10672905B2 (en) 2018-08-21 2020-06-02 International Business Machines Corporation Replacement metal gate process for vertical transport field-effect transistor with self-aligned shared contacts
US10672670B2 (en) 2018-08-21 2020-06-02 International Business Machines Corporation Replacement metal gate process for vertical transport field-effect transistors with multiple threshold voltages
US10714399B2 (en) 2018-08-21 2020-07-14 International Business Machines Corporation Gate-last process for vertical transport field-effect transistor
WO2020100338A1 (en) * 2019-06-21 2020-05-22 株式会社日立ハイテク Plasma processing method

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6979622B1 (en) * 2004-08-24 2005-12-27 Freescale Semiconductor, Inc. Semiconductor transistor having structural elements of differing materials and method of formation
US20100148217A1 (en) * 2008-12-11 2010-06-17 Danielle Simonelli Graded high germanium compound films for strained semiconductor devices

Family Cites Families (17)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7355233B2 (en) * 2004-05-12 2008-04-08 Taiwan Semiconductor Manufacturing Company, Ltd. Apparatus and method for multiple-gate semiconductor device with angled sidewalls
US7479421B2 (en) * 2005-09-28 2009-01-20 Intel Corporation Process for integrating planar and non-planar CMOS transistors on a bulk substrate and article made thereby
US7525160B2 (en) * 2005-12-27 2009-04-28 Intel Corporation Multigate device with recessed strain regions
JP2007250665A (en) * 2006-03-14 2007-09-27 Toshiba Corp Semiconductor device and its manufacturing method
US7709312B2 (en) 2006-09-29 2010-05-04 Intel Corporation Methods for inducing strain in non-planar transistor structures
KR100836761B1 (en) * 2006-12-08 2008-06-10 삼성전자주식회사 Finfet and method of manufacturing the same
KR100832721B1 (en) * 2006-12-27 2008-05-28 동부일렉트로닉스 주식회사 Method for fabricaing cmos image sensor
US7667271B2 (en) * 2007-04-27 2010-02-23 Taiwan Semiconductor Manufacturing Company, Ltd. Fin field-effect transistors
US8450165B2 (en) * 2007-05-14 2013-05-28 Intel Corporation Semiconductor device having tipless epitaxial source/drain regions
WO2009029954A2 (en) 2007-09-01 2009-03-05 Yann Roussillon Improved solution deposition assembly
US8247312B2 (en) 2008-04-24 2012-08-21 Innovalight, Inc. Methods for printing an ink on a textured wafer surface
DE102008049719A1 (en) * 2008-09-30 2010-04-08 Advanced Micro Devices, Inc., Sunnyvale Asymmetric transistor devices made by asymmetric spacers and suitable implantation
US7615393B1 (en) 2008-10-29 2009-11-10 Innovalight, Inc. Methods of forming multi-doped junctions on a substrate
US20100207175A1 (en) * 2009-02-16 2010-08-19 Advanced Micro Devices, Inc. Semiconductor transistor device having an asymmetric embedded stressor configuration, and related manufacturing method
US8497528B2 (en) * 2010-05-06 2013-07-30 Taiwan Semiconductor Manufacturing Company, Ltd. Method for fabricating a strained structure
US8334184B2 (en) * 2009-12-23 2012-12-18 Intel Corporation Polish to remove topography in sacrificial gate layer prior to gate patterning
US8900936B2 (en) * 2011-01-31 2014-12-02 International Business Machines Corporation FinFET device having reduce capacitance, access resistance, and contact resistance

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6979622B1 (en) * 2004-08-24 2005-12-27 Freescale Semiconductor, Inc. Semiconductor transistor having structural elements of differing materials and method of formation
US20100148217A1 (en) * 2008-12-11 2010-06-17 Danielle Simonelli Graded high germanium compound films for strained semiconductor devices

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9406522B2 (en) 2014-07-24 2016-08-02 Applied Materials, Inc. Single platform, multiple cycle spacer deposition and etch
US9852916B2 (en) 2014-07-24 2017-12-26 Applied Materials, Inc. Single platform, multiple cycle spacer deposition and etch
TWI726209B (en) * 2017-10-30 2021-05-01 台灣積體電路製造股份有限公司 Semiconductor device

Also Published As

Publication number Publication date
US9209278B2 (en) 2015-12-08
US8685825B2 (en) 2014-04-01
JP2013030776A (en) 2013-02-07
KR20130014030A (en) 2013-02-06
US20150031181A1 (en) 2015-01-29
US20130026539A1 (en) 2013-01-31
TW201318077A (en) 2013-05-01

Similar Documents

Publication Publication Date Title
US8685825B2 (en) Replacement source/drain finFET fabrication
US8871584B2 (en) Replacement source/drain finFET fabrication
US10818661B2 (en) Fin-like field effect transistor (FinFET) device and method of manufacturing same
US10971406B2 (en) Method of forming source/drain regions of transistors
CN105321822B (en) Channel strain control for non-planar compound semiconductor devices
US11948977B2 (en) Silicon on insulator device with partially recessed gate
US9136178B2 (en) Method for fabricating a finFET in a large scale integrated circuit
US10163677B2 (en) Electrically insulated fin structure(s) with alternative channel materials and fabrication methods
US9312387B2 (en) Methods of forming FinFET devices with alternative channel materials
US20140001561A1 (en) Cmos devices having strain source/drain regions and low contact resistance
US9425315B2 (en) FinFET semiconductor device with isolated fins made of alternative channel materials
US9324792B1 (en) FinFET including varied fin height
TWI779103B (en) Semiconductor structure and method for forming the same
WO2014059728A1 (en) Semiconductor device and manufacturing method therefor

Legal Events

Date Code Title Description
AS Assignment

Owner name: ADVANCED ION BEAM TECHNOLOGY, INC., TAIWAN

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNORS:TANG, DANIEL;YEN, TZU-SHIH;REEL/FRAME:035235/0122

Effective date: 20110920

STCB Information on status: application discontinuation

Free format text: ABANDONED -- FAILURE TO RESPOND TO AN OFFICE ACTION