TWI493645B - 藉由污染程度量測的半導體製造監視裝置和方法 - Google Patents

藉由污染程度量測的半導體製造監視裝置和方法 Download PDF

Info

Publication number
TWI493645B
TWI493645B TW099143728A TW99143728A TWI493645B TW I493645 B TWI493645 B TW I493645B TW 099143728 A TW099143728 A TW 099143728A TW 99143728 A TW99143728 A TW 99143728A TW I493645 B TWI493645 B TW I493645B
Authority
TW
Taiwan
Prior art keywords
storage
substrate
transport
gas
shipping
Prior art date
Application number
TW099143728A
Other languages
English (en)
Other versions
TW201138005A (en
Inventor
Arnaud Favre
Julien Bounouar
Original Assignee
Alcatel Lucent
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Alcatel Lucent filed Critical Alcatel Lucent
Publication of TW201138005A publication Critical patent/TW201138005A/zh
Application granted granted Critical
Publication of TWI493645B publication Critical patent/TWI493645B/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67253Process monitoring, e.g. flow or thickness monitoring
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/673Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere using specially adapted carriers or holders; Fixing the workpieces on such carriers or holders
    • H01L21/6735Closed carriers
    • H01L21/67389Closed carriers characterised by atmosphere control
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y02TECHNOLOGIES OR APPLICATIONS FOR MITIGATION OR ADAPTATION AGAINST CLIMATE CHANGE
    • Y02PCLIMATE CHANGE MITIGATION TECHNOLOGIES IN THE PRODUCTION OR PROCESSING OF GOODS
    • Y02P90/00Enabling technologies with a potential contribution to greenhouse gas [GHG] emissions mitigation
    • Y02P90/02Total factory control, e.g. smart factories, flexible manufacturing systems [FMS] or integrated manufacturing systems [IMS]

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)
  • Drying Of Semiconductors (AREA)

Description

藉由污染程度量測的半導體製造監視裝置和方法
本發明有關在半導體製造廠中施行製造半導體或微機電系統(MEMS)的方法及裝置。特別地是,本發明有關用以增加半導體製造廠之輸出的機構。
於半導體製造廠中,諸如半導體晶圓及/或罩幕之基板於製程室中遭受處理,每一製程室執行各種步驟,諸如沈積材料之步驟及蝕刻之步驟。於每一步驟之間,該等基板被移動於各種設備之間。
當微電子晶片被製成時,處理步驟之大規模的數目(大約它們之400)導致該處理設備間之順序限制。該等基板被傳送於該等設備之間。既然設備間之等待時間可為長的,典型達好幾小時,需要儲存該等基板。
如此,於半導體製造廠中,設有功能上與該基板處理設備、與基板儲存機構及基板運送機構有關之複數基板處理設備、基板儲存機構、基板運送機構、及製造執行系統(MES)。
該製造執行系統(MES)藉此被設計成適於控制該基板處理設備,以便執行處理之令人滿意的步驟。該製造執行系統亦給與該指令,以將該基板取出該儲存機構,且控制該基板運送機構,以依該等製程之步驟的順序將該等基板引導至該下一處理設備。
給與待施行之製程的步驟之數目,以便增加製造生產力,基板傳統上已被批量地處理。其係因此使所儲存之基板的批量被移動、及處理。
但增加生產力之需要持續存在。為達成此,其已尋求自動化基板之批量的移動,及最佳化這些移動。如此,為了改善製造輸出,其已於美國專利文件US-7.610,111中被提出,以藉由檢查用該基板處理設備之負載所指示的優先權之順序、藉由檢查等候於該儲存機構中之基板的各批量、及藉由選擇基板之各批量的移動以便使儲存時間減至最小,而最佳化基板之各批量的移動。
如此,目前,半導體製造廠管理某些步驟間之最大固定時間,以避免有關這些等待時間之問題。藉由檢查與可用於該下一步驟的設備之數目有關的最小可能時間、及由於儲存之污染問題可顯現的最大經過時間,這些持續期間係以經驗為依據地被固定。
儘管此進展,其已被觀察到半導體製造廠之產量係仍然不足,特別地是,由於在該同一工廠中用以製造不同半導體或微機電系統之處理步驟的順序之實質上可變的本質。
這是因為該等基板之最大儲存時間視大量之因素而定:其視該工廠必需製造之最終產品而定,應了解一工廠大致上製造超過一打的不同產品,該等產品必需於不同順序及步驟中通過該等相同之製程設備;其視待處理的基板之數目及該等被處理批量中所存在之基板的數目而定;及其視該等基板批量之歷史而定。
習知系統的限制之一為缺乏彈性及可適性。譬如,藉由變更步驟之可能的順序,管理處理設備之維修造成可適性問題。在此種基板批量流動管理中,新產品或新技術之併入被不佳地處理。
該等最大儲存時間通常被超過,且增加之過失在該等已製成之產品中被觀察到。
因此,藉由本發明所提出之問題係如何進一步增加半導體製造廠之產量及彈性。
在本發明之基礎,該概念係產量中所觀察到之減少主要由於該等處理設備間之過渡階段期間顯現的污染問題。當該等氣體反應及在該等基板造成過失時,這些污染問題係與包圍該等基板批量之氣體有關。這些過失係與所存在之氣體的濃度及該接觸時間成比例。
劑量可被界定為該氣體之濃度乘以時間。在某一劑量之最初,其係假設該問題將顯現在該等基板上。
目前,基板之各批量被包含於微環境中,由諸如前置式晶圓匣(FOUP)、及標準機械式介面(SMIF)之儲存及運送箱所組成。這減少與該等基板之各批量接觸的氣體大氣之體積。然而,此體積中之減少在該整個製造製程之可靠性上及在其輸出上尚未具有充分之效果。
為該目的,本發明係集中焦點在氣態分子污染物(AMC),其源自儲存及運送箱的內部大氣中之反應氣體的存在。
此氣態分子污染物係用於分子之通稱,該等分子係極可能與半導體晶圓之表面反應。這些化學反應可製成不堪用之半導體晶圓。於一些案例中,這些分子呈鹽或金屬微粒之形式顯現,但它們最通常構成氣體物質。
譬如,它們包括酸類、基質、可壓縮的元素、及摻雜元素。
這些分子可來自該半導體製造廠內側之空氣。然而,這些分子主要來自於製造藉著的半導體基板處理步驟之殘留物。
該等儲存及運送箱大致上係由諸如聚碳酸酯之材料所製成,在一些案例中,其可包含各種濃度之汙染物,特別包括有機、基質、胺基、酸性、及摻雜汙染物,其可源自該等儲存及運送箱本身之製造及/或它們被使用之方式。
再者,於半導體之製造期間,該等儲存及運送箱被處理,其導致污染微粒之形成,該等污染微粒變得嵌入該等儲存及運送箱之壁面內,並污染它們。黏著至該等儲存及運送箱之壁面的微粒可接著變得脫開,落至這些運送箱內所包含之基板上與使它們惡化。當作該運送箱內存在的汙染物之數量的函數、及暴露至該儲存及運送箱內之大氣的基板之持續期間的函數兩者,其亦被觀察到在儲存及運送箱內所包含之基板上的污染增加。
藉由以諸如去離子水之液體洗滌它們,這些晶圓匣之定期清潔係因此有計畫的。此持續於5及7分鐘之間的洗滌階段之後為遠較長的乾燥階段(在5與8小時之間),包括譬如該等運送晶圓匣係藉由紅外線輻射所加熱之熱氣的對流來加熱之階段,且用離心機分離,之後為該等運送晶圓匣被留在露天中的階段。儘管該乾燥操作,水殘留物可仍然黏著至該等儲存及運送箱之壁面。
為了減少藉由該半導體製造製程設備所產生之污染,運送箱處理方法及裝置已被設計,諸如那些在世界專利文件WO-2009/021941中所敘述者。
為了淨化一儲存及運送箱及其所包含之基板的批量,用以淨化封閉環境之方法及裝置亦已被提出,如在世界專利文件WO 2007/135347 A1中所敘述者。
最後,為直接地量測該儲存及運送箱內之污染,如在該歐洲專利文件EP-1 703 547中所敘述之量測裝置已被提出。
然而,至今,這些量測及淨化機構已與意欲執行一或多個製造方法步驟的一或多個特別裝置直接結合地被使用,以便在該源頭直接處理源自這些製造步驟之污染。然而,對於能夠在該半導體製造廠之輸出及彈性中有令人滿意的增加,這仍然不足的。
為了達成本發明之目標,其概念為藉由基於該等儲存及運送箱內的臨界氣體之劑量的量測執行動態管理,來管理基板批量儲存及運送箱之連續移動的順序限制。在如何包裝該儲存及運送箱內所包含的反應性基板上之決定、及 在多少時間留在該等連續基板處理步驟之間上之決定係動態地取自此資訊。
如此,根據第一態樣,本發明提出用以在半導體製造廠內處理基板之裝置,該半導體製造廠具有基板處理設備,基板儲存機構,基板運送機構,及在功能上與該等基板處理設備有關、與基板儲存機構有關、和與基板運送機構有關的製造執行系統(MES)。該裝置另包括:-至少一基板儲存及運送箱,該等儲存及運送箱之每一者係能夠包含批量之基板,其被該運送機構所運送及儲存於該儲存機構中,-至少一裝置,以分析形成該基板儲存及運送箱之內部大氣的氣體,該裝置產生代表極可能產生分子污染的臨界氣體之數量的分析信號,該臨界氣體係存在於該儲存及運送箱中,-執行裝置,其控制該運送機構及該儲存機構,使該執行裝置包括用以偵測分子淨化需要之指令,該等指令為藉由該氣體分析裝置所發射之分析信號的函數。
根據第二態樣,本發明提出用以在半導體製造廠內處理基板之方法,包括以下步驟:-將該等基板分配成各批量,每一批量被包含在儲存及運送箱內,-分析儲存及運送箱的內部大氣中之氣體,-將該儲存及運送箱選擇性地引導至儲存機構,而使淨化站如該氣體分析之結果的函數般工作。
所進行之分析為存在於該儲存及運送箱中之氣體種類的至少一者之定性分析、及該等氣體種類之定量分析兩者。
由於該等基板之各批量的移動係基於該等儲存及運送箱中之氣態分子污染物(AMC)的目前數量之真正知識來管理的事實,其變得可能監視或提供用於該等儲存及運送箱中之基板的污染中之變化,使得一些儲存時間可沒有任何風險地被縮短,不論可預見的污染何時為低的,如果有任何儲存及運送箱包含更多汙染物、或更多反應汙染物,則寧可減少儲存及運送時間。這增加可用於最佳化生產時間的選擇中之彈性。
同時地,可靠性係經過污染之嚴格控制及經過任何過度污染程度上之快速資訊而增加。
實際上,該執行裝置中所保存之程式可包含:-用以產生及掃描該等分析信號之指令,-用以施行該等分析信號及已記錄閾值間之比較的指令,-用以視此比較結果而定控制該運送機構及該儲存機構的指令。
優先地是,其被提供:-該執行裝置中所保存之程式另包含演算法,用以如分析信號之函數般模擬該基板儲存及運送箱內的污染中之可預見的變化,-用以控制該運送機構及該儲存機構之指令當作該模擬之結果的函數。
如此,污染中之變化可被預料,以便進一步最佳化處理步驟及處理時間之選擇。
該裝置因此主動地影響基板儲存及運送箱之選擇,該等基板儲存及運送箱之內容物將被處理。
再者,為進一步增加選項,其可被提供:-該裝置另包括至少一內部淨化站,當該內部淨化站關閉時包含真空,以便內部地淨化至少一運送及儲存箱子,-該執行裝置中所保存之程式另包含用以偵測該儲存及運送箱之內部淨化需要與命令該運送機構及內部淨化機構的指令,以便確保該儲存及運送箱之內部淨化。
“當關閉時包含真空之內部淨化站”意指一淨化站,諸如世界專利文件WO 2007/135347中所敘述的型式之一。不論是否包含基板,已關閉之儲存及運送箱被放置在該淨化站內,且該淨化站內所包含之氣體被抽出。因該儲存及運送箱通常包括出口,該儲存及運送箱內所包含之氣體被同時地抽出,藉此確保汙染物之全部或局部抽空。
實際上,該施執行裝置中所保存之程式可包含用以在基板處理設備的出口命令儲存及運送箱內所包含之氣體的分析之指令,以致如果藉由該氣體分析機構所量測的諸如HF之臨界氣體的位準係少於第一預定閾值,則將該儲存及運送箱運送至該儲存機構,且如果臨界氣體的所量測之位準係大於該第一預定閾值,則將該儲存及運送箱運送至包含真空之內部淨化站。
當作另一選擇或補充,其可被提供:-該裝置另包括包含真空之至少一開放式、熱淨化站,用於至少一空的儲存及運送箱之開放式淨化,-該執行裝置中所保存之程式另包含用以偵測涉及真空的開放式、熱淨化需要及用以命令該運送機構及開放式淨化機構之指令,以便確保該儲存及運送箱之開放式真空淨化、或以便確保其檢疫。
包含真空之開放式,熱淨化站意指一淨化站,諸如世界專利文件WO-2009/021941中所敘述的型式之一。無任何基板之儲存及運送箱被放置在該淨化站內。在洗滌及乾燥的步驟之後,該箱子之表面遭受低於大氣壓的氣體壓力及紅外線輻射之組合式作用。
有利地是,該執行裝置中所保存之程式可包含用以在其被清潔以後的等待時間之後命令空的、關閉的儲存及運送箱內之氣體的分析之指令,以致如果該儲存及運送箱中之臨界氣體的量測位準係於第二預定閾值及第三預定閾值之間,將該空的儲存及運送箱運送進入包含真空之開放式、熱淨化站供脫附達少於5小時之時期、優先地於2及5小時之間,且如果臨界氣體的量測位準係大於該第三預定閾值,將儲存及運送箱運送進入包含真空之開放式、熱淨化站供脫附達大於10小時之時期、優先地於10及20小時之間。
以此方式,該等淨化步驟能被控制,其持續期間能被 最佳化,且其效果及充分性可被有效率地證實。
關於用以根據本發明之第二態樣處理基板之方法,其可為有利地是提供:在處理步驟之後,如果該儲存及運送箱的內部大氣中之諸如HF的臨界氣體之被量測位準係大於第一預定閾值,則該儲存及運送箱係在真空中內部地淨化,同時被關閉。
於此狀態中,在清潔步驟之後,如果該空的、關閉的儲存及運送箱中之臨界氣體的被量測位準在比2小時更長的等待時間之後係於第二預定閾值及第三預定閾值之間,則該儲存及運送箱可在真空中被內部地淨化,同時打開達少於5小時之時期、優先地於2及5小時之間,且如果臨界氣體的被量測位準係大於該第三預定閾值,則該儲存及運送箱係在真空中內部地淨化達比10小時更長的時期、優先地於10及20小時之間。
其可為有利地係在此被提供有一學習步驟,以便決定臨界氣體量之可允許限制,若超過該可允許限制,則瑕疵可顯現在該方法所製成之產品上。
其亦可被提供者為分析儲存及運送箱的內部大氣中之氣體的步驟係在該氣體被儲存於該儲存機構期間內週期性地進行。
首先,吾人將檢查圖1中之概要圖,其併入根據本發明之一具體實施例的基板處理裝置。如此,半導體製造單元1係有區別的,具有複數基板處理設備2a、2b、2c、2d、2e、及2f,其之數目純粹地被給與當作範例。每一處理設備2a-2f可包括用以沈積或蝕刻之反應器,使其可能在處理半導體基板批量之序列各種步驟中執行。
該半導體製造單元1另包括基板儲存機構3、基板運送機構4、及製造執行系統MES,其係與該基板處理設備2a-2f、該基板儲存機構3、及該基板運送機構4有關。於圖1中所描述之具體實施例中,該半導體製造單元1另包括氣體分析裝置5、包含真空之內部淨化站6、及包含真空之開放式熱淨化站7。
該製造執行系統MES包括具有處理器與保存程式之執行裝置8,能夠當作由該氣體分析裝置5所接收之信號的函數來控制該運送機構4及該儲存機構3。
最後,剔除裝置9可為有所區別,可將被該氣體分析裝置宣稱為不合格供使用之儲存及運送箱導入該剔除裝置。
圖1係半導體製造廠1之純粹概要描述。處理設備2a-2f之數目可為與六極其不同的,視該工廠之能力而定。該基板儲存機構3可為集中式,如圖1中所描述。當作另一選擇或補充,它們可被分佈進入多數儲存區域。該氣體分析機構5之數目可為較大的,譬如分佈在某些基板處理設備2a-2f之輸出、整合進入一或多個基板儲存機構3、或耦接至一或多個淨化站6或7。
該等待處理基板被分成各批量,每一批量被包含在儲存及運送箱10a-10e內。
該等儲存及運送箱10a-10e包含內部大氣及可能地包含一或多個基板、諸如半導體晶圓。該等儲存及運送箱10a-10e之每一者界定一封閉之空間,該空間係藉由配備有開口之周邊壁面與該等基板被使用及運送的環境分開,該開口供基板通過,並藉由門件所覆蓋。
特別地是,於已知為FOUPs(“前置式晶圓匣”)的前置式標準化基板運送及儲存晶圓匣、或已知為SMIFs(“標準機械式介面”)之底部開口晶圓匣、已知為RSPs(“光罩標準機械介面傳送匣”)的標準化光罩運送及儲存晶圓匣、與用於太陽能工業之基板運送晶圓匣之間造成差異。
大致上,這些儲存及運送晶圓匣包含在大氣壓力之內部大氣,且係意欲留在該半導體製造廠1內存在的大氣之大氣壓力內。
如果需要,晶圓匣亦可被計畫為將被儲存於真空中。
這些儲存及運送晶圓匣10a-10e係由諸如聚碳酸酯之材料所製成,其在一些案例中可包含各種濃度之有機、基質、胺基、酸性、及摻雜汙染物(AMCs),其可源自該等儲存及運送箱本身之製造及/或它們被使用之方式。
圖1顯示該等儲存及運送晶圓匣10a-10e,被分佈進入該裝置之不同站或零件。
於製造半導體或微機電系統之方法期間,該等基板遭受大量之處理步驟,該等步驟係在該等基板處理設備2a-2f中進行。單一基板處理設備不能施行所有該等操作。其係因此需要由一基板處理設備至另一基板處理設備週期性地運送該等批量之基板。
因該等處理步驟之持續期間於該等各種基板處理設備2a-2f中不同,且藉由每一基板處理設備2a-2f所進行之步驟的數目為不相同,需要藉由將該等基板儲存於基板儲存機構3中來提供“緩衝區”。
如此,該基板運送機構4使其可能在該半導體製造廠1內運送該等基板儲存及運送箱10a-10e。這些基板運送機構4可譬如包括複數往復移動送件裝置4a供運送諸如該運送箱10b之複數儲存及運送箱、及界定移動之路徑供在該半導體製造廠1內移動該等往復移動送件裝置4a的運送系統4b。
該基板儲存機構3能夠儲存諸如該運送箱10a之複數基板儲存及運送箱,且包括一儲存區域及在該儲存區域與輸入-輸出區域間之內部運送箱處理機構。
圖4概要地描述此基板儲存機構3,並設有諸如該擱架3a之儲存擱架,且設有至輸入-輸出區域3c之轉移機器手臂3b。
譬如於FOUP儲存及運送箱中,圖1中所描述之氣體分析裝置5可為在該歐洲專利文件EP-1 703 547中所敘述之裝置。
於該氣體分析裝置5中,該儲存及運送箱10c之內部大氣被帶入與該IMS(“離子泳動分析儀”)或IAMS(“離子附著質譜儀”)氣體分析單元接觸。於IMS技術中,來自該儲存及運送箱之內部大氣的氣體之樣本被加至該試管之反應部份,使該等分子遭受離子化,諸如經過電子隔室。源自該等分子之裂解的離子被注射進入一區域,在此發生離子移動,以便分析其移動率。移動率係藉由該速率所決定,該速率係藉由電場中之正離子與接著負離子所達成。所產生之離子被電極所吸引,該電極產生電流。此電流接著被處理,以獲得氣體之濃度(以ppbv為單位)。
於圖1中,該概要地描述之裝置包括二型式之淨化站6及7。
該第一型式之淨化站6係當關閉時包含真空之內部淨化站,用於可或不可包含批量之基板的至少一儲存及運送箱10d之內部淨化。於此狀態中,諸如世界專利文件WO 2007/135347中所敘述者之淨化站可譬如被使用。該內部淨化站6包括具有氣體加入機構及氣體抽吸機構之密封室。該已關閉之儲存及運送箱10c被放置在該密封室內,且包含在該密封室內的氣體被抽出。因為該儲存及運送箱10c通常包括出口,如真正用於FOUP運送箱者,此抽吸同時地吸出該儲存及運送箱10c內所包含之氣體,確保該運送箱的內部大氣內之帶有氣體的汙染物之全部或局部抽空。
該內部淨化站6本身可包括被抽吸氣體分析機構,特別用以使其可能告知其污染來自何處及監視該儲存及運送箱10c之品質。
該內部淨化站6內之淨化的步驟可為自動操作、藉由來自該氣體分析裝置5的信號之讀取所引發,而該儲存及運送箱10d已事先被插入該氣體分析裝置。
第二型式之淨化站7為包含真空之開放式熱淨化站,能夠淨化一為空的基板批量之開放式儲存及運送箱10e。於此狀態中,諸如世界專利文件WO-2009/021941中所敘述之工作站譬如可被使用。
於此一開放式淨化站7中,該等儲存及運送箱10e之淨化係在它們被以諸如去離子水的液體洗滌之後所確保。此持續由5至7分鐘之洗滌步驟之後為乾燥步驟。於此乾燥步驟期間,該等運送箱之表面係遭受低於大氣壓的氣體壓力及紅外線輻射之組合作用,使該儲存及運送箱10a為打開的。如此,存在於該表面上之至少大部份汙染物或甚至在大部份該儲存及運送箱10e中之汙染物藉此被消除。
根據本發明,在何處傳送儲存及運送箱與其所包含之批量基板係基於存在該儲存及運送箱內之臨界氣體劑量的量測動態地決定。此決定策略原則上係在該製造方法之每一處理步驟期間進行,意指在該等步驟n及n+1之間。
圖2在根據本發明之一具體實施例中描述此決定策略。決定策略可被使用在二連續步驟間之每一過渡處、或僅只在連續步驟間之某些過渡期間。
於圖2之具體實施例中,不論該儲存及運送箱何時來自執行該步驟n(100)之基板處理設備,該基板運送機 構4(圖1)將其插入氣體分析裝置5。該氣體分析裝置5產生臨界氣體之分析,意指該氣體係極可能產生汙染物影響該儲存及運送箱內所包含之基板,並比較該分析之結果與預定的臨界氣體濃度限制。
於最普通之情況中,如果至少一臨界氣體之濃度少於第一閾值lim 1,則下命令給該基板運送機構4,以將該儲存及運送箱運送至大氣儲存裝置3。當到達該時間時,該運送機構4在該大氣儲存機構3內拾取該儲存及運送箱,並將其帶至能夠施行步驟n+1(101)之基板處理設備。
如果該氣體分析裝置5偵測該至少一臨界氣體之濃度係大於該第一閾值lim 1,則該基板運送機構將該儲存及運送箱帶入包含真空之內部淨化站6。在內部淨化之後,如果包含真空之內部淨化站6本身係配備有涉及量測臨界氣體之控制機構,其可將該儲存及運送箱直接地送入該大氣儲存機構3。另一選擇係,包含真空之內部淨化站6可將該儲存及運送箱退回送入該氣體分析裝置5,以檢查該淨化之有效性。
於半導體製造廠中,該等儲存及運送箱被空出及週期性地洗滌。它們必需接著被乾燥,且洗滌液體之污染痕跡必需被消除。在乾燥時期之後,它們被關閉。本發明使其可能經過氣體分析裝置5中之氣體分析的步驟檢查它們之內部污染的狀態,其導致如圖3所描述地作成一決定。
如果藉由該氣體分析裝置5所偵測之至少一臨界氣體的濃度抵達某些限制,則下命令給該基板運送機構4,以將該儲存及運送箱運送至該大氣儲存裝置3,在插入一基板批量、或進入剔除區域9、或至開放式熱淨化站7之後供使用於該生產循環中。
譬如,此機構在儲存及運送箱被清潔之後檢查該空的FOUP儲存及運送箱。
其係已知潔淨室中之酸的平均位準係少於0.5 ppbv。其已應注意的是空的FOUP儲存及運送箱、意指無各批量之基板的儲存及運送箱中所包含之酸的平均位準係較大的,諸如達大約1 ppbv。該差異係由於藉由該運送箱之材料的酸之除氣。
該儲存及運送箱的內部大氣之酸度因此被量測。
如果酸之量測值係少於第二閾值lim 2、譬如大約3 ppbv,其被決定的是此位準係令人滿意的,且該運送箱再次進入該生產流程。
如果酸之量測值係大於第二閾值lim 2及少於第三閾值lim 3、譬如大約6 ppbv,該運送箱被送至該開放式淨化站7供脫附達少於5小時之持續期間、譬如大約4小時。
如果酸之量測值係大於該第三閾值lim 3,該運送箱被送至該開放式淨化站7供脫附達比10小時長之持續期間、譬如大約15小時。
用於甚至較大之量測值,吾人可引用該剔除區域9中之運送箱。
當該運送箱剛好再次被關閉時,該量測不能緊接在清潔之後作成,且因此主要包含來自該潔淨室之空氣。其係需要等待至少2小時。
在開放式淨化之後,該儲存及運送箱可潛在地被充填以批量之基板,且再次被送至該氣體分析裝置5,以決定是否將其送回至該大氣儲存機構3或至該內部淨化站6。
包含具有超靈敏及不能經得起該大氣的表面之基板的儲存及運送箱遍及該等待時間必需被儲存於真空中。於此案例中,中介儲存被設在圖2中所描述之真空儲存機構30內。
如果將儲存及運送箱儲存於大氣儲存機構3中超過最大預定等待時間,其可被提供至將該儲存及運送箱自動地放置退入氣體分析裝置5,以證實該誇大的長儲存時間尚未增加該污染超過最大可允許值。
於此案例中,其為有利的是提供直接整合進入該儲存機構3之氣體分析裝置5,譬如於圖4中所敘述者:包含氣體分析裝置5a之入口鎖3d係著名的,使得該氣體分析裝置可能週期性地檢查儲存機構3中所包含之儲存及運送箱。
實際上,該等步驟n(100)與n+1(101)間之決定係藉由該執行裝置8中所保存之程式自動地作成,該執行裝置本身可被整合進入該製造執行系統(MES)。
換句話說,該製造執行系統(MES)係在功能上連接至該基板處理設備2a-2f,而且亦連接至該基板儲存機構3、至該基板運送機構4、至該氣體分析裝置5、及至該等淨化站6與7。
該執行裝置8中所保存之程式包含用以產生及掃描來自該(等)氣體分析裝置5的分析信號之指令、用以作成該等分析信號與諸如lim 1、lim 2及lim 3的預設閾值間之比較的指令、及用以如此比較結果之函數般控制該運送機構4及該儲存機構3的指令。
為進一步增加本發明之基板處理裝置的彈性及可靠性,其可進一步提供在該執行裝置8中所保存之程式包含演算法,該演算法如分析信號之函數般模擬該基板儲存及運送箱內的污染中之可預見的變化。此模擬可涉及該等汙染物氣體之化學反應能力、任何多數汙染物之存在、存在於該儲存及運送箱中之基板的本質。
當然所作成之決定必需考慮待處理基板之本質、及該等基板遭受的步驟之連續性,因這些步驟決定污染物體是否被導入。如此,當使待製成之新產品合格時,學習將為需要的,以決定該等限制lim 1、lim 2及lim 3,淨化或剔除操作係需要通過該等限制,意指過失可通過該等限制顯現在所製成之產品上。
特別地是關於該等閾值lim 2及lim 3之決定,統計分析可被有利地進行。現在考慮該半導體製造廠1中之空的儲存及運送箱之總體。其個別之臨界氣體的濃度係藉由計算其平均值M及其標準偏差σ來量測。該值lim 2可例如被選擇為等於:lim 2=M+3σ。該值lim 3可譬如被選擇為等於:lim 3=M+6σ。
內部真空淨化之積極作用已被注意到。當製造電子晶片時,用於當該等線被蝕刻時與當它們被以銅充填時之間的金屬位準,於該等待時間期間在蝕刻之後的結晶問題顯現。這些問題係由於使該基板除氣的氟化種類之存在、及該潔淨室中之濕氣的存在。藉由使用內部真空淨化,在蝕刻該等線之後,該等晶體不會顯現,縱使在該運送箱的真空清除之後的等待時間為20小時。該結果為在選擇如何移動各批量基板中之較大的彈性,因儲存之持續期間可沒有污染風險地被增加。
本發明係不限於已被明確地敘述之具體實施例;反之,其包括熟諳此技藝者的作用範圍內之任何變動及概括。
1...半導體製造單元
2a...基板處理設備
2b...基板處理設備
2c...基板處理設備
2d...基板處理設備
2e...基板處理設備
2f...基板處理設備
3...基板儲存機構
3a...擱架
3b...機器手臂
3c...輸入-輸出區域
3d...入口鎖
4...基板運送機構
4a...往復移動送件裝置
4b...運送系統
5...氣體分析裝置
5a...氣體分析裝置
6...內部淨化站
7...熱淨化站
8...執行裝置
9...剔除裝置
10a...儲存及運送箱
10b...儲存及運送箱
10c...儲存及運送箱
10d...儲存及運送箱
10e...儲存及運送箱
30...儲存機構
本發明之其他目的、特性、及利益將由特別具體實施例之以下敘述變得明顯,並參考所附圖面,其中:
-圖1係根據本發明之一特別具體實施例的半導體製造單元之功能性概要圖;
-圖2係示意圖,說明關於儲存及運送箱於根據本發明的一具體實施例之方法的二步驟間之移動的決定策略;
-圖3係示意圖,說明關於空的儲存移動及運送箱之移動的決定策略,其為在洗滌之後的淨化需要之函數;及
-圖4說明根據本發明之一具體實施例配備有污染量測裝置及轉移機器手臂之儲存機構。
1...半導體製造單元
2a...基板處理設備
2b...基板處理設備
2c...基板處理設備
2d...基板處理設備
2f...基板處理設備
3...基板儲存機構
4...基板運送機構
4a...往復移動送件裝置
4b...運送系統
5...氣體分析裝置
6...內部淨化站
7...熱淨化站
8...執行裝置
9...剔除裝置
10a...儲存及運送箱
10b...儲存及運送箱
10c...儲存及運送箱
10d...儲存及運送箱
10e...儲存及運送箱

Claims (13)

  1. 一種用以在半導體製造廠內處理基板之裝置,該半導體製造廠具有基板處理設備、基板儲存機構、基板運送機構、及製造執行系統,該製造執行系統在功能上與該等基板處理設備有關、與該基板儲存機構有關、且與該基板運送機構有關,該處理基板之裝置包括:至少一基板儲存及運送箱,其被該運送機構所運送及儲存於該儲存機構中,至少一裝置,以分析形成該基板儲存及運送箱之內部大氣的氣體,該裝置產生代表極可能產生分子污染的臨界氣體之量的分析信號,該臨界氣體係存在於該儲存及運送箱中,執行裝置,其控制該運送機構及該儲存機構,以依據氣體分析的結果而選擇性地將該儲存及運送箱引導至儲存機構或淨化站,該執行裝置包括依據氣體分析裝置所發射之分析信號而偵測分子淨化需要之指令,以藉由基於該至少一儲存及運送箱內的該臨界氣體之劑量的量測執行動態管理,來管理該至少一儲存及運送箱之連續移動的順序限制。
  2. 如申請專利範圍第1項用以在半導體製造廠內處理基板之裝置,其中該執行裝置被併入該製造執行系統。
  3. 如申請專利範圍第1及2項之一的用以在半導體製造廠內處理基板之裝置,其中該執行裝置中所保存之程式包括: 用以產生及掃描該等分析信號之指令,用以施行該等分析信號及已記錄閾值間之比較的指令,用以視此比較結果而定控制該運送機構及該儲存機構的指令。
  4. 如申請專利範圍第3項用以在半導體製造廠內處理基板之裝置,其中該執行裝置中所保存之程式另包含演算法,用以如分析信號之函數般模擬該基板儲存及運送箱內的污染中之可預見的變化,用以控制該運送機構及該儲存機構之指令當作該模擬之結果的函數。
  5. 如申請專利範圍第1至2項之一的用以在半導體製造廠內處理基板之裝置,其中:該裝置另包括至少一內部淨化站,當該內部淨化站關閉時包含真空,以便內部地淨化至少一運送及儲存箱子,該執行裝置中所保存之程式另包含用以偵測該儲存及運送箱之內部淨化需要與命令該運送機構及內部淨化機構的指令,以便確保該儲存及運送箱之內部淨化。
  6. 如申請專利範圍第5項用以在半導體製造廠內處理基板之裝置,其中該執行裝置中所保存之程式另包含用以在基板處理設備的出口命令儲存及運送箱中之氣體的分析之指令,以致如果藉由該氣體分析機構所量測之臨界氣體的位準係少於第一預定閾值,將該儲存及運送箱運送至該 儲存機構,且如果臨界氣體的所量測之位準係大於該第一預定閾值,將該儲存及運送箱運送至包含真空之內部淨化站。
  7. 如申請專利範圍第1至2項之一的用以在半導體製造廠內處理基板之裝置,包括:包含真空之至少一開放式、熱淨化站,用於至少一空的儲存及運送箱之開放式淨化,該執行裝置中所保存之程式另包含用以偵測涉及真空的開放式、熱淨化需要及用以命令該運送機構及開放式淨化機構之指令,以便確保該儲存及運送箱之開放式真空淨化、或以便確保其檢疫。
  8. 如申請專利範圍第7項用以在半導體製造廠內處理基板之裝置,其中該執行裝置中所保存之程式另包含用以在其被清潔以後的等待時間之後命令空的儲存及運送箱中之氣體的分析之指令,以致如果該儲存及運送箱中之臨界氣體的量測位準係於第二預定閾值及第三預定閾值之間,將該空的儲存及運送箱運送進入包含真空之開放式、熱淨化站供脫附達少於5小時之時期,且如果臨界氣體的量測位準係大於該第三預定閾值,將儲存及運送箱運送進入包含真空之開放式、熱淨化站供脫附達大於10小時之時期。
  9. 一種用以在半導體製造廠內處理基板之方法,包括以下步驟:將該等基板分配成複數批量,每一批量被包含在儲存 及運送箱內,分析儲存及運送箱之內部大氣中的氣體,產生存在於該儲存及運送箱中極可能產生分子污染之臨界氣體之量的代表,依據氣體分析之結果,將該儲存及運送箱選擇性地引導至儲存機構或淨化站,以藉由基於該儲存及運送箱內的該臨界氣體之劑量的量測執行動態管理,來管理該儲存及運送箱之該複數批量連續移動的順序限制。
  10. 如申請專利範圍第9項用以在半導體製造廠內處理基板之方法,其中,在處理步驟之後,如果該儲存及運送箱的內部大氣中之臨界氣體的被量測位準係大於第一預定閾值,則該儲存及運送箱係在真空中內部地淨化,同時被關閉。
  11. 如申請專利範圍第9項用以在半導體製造廠內處理基板之方法,其中在處理步驟之後,如果該空的、關閉的儲存及運送箱中之臨界氣體的被量測位準在比2小時更長的等待時間之後係於第二預定閾值及第三預定閾值之間,則該儲存及運送箱係在真空中內部地淨化,同時被打開,且如果臨界氣體的被量測位準係大於該第三預定閾值,則該儲存及運送箱係在真空中內部地淨化達比10小時更長的時期。
  12. 如申請專利範圍第9至11項之任一項的用以在半導體製造廠內處理基板之方法,包括學習用於決定可容許限制的步驟,超過該可容許限制,則瑕疵可顯現在該方法 所製成之產品上。
  13. 如申請專利範圍第9至12項之任一項的用以在半導體製造廠內處理基板之方法,其中分析儲存及運送箱的內部大氣中之氣體的步驟係在該氣體被儲存於該儲存機構期間內週期性地進行。
TW099143728A 2009-12-18 2010-12-14 藉由污染程度量測的半導體製造監視裝置和方法 TWI493645B (zh)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
FR0959235A FR2954583B1 (fr) 2009-12-18 2009-12-18 Procede et dispositif de pilotage de fabrication de semi conducteurs par mesure de contamination

Publications (2)

Publication Number Publication Date
TW201138005A TW201138005A (en) 2011-11-01
TWI493645B true TWI493645B (zh) 2015-07-21

Family

ID=42101669

Family Applications (1)

Application Number Title Priority Date Filing Date
TW099143728A TWI493645B (zh) 2009-12-18 2010-12-14 藉由污染程度量測的半導體製造監視裝置和方法

Country Status (9)

Country Link
US (1) US9779972B2 (zh)
EP (1) EP2513949A1 (zh)
JP (1) JP5902626B2 (zh)
KR (1) KR101761956B1 (zh)
CN (1) CN102714135A (zh)
FR (1) FR2954583B1 (zh)
SG (1) SG181608A1 (zh)
TW (1) TWI493645B (zh)
WO (1) WO2011073348A1 (zh)

Families Citing this family (14)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
FR2961946B1 (fr) 2010-06-29 2012-08-03 Alcatel Lucent Dispositif de traitement pour boites de transport et de stockage
CN103620758B (zh) * 2011-06-28 2017-02-15 动力微系统公司 半导体储料器系统和方法
TWI465282B (zh) * 2012-06-27 2014-12-21 Univ Nat Taiwan 用於偵測氣體中汙染物之裝置及方法
US9405194B2 (en) 2012-11-30 2016-08-02 Semes Co., Ltd. Facility and method for treating substrate
US9579697B2 (en) * 2012-12-06 2017-02-28 Taiwan Semiconductor Manufacturing Co., Ltd. System and method of cleaning FOUP
TWI654695B (zh) * 2012-12-06 2019-03-21 英福康公司 真空工具及測量該真空工具的客真空室中的氛圍的方法
JP6102277B2 (ja) * 2013-01-24 2017-03-29 株式会社Sumco 半導体ウェーハの金属汚染評価方法および半導体ウェーハの製造方法
EP3343597B1 (en) * 2015-08-28 2022-04-20 Murata Machinery, Ltd. Storage device and storage method
FR3040528B1 (fr) * 2015-09-02 2017-09-15 Pfeiffer Vacuum Sas Procede et station de mesure de la contamination d'une boite de transport pour le convoyage et le stockage atmospherique de substrats
CN107065816A (zh) * 2017-06-17 2017-08-18 赵扬 具有集多种模块装置的化学化工实训室管理系统
JP7234527B2 (ja) * 2018-07-30 2023-03-08 Tdk株式会社 センサー内蔵フィルタ構造体及びウエハ収容容器
CN112271151B (zh) * 2020-11-10 2023-04-21 泉芯集成电路制造(济南)有限公司 一种机台污染监测装置及加工设备
US20220297170A1 (en) * 2021-03-19 2022-09-22 Taiwan Semiconductor Manufacturing Co., Ltd. Airborne contaminant management method and system
US11493909B1 (en) * 2021-04-16 2022-11-08 Taiwan Semiconductor Manufacturing Company Ltd. Method for detecting environmental parameter in semiconductor fabrication facility

Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6193601B1 (en) * 1998-11-10 2001-02-27 Sandia Corporation Module bay with directed flow
US6287023B1 (en) * 1997-09-22 2001-09-11 Tokyo Electron Limited Processing apparatus and method
US20040023419A1 (en) * 2001-09-24 2004-02-05 Extraction Systems, Inc System and method for monitoring contamination
US20060292037A1 (en) * 2005-03-18 2006-12-28 Alcatel Method and device for monitoring the contamination of substrate wafers
US20070062561A1 (en) * 2005-09-19 2007-03-22 International Business Machines Corporation Method And Apparatus For Testing Particulate Contamination In Wafer Carriers

Family Cites Families (48)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3250038A (en) 1963-02-18 1966-05-10 Kota Products Inc Window structure
US5284412A (en) 1990-08-17 1994-02-08 Tokyo Electron Sagami Limited Stock unit for storing carriers
JP2971184B2 (ja) 1991-06-28 1999-11-02 中部電力株式会社 空気再生機
ATE129361T1 (de) 1992-08-04 1995-11-15 Ibm Fertigungsstrasse architektur mit vollautomatisierten und rechnergesteuerten fördereinrichtungen geeignet für abdichtbaren tragbaren unter druck stehenden behältern.
DE4237767A1 (de) 1992-11-09 1994-05-11 Siemens Ag Verfahren und Vorrichtung zum Reinigen von Bauteiloberflächen, insbesondere von mit Partikeln kontaminierten hochreinen Oberflächen von für die Elektronikfertigung bestimmten Bauteilen, wie Masken, Wafern od. dgl.
US5616208A (en) 1993-09-17 1997-04-01 Tokyo Electron Limited Vacuum processing apparatus, vacuum processing method, and method for cleaning the vacuum processing apparatus
JP2741156B2 (ja) 1993-09-17 1998-04-15 東京エレクトロン株式会社 マルチチャンバー処理装置のクリーニング方法
US5827118A (en) 1996-08-28 1998-10-27 Seh America, Inc. Clean storage unit air flow system
US5879458A (en) * 1996-09-13 1999-03-09 Semifab Incorporated Molecular contamination control system
JPH11168135A (ja) 1997-12-03 1999-06-22 Toshiba Corp 基板保管装置および基板保管方法
US6168672B1 (en) 1998-03-06 2001-01-02 Applied Materials Inc. Method and apparatus for automatically performing cleaning processes in a semiconductor wafer processing system
JPH11274282A (ja) * 1998-03-23 1999-10-08 Toshiba Corp 基板収納容器、基板収納容器清浄化装置、基板収納容器清浄化方法および基板処理装置
DE19922936B4 (de) 1999-05-19 2004-04-29 Infineon Technologies Ag Anlage zur Bearbeitung von Wafern
WO2001008210A1 (fr) * 1999-07-28 2001-02-01 Shin-Etsu Handotai Co., Ltd. Procede de stockage de plaquette, contenant de stockage destine a cet effet et procede de transfert de plaquette dans ce contenant
DE19941399A1 (de) 1999-08-31 2001-04-19 Infineon Technologies Ag Reinigung von Stencilmasken mit Hilfe einer durch Maskenöffnungen hindurchtretenden Gasströmung
US6791661B2 (en) 1999-12-09 2004-09-14 Nikon Corporation Gas replacement method and apparatus, and exposure method and apparatus
US6346986B1 (en) 2000-03-14 2002-02-12 Wafertech, Inc. Non-intrusive pellicle height measurement system
JP2001267200A (ja) 2000-03-14 2001-09-28 Nikon Corp ガス置換方法及び装置、並びに露光方法及び装置
US6614504B2 (en) 2000-03-30 2003-09-02 Nikon Corporation Exposure apparatus, exposure method, and device manufacturing method
WO2002015255A1 (en) * 2000-08-11 2002-02-21 Chem Trace Corporation System and method for cleaning semiconductor fabrication equipment parts
US6710845B2 (en) 2000-12-29 2004-03-23 Intel Corporation Purging gas from a photolithography enclosure between a mask protective device and a patterned mask
JP2002372777A (ja) 2001-06-18 2002-12-26 Canon Inc ガス置換方法および露光装置
JP2003031641A (ja) * 2001-07-19 2003-01-31 Mitsubishi Electric Corp 製品保管方法および製品保管装置
JPWO2003034475A1 (ja) 2001-10-10 2005-02-03 株式会社ニコン ガス置換方法及び装置、マスク保護装置、マスク、露光方法及び装置
JP4006235B2 (ja) 2002-02-05 2007-11-14 キヤノン株式会社 不活性ガス置換方法及び装置、レチクル保管庫、レチクル検査装置、レチクル搬送ボックス、デバイスの製造方法
JP4355488B2 (ja) 2002-05-13 2009-11-04 富士通株式会社 分子汚染監視システム
US7221993B2 (en) * 2003-01-27 2007-05-22 Applied Materials, Inc. Systems and methods for transferring small lot size substrate carriers between processing tools
TWI249220B (en) 2003-04-28 2006-02-11 Tdk Corp Purging apparatus and purging method
JP2005093697A (ja) 2003-09-17 2005-04-07 Canon Inc 面位置検出装置及び方法、露光装置並びに収差補正方法
JP2005140536A (ja) * 2003-11-04 2005-06-02 Mitsubishi Heavy Ind Ltd 真空乾燥システム
US7218983B2 (en) * 2003-11-06 2007-05-15 Applied Materials, Inc. Method and apparatus for integrating large and small lot electronic device fabrication facilities
WO2005078771A2 (en) * 2004-02-05 2005-08-25 Entegris Inc. Purging of a wafer conveyance container
JP2005256983A (ja) 2004-03-12 2005-09-22 Shin Etsu Polymer Co Ltd 基板収納容器
CN100500526C (zh) 2004-12-28 2009-06-17 友达光电股份有限公司 卡匣仓储系统
KR100719373B1 (ko) 2005-08-11 2007-05-17 삼성전자주식회사 반도체 노광 설비 및 펠리클 검사 방법
US20070059153A1 (en) 2005-09-14 2007-03-15 Applied Materials, Inc. Methods and apparatus for a transport lift assembly
JP2007123673A (ja) 2005-10-31 2007-05-17 Asyst Shinko Inc 物品収納用容器の防振機構
US8308418B2 (en) 2006-05-09 2012-11-13 Taiwan Semiconductor Manufacturing Co., Ltd. High efficiency buffer stocker
FR2901546B1 (fr) 2006-05-24 2010-10-15 Cit Alcatel Procede et dispositif de depollution d'environnement confine
FR2908674A1 (fr) 2007-01-29 2008-05-23 Alcatel Sa Dispositif de nettoyage et de depollution d'un objet a environnement confine non etanche limite par une paroi a membrane souple
US7610111B2 (en) 2007-02-13 2009-10-27 Tech Semiconductor Singapore Pte Ltd Method and system for wafer lot order
WO2008144670A1 (en) 2007-05-18 2008-11-27 Brooks Automation, Inc. Load lock fast pump vent
FR2920046A1 (fr) * 2007-08-13 2009-02-20 Alcatel Lucent Sas Procede de post-traitement d'un support de transport pour le convoyage et le stockage atmospherique de substrats semi-conducteurs, et station de post-traitement pour la mise en oeuvre d'un tel procede
US20090162170A1 (en) 2007-12-19 2009-06-25 Asm Japan K.K. Tandem type semiconductor-processing apparatus
US20100021273A1 (en) 2008-07-28 2010-01-28 Applied Materials, Inc. Concrete vacuum chamber
JP5517182B2 (ja) 2008-08-08 2014-06-11 村田機械株式会社 保管庫システム
FR2961946B1 (fr) 2010-06-29 2012-08-03 Alcatel Lucent Dispositif de traitement pour boites de transport et de stockage
FR2964334B1 (fr) 2010-09-08 2012-09-14 Alcatel Lucent Procede et dispositif de depollution d'un photomasque pellicule

Patent Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6287023B1 (en) * 1997-09-22 2001-09-11 Tokyo Electron Limited Processing apparatus and method
US6193601B1 (en) * 1998-11-10 2001-02-27 Sandia Corporation Module bay with directed flow
US20040023419A1 (en) * 2001-09-24 2004-02-05 Extraction Systems, Inc System and method for monitoring contamination
US20060292037A1 (en) * 2005-03-18 2006-12-28 Alcatel Method and device for monitoring the contamination of substrate wafers
US20070062561A1 (en) * 2005-09-19 2007-03-22 International Business Machines Corporation Method And Apparatus For Testing Particulate Contamination In Wafer Carriers

Also Published As

Publication number Publication date
JP2013514646A (ja) 2013-04-25
US20120259449A1 (en) 2012-10-11
SG181608A1 (en) 2012-07-30
FR2954583B1 (fr) 2017-11-24
KR101761956B1 (ko) 2017-07-26
FR2954583A1 (fr) 2011-06-24
WO2011073348A1 (fr) 2011-06-23
KR20120099726A (ko) 2012-09-11
CN102714135A (zh) 2012-10-03
US9779972B2 (en) 2017-10-03
EP2513949A1 (fr) 2012-10-24
JP5902626B2 (ja) 2016-04-13
TW201138005A (en) 2011-11-01

Similar Documents

Publication Publication Date Title
TWI493645B (zh) 藉由污染程度量測的半導體製造監視裝置和方法
TWI385747B (zh) A substrate processing apparatus and a substrate transfer method
US9579697B2 (en) System and method of cleaning FOUP
TW201724325A (zh) 形成用於一半導體基板並具有低溼度值的一乾淨的環境的方法及系統
US20120226475A1 (en) Substrate processing system, management apparatus, data analysis method
US20070062561A1 (en) Method And Apparatus For Testing Particulate Contamination In Wafer Carriers
US20030084918A1 (en) Integrated dry-wet processing apparatus and method for removing material on semiconductor wafers using dry-wet processes
JP4448082B2 (ja) 基板処理装置
KR100704246B1 (ko) 기판 처리시스템, 기판 처리장치, 프로그램 및 기록매체
US20120258570A1 (en) Substrate processing apparatus, program for controlling the same, and method for fabricating semiconductor device
TWI584390B (zh) A substrate processing apparatus, a substrate processing method, and a memory medium
TWI755624B (zh) 晶圓清潔方法以及清潔腔室
JP5773613B2 (ja) 異常原因分析方法及び異常分析プログラム
US20060247803A1 (en) Control system, control method, process system, and computer readable storage medium and computer program
US20060235558A1 (en) Method of scavenging intermediate formed by reaction of oxidoreductase with substrate
TWI311697B (zh)
JP2001196283A (ja) 半導体製造装置及びその製造方法
US20160161941A1 (en) Board processing apparatus, board processing method, and board processing system
JP2016207838A (ja) 基板処理装置および基板処理方法
JP2003100688A (ja) 基板処理装置
JP2002016123A (ja) 試料処理装置および処理方法
JP2011054679A (ja) 基板処理装置
Casper et al. Semiconductor Yield Enhancement through Particle Control
Zängle et al. Experimental wafer carrier contamination analysis and monitoring in fully automated 300 mm power production lines
JPH11150094A (ja) 洗浄処理方法