TWI489589B - 製造半導體裝置的方法 - Google Patents

製造半導體裝置的方法 Download PDF

Info

Publication number
TWI489589B
TWI489589B TW098134597A TW98134597A TWI489589B TW I489589 B TWI489589 B TW I489589B TW 098134597 A TW098134597 A TW 098134597A TW 98134597 A TW98134597 A TW 98134597A TW I489589 B TWI489589 B TW I489589B
Authority
TW
Taiwan
Prior art keywords
layer
gate
semiconductor device
etch stop
fabricating
Prior art date
Application number
TW098134597A
Other languages
English (en)
Other versions
TW201019418A (en
Inventor
Su Chen Lai
Kong Beng Thei
Harry Chuang
Gary Shen
Original Assignee
Taiwan Semiconductor Mfg Co Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Taiwan Semiconductor Mfg Co Ltd filed Critical Taiwan Semiconductor Mfg Co Ltd
Publication of TW201019418A publication Critical patent/TW201019418A/zh
Application granted granted Critical
Publication of TWI489589B publication Critical patent/TWI489589B/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7842Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate
    • H01L29/7848Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate the means being located in the source/drain region, e.g. SiGe source and drain
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823828Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the gate conductors, e.g. particular materials, shapes
    • H01L21/823842Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the gate conductors, e.g. particular materials, shapes gate conductors with different gate conductor materials or different gate conductor implants, e.g. dual gate structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66545Unipolar field-effect transistors with an insulated gate, i.e. MISFET using a dummy, i.e. replacement gate in a process wherein at least a part of the final gate is self aligned to the dummy gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/12Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/16Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic Table
    • H01L29/161Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic Table including two or more of the elements provided for in group H01L29/16, e.g. alloys
    • H01L29/165Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic Table including two or more of the elements provided for in group H01L29/16, e.g. alloys in different semiconductor regions, e.g. heterojunctions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/51Insulating materials associated therewith
    • H01L29/517Insulating materials associated therewith the insulating material comprising a metallic compound, e.g. metal oxide, metal silicate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/665Unipolar field-effect transistors with an insulated gate, i.e. MISFET using self aligned silicidation, i.e. salicide
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66568Lateral single gate silicon transistors
    • H01L29/66613Lateral single gate silicon transistors with a gate recessing step, e.g. using local oxidation
    • H01L29/66628Lateral single gate silicon transistors with a gate recessing step, e.g. using local oxidation recessing the gate by forming single crystalline semiconductor material at the source or drain location

Landscapes

  • Engineering & Computer Science (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Ceramic Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)
  • Metal-Oxide And Bipolar Metal-Oxide Semiconductor Integrated Circuits (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)

Description

製造半導體裝置的方法
本發明係有關於積體電路裝置,特別有關於一種在閘極最終製程中用於間隙填入的方法。
隨著技術節點微縮化,於許多積體電路(IC)設計領域,有一種需求將典型的多晶矽閘極電極取代以金屬閘極電極,以提升元件性能隨著降低構造尺寸。藉由提供金屬閘極構造(例如包括一金屬閘極電極而非多晶矽)可解決問題。形成金屬閘極堆疊的製程稱為“閘極最終”製程,於其中此最終的閘極堆疊於最後才製作,可允續降低後續的製程數目,包括高溫製程,在形成閘極之後為必須實施的製程。此外,隨著電晶體維度的縮減,閘極氧化層的厚度必須降低以維持元件性能隨著閘極長度降低。為了能降低閘極漏電,亦可使用高介電常數(high-k)閘極絕緣層,其可允許較大的物理厚度,仍可維持相同的有效厚度,如同較大技術節點中典型的閘極氧化物所提供。
然而,欲實施所述結構和製程於CMOS製造中仍面臨許多挑戰。隨著元件之間的閘極長度和空間降低,這些問題尤其嚴重。例如,於一“閘極最終”製造過程中,於沉積一層間介電層(ILD)於填隙步驟時可產生孔洞。亦然,於實施化學機械研磨(CMP)於層間介電層時控制所述閘極高度是困難的。因此,業界亟需嶄新且改良的裝置和閘極的形成方法。
本發明之實施例提供一種製造半導體裝置的方法,包括:提供一半導體基底,其具有一第一區域和一第二區域;形成一高介電常数介電層於該半導體基底之上;形成一矽層該高介電常数介電層之上;形成一硬遮罩層於該矽層之上;圖案化該硬遮罩層、該矽層、該高介電常数介電層以形成一第一閘極結構於該第一區域上和一第二閘極結構於該第二區域上;形成一接觸蝕刻終止層於該第一和該第二閘極結構之上;修飾該接觸蝕刻終止層的一輪廓;形成一層間介電層於修飾後的該接觸蝕刻終止層之上;實施一化學機械研磨以分別地露出該第一和第二閘極結構的該矽層;以及分別地自該第一和第二閘極結構移除該矽層,並將其取代以金屬閘極結構。
本發明之實施例另提供一種製造半導體裝置的方法,包括:提供一半導體基底,其具有一第一區域和一第二區域;形成一第一閘極結構於該第一區域之上和一第二閘極結構於該第二區域之上,各個該第一和第二閘極結構包括一高介電常数介電層、一虛置多晶矽閘極以及一硬遮罩;形成一接觸蝕刻終止層分別於該第一和該第二閘極結構之上,包括該第一和該第二閘極結構的該硬遮罩;修飾該接觸蝕刻終止層的一輪廓使得在該第一和第二閘極結構所測得的間隙變得更大;形成一層間介電層於修飾後的該接觸蝕刻終止層之上,實質上地填入該間隙;實施一化學機械研磨於該層間介電層,以分別地從該第一和第二閘極結構移除該些硬遮罩,及分別地露出該第一和第二閘極結構的該虛置多晶矽閘極;以及分別地自該第一和第二閘極結構移除該虛置多晶矽閘極,並將其取代以金屬閘極結構。
本發明之實施例又提供一種製造半導體裝置的方法,包括:提供一半導體基底;形成一或多個閘極結構於該半導體基底之上;形成一蝕刻終止層於該半導體基底之上,包括於所述一或多個閘極結構之上;修飾該蝕刻終止層的一輪廓;形成一介電層於修飾後的該蝕刻終止層之上;實施一化學機械研磨製程於該介電層上直到達到所述一或多個閘極結構的一頂部。
為使本發明能更明顯易懂,下文特舉實施例,並配合所附圖式,作詳細說明如下:
本揭露一般是有關於形成積體電路裝置於一基底上,特別是有關於,製作閘極結構作為積體電路(包括場效電晶體(FET))的一部分。然而,應瞭解的是,以下以各實施例詳細說明並伴隨著圖式說明之範例,做為本發明之參考依據。在圖式或說明書描述中,相似或相同之部分皆使用相同之圖號。且在圖式中,實施例之形狀或是厚度可擴大,並以簡化或是方便標示。再者,圖式中各元件之部分將以分別描述說明之,值得注意的是,圖中未繪示或描述之元件,為所屬技術領域中具有通常知識者所知的形式,另外,特定之實施例僅為揭示本發明使用之特定方式,其並非用以限定本發明。此外,本揭露提供“閘極最終”金屬閘極製程的例子,為所屬技術領域中具有通常知識者所理解可應用於其他製程及/或使用其他材料。
請參閱第1圖,其顯示一製造方法100的流程圖以製造一半導體裝置於“閘極最終”製程中。亦請參閱第2A~2H圖,其顯示根據第1圖的方法100於所述閘極最終製程中各製程階段的半導體裝置200的剖面示意圖。所述半導體裝置200可為一積體電路或其一部分,所述積體電路可包括靜態隨機存取記憶體(SRAM)及/或邏輯電路、被動元件例如電阻、電容、和電感,及主動元件例如P-通道場效電晶體(pFET)、N-通道場效電晶體(nFET)、金屬-氧化-半導體場效電晶體(MOSFET)、或互補式金屬-氧化-半導體(CMOS)電晶體。
應瞭解的是,所述半導體裝置200的一些構造可藉由一CMOS製程流程製造。據此,應瞭解的是,額外的製程可於第1圖所示方法100的之前、當時、或之後提供,且一些其他的製程僅會在此做簡單的描述。例如,於第2A圖中所示的半導體裝置200接續一自對準矽化製程(簡稱salicide,或稱self-aligned silicide),其形成矽化物或接觸構造於各種摻雜的區域上例如源極和汲極區。
所述方法100始於製程區塊102,於其中提供一半導體基底,此基底具有第一區域和第二區域。於第2A圖中,所述半導體裝置200包括一基底202。於本實施例中,此基底202包括具結晶結構的矽基底(例如晶圓)。基底202可包括各類的摻雜組態,端視本領域中所公知的設計需求而定(例如p-型基底或n-型基底)。此外,所述基底202可包括各種摻雜的區域,例如p-型井區(p-well)或n-型井區(n-well)。其他基底202的範例亦可包括一化合物半導體例如碳化矽、砷化鎵或磷化銦。再者,基底202可選擇性地包括一磊晶層(epi layer),可受到應變以提升效能,及/或可包括一絕緣層上有矽(SOI)結構。
所述半導體裝置200包括隔離結構例如淺溝槽隔離(STI)構造204形成於半導體基底202中,以將一或多個裝置彼此間相互隔離。於本實施例中,所述STI構造204可隔離nMOS裝置206和pMOS裝置208。所述STI構造204可包括氧化矽、氮化矽、氮氧化矽、氟摻雜矽酸鹽玻璃(FSG)、及/或低介電常數(low-k)介電材料。其他隔離方法及/或構造可位於或外加於STI構造204。所述STI構造204可利用許多製程形成,例如反應性離子蝕刻(RIE)基底202以形成多條溝槽,接著將這些溝槽填入絕緣材料,可使用沉積製程並接著實施CMP製程。
繼續進行方法100的製程區塊104,於其中將高介電常數(high-k)介電層形成於該半導體基底之上。所述半導體裝置200包括一閘極介電層210形成於該基底之上。所述閘極介電層210包括一介面層。此介面層可包括一氧化矽層(例如熱氧化物或化學氧化物)具有厚度的範圍大抵介於5到10埃()。該閘極介電層210更包括一高介電常數(high-k)材料層形成於介面層上。於一實施例中,該high-k介電材料包括氧化鉿(HfO2 )。所述high-k介電材料的其他範例包括鉿矽氧化物(HfSiO)、鉿矽氮氧化物(HfSiON)、鉿鉭氧化物(HfTaO)、鉿鉭氮氧化物(HfTaON)、鉿鈦氧化物(HfTiO)、鉿鈦氮氧化物(HfTiON)、鉿鋯氧化物(HfZrO)、鉿鋯氮氧化物(HfZrON)、上述材料之任意組合、及/或其他適合的材料。所述high-k介電材料可藉由原子層沉積法(ALD)、化學氣相沉積法(CVD)、或其他適合的技術形成。所述high-k介電材料可包括厚度範圍大抵介於10到30埃()。
繼續進行方法100的製程區塊106,於其中將一阻障層形成於high-k介電層之上。於一些實施例中,一阻障層可選擇性地形成於該high-k介電層之上。所述阻障層可包括TiN或TaN,其具有厚度範圍大抵介於10到50埃()。所述阻障層可藉由各種沉積技術形成,例如ALD、CVD、物理氣相沉積法(PVD)、或其他適合的製程技術。
繼續進行方法100的製程區塊108,於其中將一矽層形成於該阻障層之上。所述半導體裝置200更包括一多晶矽(poly)層212藉由CVD或其他適合的沉積製程形成於阻障層之上。例如,可使用矽烷(SiH4 )做為CVD製程中的化學氣體已形成該多晶矽層212。該多晶矽層212可包括厚度範圍大抵介於400到800埃()。該半導體層可另則一地包括一非晶矽層而非一多晶矽層。
繼續進行方法100的製程區塊110,於其中將一硬遮罩層形成於該矽層之上。一硬遮罩層230形成於多晶矽層212之上。所述硬遮罩層230可包括氧化矽。該硬遮罩層230可包括厚度範圍大抵介於100到400埃()。另則一地,該硬遮罩層230可選擇性地包括氮氧化矽及/或他適合的材料。該硬遮罩層230的形成方法例如CVD、PVD、或ALD。另外,一抗反射塗層(ARC)或一底抗反射塗層(BARC)可形成於該硬遮罩層230之上,以促進後續的圖案化製程如本技術領域所公知。一圖案化的光阻層可形成於該硬遮罩層230上。所述圖案化的光阻層可包括一閘極圖紋於nMOS裝置206和一閘極圖紋於pMOS裝置208。該些閘極圖紋可藉由光微影製程、浸潤式微影製程、離子束寫入、或其他適合的製程。
繼續進行方法100的製程區塊112,於其中將所述硬遮罩層、矽層、阻障層、high-k介電層圖案化,以形成一第一閘極結構於該第一區域上和一第二閘極結構於該第二區域上。該硬遮罩層可利用圖案化的光阻層做為光罩藉由乾式或濕式蝕刻法而圖案化,並且該圖案化的硬遮罩層可用於圖案化nMOS裝置206的閘極結構240n和pMOS裝置208的閘極結構240p。上述閘極結構240n、240p可藉由乾蝕刻或濕蝕刻或乾式和濕式蝕刻製程的結合(例如閘極蝕刻或圖案化)形成。例如,所述乾蝕刻可使用含氟電漿(例如蝕刻氣體包含CF4 )。另擇一地,所述蝕刻製程刻包括多重蝕刻步驟以蝕刻各種閘極材料層。該圖案化光阻層可藉由剝離(stripping)或灰化製程移除。
所述nMOS裝置206的閘極結構240n包括一硬遮罩層230n、一虛置多晶矽閘極212n、一阻障層(如果有提供)、一閘極介電層210n(包括一介面層和一high-k介電層)。所述pMOS裝置208的閘極結構240p包括一硬遮罩層230p、一虛置多晶矽閘極212p、一阻障層(如果有提供)、一閘極介電層210p(包括一介面層和一high-k介電層)。
應瞭解的是,所述半導體裝置200可進行進一步的製程步驟於CMOS製造流程中,以形成本技術領中所公知的各種構造。例如,將閘極或側壁間隙子242形成於閘極結構240n、240p的側壁上。所述間隙子242可包括一多層組態。於本實施例中,該間隙子242可由氮化矽構成。另擇一地,該間隙子242可由氧化矽、氮氧化矽、碳化矽、氟摻雜矽酸鹽(FSG)、low-k介電材料、上述材料之任意組合、及/或其他適合的材料構成。間隙子242的形成方法可包括適合介電材料的沉積法及非等向性蝕刻上述材料以形成間隙子242的輪廓。一襯墊層可形成於閘極結構240n、240p的側壁上,之後才形成間隙子242。所述襯墊層可包括一介電材料例如氧化矽、氮化矽、及/或他適合的材料。
再者,將源極/汲極(S/D)區域246形成於基底202中。所述源極/汲極區域246可包括輕摻雜源極/汲極區域(LDD)和濃摻雜源極/汲極區域。值得注意的是,該LDD區域可先形成之後才形成間隙子242。所述S/D區域246可藉由植入p-型或n-型摻雜物或雜質於該基底202中,端視所欲的電晶體組態(例如pMOS或nMOS)而定。形成所述S/D區域246的方法可包括黃光微影、離子植入、擴散、及/或其他適合的製程。之後可實施一退火製程以活化該S/D區域246。該退火製程可藉由適合的方法實行,例如快速熱退火(RTA)或雷射退火。更進一步地,該pMOS裝置208的S/D區域246可包括升高的S/D區域246具有SiGe構造248。例如,所述SiGe構造248可藉由一磊晶製程形成使得所述SiGe構造248得以結晶的狀態形成於基底202中。因此,可達成一應變通道於該pMOS裝置208中以增加載子移動率和強化元件效能。
更進一步地,將接觸構造250例如矽化物形成並耦接至S/D區域246。所述接觸構造250可藉由自對準矽化製程(salicide)形成於S/D區域246和SiGe構造248上。例如,可將一金屬材料形成緊貼於矽結構上,接著升高溫度進行退火並導致下層的矽和金屬材料之間產生反應以形成矽化物,以及接著將未反應的金屬蝕離。所述接觸構造250可包括矽化鎳、矽化鈷、矽化鎢、矽化鉭、矽化鈦、矽化鉑、矽化鉺、矽化鈀、或上述材料之任意組合。應注意的是,所述硬遮罩層230n、230p在自對準矽化製程中可分別地保護虛置多晶矽層212n、212p。並且應注意的是,在自對準矽化製程之後,分別在閘極結構240n、240p的硬遮罩層230n、230p並不會移除,以提供較佳的金屬閘極高度控制於“閘極最終”製程,將於下文中討論。
繼續進行方法100的製程區塊114,於其中將一接觸蝕刻終止層(CESL)形成於該第一和第二閘極結構之上。於第2B圖中,一蝕刻終止層例如接觸蝕刻終止層(CESL)260可形成於包括硬遮罩層230n、230p的閘極結構240n、240p上。於本實施例中,所述接觸蝕刻終止層260可由氮化矽所構成。另擇一地,所述CESL 260可選擇性地由氮氧化矽、及/或其他適合的材料構成。所述CESL 260可包括厚度範圍大抵介於100到1000埃()。所述CESL 260的組成的選擇是基於蝕刻選擇率相對於該半導體裝置200的一或多個額外的構造。更有甚者,所述CESL 260可配置成一張應力-CESL或壓應力-CESL,端視電晶體的種類而定。所述CESL 260可藉由電漿輔助化學氣相沉積法(PECVD)、低壓化學氣相沉積法(LPCVD)、ALD、或其他適合的製程。
已觀察到的是,在沉積所述CESL 260的步驟之後,有一些懸掛的CESL材料於nMOS裝置206和pMOS裝置208之間的區域262上。就其本身而論,於區域262上的懸掛的CESL使得後續將屆電材料填入間隙的製程步驟變得更困難且具挑戰性。已觀察到的是,在一些情況下於鄰近的裝置206和208之間的間隙中以形成孔洞。因此,所述孔洞會不利地影響後續的製程並且亦可能導致較差的元件效能。隨著在單一晶片中配置更多的元件裝置的趨勢和鄰近元件間的空間變得更小時,上述觀察結果變得更加嚴重。
繼續進行方法100的製程區塊116,於其中將該CESL的輪廓修飾。於第2C圖中,所述CESL 260可藉由蝕刻步驟修飾,改變CESL 260的外型成為具有坡度的輪廓263。易言之,位於nMOS裝置206和pMOS裝置208之間的間隙藉由修飾CESL的輪廓變寬。於本實施例中,可實施一乾蝕刻製程264以非等向性蝕刻CESL 260,以修飾CESL的輪廓。所述乾蝕刻製程264(例如電漿蝕刻)可使用的蝕刻氣體包括NH3 、NF3 、He或Ar、及HF。所述乾蝕刻製程264可選擇性地蝕刻CESL 260的氮化矽材料。然而,應注意的是,在所述乾蝕刻過程中,該硬遮罩層230n、230p的一部分會被移除。
一般而論,高密度電漿化學氣相沉積法(HDP-CVD)製程可提供高密度的低能量離子,致使在較低的問度下形成較高品質的膜。於HDP-CVD製程中,可同時發生濺鍍和沉積,導致一濺鍍/沉積比,其可根據所望的製程參數調整。所述HDP-CVD製程可包括各種不同的濺鍍/沉積比值。於一些實施例中,所述HDP-CVD製程可包括高濺鍍HDP-CVD製程,其包括低沉積/濺鍍比率。此低沉積/濺鍍比率可選擇自約2到4之間。於一些實施例中,所述HDP-CVD製程可包括低濺鍍HDP-CVD製程,其包括高沉積/濺鍍比率。此高沉積/濺鍍比率可選擇自約5到7之間。應瞭解的是,可調整數種參數以改變濺鍍率及/或沉積/濺鍍比率,包括偏壓、壓力、流速、沉積溫度、晶圓溫度、RF功率、其他適合的參數、及/或上述參數之組合。再者,所述HDP-CVD製程利用任一適合的氣體以形成多重材料層以填入上述間隙。例如,可使用矽烷(SiH4 )、氧(O2 )、氬(Ar)、其他適合的氣體、及/或上述氣體之組合以形成介電層於間隙中。
另擇一地,所述CESL 260的輪廓可選擇性地藉由HDP-CVD製程而修飾。於本實施例中,所述CESL 260的輪廓可由第一輪廓,其具有懸掛位於間隙區域262(第2B圖)之中,修飾成第二輪廓(第2C圖),其具有斜輪廓導致變寬的間隙區域。易言之,位於nMOS裝置206和pMOS裝置208之間的間隙藉由修飾CESL的輪廓變寬。將所述HDP-CVD製程設定以選擇性地蝕刻該CESL 260的氮化矽材料,因此修飾所述輪廓成具有斜坡輪廓263沿著閘極結構240n、240p。值得注意的是,所述HDP-CVD製程以修飾輪廓可包括一高濺鍍HDP-CVD製程。於一些實施例中,此HDP-CVD製程可形成一介電層的薄層於該CESL 260之上。應瞭解的是,在實施HDP-CVD製程中所述硬遮罩層230n、230p的一部分會被移除。
繼續進行方法100的製程區塊118,於其中將一介電層形成於所述修飾的CESL之上。於第2D圖中,一介電層270例如一層間介電層(ILD)可形成覆蓋該些閘極結構240p、240n包括所述修飾的CESL 260。所述介電層270實質地填入位於相鄰元件裝置206和208之間的間隙,並且因此所述間隙可為無孔洞。所述介電層270可藉由HDP-CVD法、旋轉塗佈、濺鍍、或其他適合的方法形成。於一實施例中,所述介電層270包括一HDP介電材料(例如HDP氧化物)。所述HDP-CVD製程269包括一低濺鍍HDP-CVD製程。另擇一地,所述介電層270可選擇性地包括旋佈玻璃(SOG)或高深寬比製程(HARP)介電材料。再者,所述介電層270可包括一介電材料,例如氧化矽、氮化矽、氮氧化矽、旋佈玻璃(SOG)、氟化矽酸鹽玻璃(FSG)、碳摻雜氧化矽(例如SiCOH)、黑鑽石(由美國加州、聖塔克拉拉的應用材料公司的註冊產品)、乾凝膠(Xerogel)、氣膠(Aerogel)、非晶質氟化碳、聚對二甲苯(parylene)、苯環丁烯(BCB)、聚芳香烴醚膜(Flare)、有機芳香烴碳氫聚合物(SiLK由美國密西根州、密地蘭(Midland)的道康寧公司的註冊產品)、聚亞醯胺、其他適合的多孔聚合物材料、其他適合的介電材料、及/或上述材料的任意組合。
繼續進行方法100的製程區塊120,於其中實施一化學機械研磨(CMP)步驟於所述ILD層上。於第2E圖中,於閘極最終製程中,可將所述虛置多晶矽閘極212p、212n移除使得金屬閘極結構可形成以取代虛置多晶矽閘極。有鑑於此,所述介電層270可藉由化學機械研磨(CMP)製程271平坦化,直到抵達並露出各別的nMOS裝置206和pMOS裝置208的虛置多晶矽閘極212n、212p的頂部。例如,所述CMP製程271可研磨該介電層270和硬遮罩層230、230p(二者皆由氧化物構成),以及可停止於氮化矽膜。應注意的是,藉由此CMP製程271可比較容易地控制閘極結構240n、240p的高度。如同先前所述,所述硬遮罩層230、230p於ILD間隙填入時並不會被移除。有鑑於此,相對於pMOS裝置208的閘極結構240p的側壁上的氮化矽膜就不會有損失的問題。亦即,由於硬遮罩層具有不同的厚度因此所述硬遮罩層移除製程僅會移除一元件裝置側的氮化矽膜的一部分。因為所述硬遮罩層移除製程並不實施於ILD間隙填入,所述CMP製程271可露出虛置多晶矽閘極212n、212p而不會過度研磨及降低裝置之一的閘極高度。
繼續進行方法100的製程區塊122,於其中分別地自該第一和第二閘極結構移除半導體層,由此形成一第一和第二溝槽。於第2F圖中,接續所述CMP製程271,將閘極結構240n、240p的虛置多晶矽閘極212n、212p移除。例如,多晶矽被選擇性地蝕刻,藉此移除虛置多晶矽閘極212n、212p。所述選擇性地移除虛置多晶矽閘極212n、212p分別地提供溝槽272n、272p,位於其中可進一步地形成金屬閘極。所述虛置多晶矽閘極212n、212p可藉由濕蝕刻法及/或乾蝕刻法移除。於一實施例中,一濕蝕刻製程包括暴露於含氫氧化物溶液(例如氫氧化銨)、去離子水、及/或其他適合的蝕刻劑溶液。
繼續進行方法100的製程區塊124,於其中形成第一金屬層以實質地填入該第一構槽。於第2G圖中,沉積第一金屬層274以填入第一構槽272p。所述金屬層274可包括任一金屬材料適合用於形成金屬閘極或其一部分,包括功函數層、襯墊層、介面層、晶種層、黏結層、阻障層等。所述金屬層274可藉由PVD、CVD、或其他適合的製程形成。所述金屬層274可包括一P-型功函數金屬(簡稱P-金屬),以提供一閘極電極,於pMOS裝置208中適當地運作。P-型功函數金屬包括TiN、WN、TaN、導電金屬氧化物、及/或其他適合的材料。所述金屬層274可更包括一填入金屬層形成於該功函數金屬層上。此填入金屬層可包括鋁(Al)、鎢(W)、或其他適合的材料。於一實施例中,此填入金屬層包括一Ti層,其功能做為潤濕層,以及一Al層填入溝槽的剩餘部份。所述填入金屬層可使用CVD、PVD、電鍍、或其他適合的製程沉積。可實施一CMP製程以平坦化該半導體裝置200。所述CMP製程可移除溝槽272p外面的金屬層274以及提供一P-金屬閘極結構於半導體裝置200。
繼續進行方法100的製程區塊126,於其中形成第二金屬層以實質地填入該第二構槽。於第2H圖中,沉積第二金屬層278以填入第二構槽272n。所述金屬層278可包括任一金屬材料適合用於形成金屬閘極或其一部分,包括功函數層、襯墊層、介面層、晶種層、黏結層、阻障層等。所述金屬層278可藉由PVD、CVD、或其他適合的製程形成。所述金屬層278可包括一N-型功函數金屬(簡稱N-金屬),以提供一閘極電極,於nMOS裝置206中適當地運作。N-型功函數金屬可包括的組成例如TiAl、TiAlN、其他鋁化物、及/或其他適合的材料。所述金屬層278可更包括一填入金屬層形成於該功函數金屬層上。此填入金屬層可包括鋁(Al)、鎢(W)、或其他適合的材料。於一實施例中,此填入金屬層包括一Ti層,其功能做為潤濕層,以及一Al層填入溝槽的剩餘部份。所述填入金屬層可使用CVD、PVD、電鍍、或其他適合的製程沉積。可實施一CMP製程以平坦化該半導體裝置200。所述CMP製程可移除溝槽272n外面的金屬層278以及提供一N-金屬閘極結構於半導體裝置200。值得注意的是,於一些實施例中,所述N-金屬可先形成接著再形成P-金屬。再者,應瞭解的是,於形成nMOS裝置206和pMOS裝置208的金屬閘極的過程中,可實施N/P圖案化步驟以將一種型態的裝置與另一種分隔,反之亦然。
於一些實施例中,可繼續進行方法100包括其他額外的製程步驟,例如形成接觸、內連線結構(例如導線和導通孔、金屬層、及層間介電層其提供電性連接至已形成金屬閘極的裝置)、保護層等。例如,一多層內連線包括垂直式內連線,例如傳統的導通孔或接觸,以及水平式內連線,例如金屬線。各種不同的內連線構造可藉由各種不同的導電材料實現,包括銅、鎢、及矽化物。於一範例中,可使用一鑲嵌製程以形成銅相關的多重內連線結構。
綜上所述,可藉由實行一閘極最終製程以形成金屬閘極結構。於閘極最終製程中,形成nMOS和pMOS裝置的金屬閘極結構所衍生的問題可藉由塑造CESL層的而解決,以避免在ILD間隙填入的過程中形成孔洞,以及藉由留下硬遮罩層以較佳地控制閘極高度在ILD CMP製程中。在此所揭露的方法可輕易地整合於CMOS製造流程中,且無需增加額外的複雜製程步驟以達成所望的結果。應瞭解的是,在此所揭露的眾實施例提供不同的優點,且沒有一種優點是必需存在於所有的實施例中。
有鑑於此,本揭露提供一種裝置和方法,其包括修飾過的溝槽結構,其避免或降低於閘極最終製程中不完全形成金屬閘極的風險。
本發明雖以各種實施例揭露如上,然其並非用以限定本發明的範圍,任何所屬技術領域中具有通常知識者,在不脫離本發明之精神和範圍內,當可做些許的更動與潤飾。例如,雖然本揭露的方法是以“閘極最終”方法實施,在此所揭露的方法以可使用複合的方法,於其中一種型式的金屬閘極為利用“閘極最先”製程流程形成且另一種型式的金屬閘極為利用“閘極最終”製程流程形成。因此,本發明之保護範圍當視後附之申請專利範圍所界定者為準。
100...製造方法
102-126...製程步驟區塊
200...半導體裝置
202...基底
204...淺溝槽隔離(STI)構造
206...nMOS裝置
208...pMOS裝置
210n、210p...閘極介電層
212n、212p...多晶矽(poly)層
230n、230p...硬遮罩層
240n、240p...閘極結構
242...側壁間隙子
246...源極/汲極(S/D)區域
248...SiGe構造
250...接觸構造
260...接觸蝕刻終止層(CESL)
262...nMOS裝置和pMOS裝置之間的區域
263...具有坡度的輪廓
264...乾蝕刻製程
269...HDP-CVD製程
270...層間介電層
262...化學機械研磨(CMP)製程
272p...第一構槽
272n...第二構槽
274...第一金屬層
278...第二金屬層
第1圖顯示根據本揭露之態樣的製造方法100的流程圖以製造半導體裝置於“閘極最終”製程中的流程示意圖;以及
第2A~2H圖顯示根據第1圖的方法100於所述閘極最終製程中各製程階段的半導體裝置200的剖面示意圖。
200...半導體裝置
202...基底
204...淺溝槽隔離(STI)構造
206...nMOS裝置
208...pMOS裝置
210n、210p...閘極介電層
212n、212p...多晶矽(poly)層
230n、230p‧‧‧硬遮罩層
240n、240p‧‧‧閘極結構
260‧‧‧接觸蝕刻終止層(CESL)
263‧‧‧具有坡度的輪廓
264‧‧‧乾蝕刻製程

Claims (19)

  1. 一種製造半導體裝置的方法,包括:提供一半導體基底,其具有一第一區域和一第二區域;形成一高介電常數介電層於該半導體基底之上;形成一矽層該高介電常數介電層之上;形成一硬遮罩層於該矽層之上;圖案化該硬遮罩層、該矽層、該高介電常數介電層以形成一第一閘極結構於該第一區域上和一第二閘極結構於該第二區域上;形成一接觸蝕刻終止層於該第一和該第二閘極結構之上;修飾該接觸蝕刻終止層的一輪廓,使得在該第一和第二閘極結構所測得的間隙變得更大;形成一層間介電層於修飾後的該接觸蝕刻終止層之上;實施一化學機械研磨以分別地露出該第一和第二閘極結構的該矽層;以及分別地自該第一和第二閘極結構移除該矽層,並將其取代以金屬閘極結構。
  2. 如申請專利範圍第1項所述之製造半導體裝置的方法,其中形成該接觸蝕刻終止層的步驟包括形成該接觸終止層使得在該第一和第二閘極結構所測得的間隙具有一第一尺寸,以及其中修飾該接觸蝕刻終止層的步驟包括修飾該接觸蝕刻終止層使得在該第一和第二閘極結 構所測得的間隙具有一第二尺寸。
  3. 如申請專利範圍第2項所述之製造半導體裝置的方法,其中該修飾該接觸蝕刻終止層的該輪廓包括乾蝕刻該接觸蝕刻終止層。
  4. 如申請專利範圍第2項所述之製造半導體裝置的方法,其中形成該層間介電層包括實質上地以氧化矽填入該間隙。
  5. 如申請專利範圍第1項所述之製造半導體裝置的方法,其中該硬遮罩層包括氧化矽。
  6. 如申請專利範圍第1項所述之製造半導體裝置的方法,其中該化學機械研磨分別地自移除該自該第一和第二閘極結構移除該硬遮罩層。
  7. 一種製造半導體裝置的方法,包括:提供一半導體基底,其具有一第一區域和一第二區域;形成一第一閘極結構於該第一區域之上和一第二閘極結構於該第二區域之上,各個該第一和第二閘極結構包括一高介電常數介電層、一虛置多晶矽閘極以及一硬遮罩;形成一接觸蝕刻終止層分別於該第一和該第二閘極結構之上,包括該第一和該第二閘極結構的該硬遮罩;修飾該接觸蝕刻終止層的一輪廓使得在該第一和第二閘極結構所測得的間隙變得更大;形成一層間介電層於修飾後的該接觸蝕刻終止層之上,實質上地填入該間隙; 實施一化學機械研磨於該層間介電層,以分別地從該第一和第二閘極結構移除該些硬遮罩,及分別地露出該第一和第二閘極結構的該虛置多晶矽閘極;以及分別地自該第一和第二閘極結構移除該虛置多晶矽閘極,並將其取代以金屬閘極結構。
  8. 如申請專利範圍第7項所述之製造半導體裝置的方法,其中各個該第一和第二閘極結構更包括由氮化矽所形成的側壁間隙子。
  9. 如申請專利範圍第8項所述之製造半導體裝置的方法,其中該化學機械研磨實質地終止於該些由氮化矽所形成的側壁間隙子。
  10. 如申請專利範圍第7項所述之製造半導體裝置的方法,其中修飾該接觸蝕刻終止層的該輪廓包括實施一乾蝕刻製程。
  11. 如申請專利範圍第7項所述之製造半導體裝置的方法,其中修飾該接觸蝕刻終止層的該輪廓包括實施一高密度電漿化學氣相沉積製程。
  12. 一種製造半導體裝置的方法,包括:提供一半導體基底;形成多個閘極結構於該半導體基底之上;形成一蝕刻終止層於該半導體基底之上,包括於該些閘極結構之上;修飾該蝕刻終止層的一輪廓,使得該些閘極結構所測得的間隙變得更大;形成一介電層於修飾後的該蝕刻終止層之上;以及 實施一化學機械研磨製程於該介電層上直到達到所述一或多個閘極結構的一頂部。
  13. 如申請專利範圍第12項所述之製造半導體裝置的方法,其中形成該蝕刻終止層包括形成一接觸蝕刻終止層。
  14. 如申請專利範圍第12項所述之製造半導體裝置的方法,其中修飾該接觸蝕刻終止層的該輪廓包括實施一高密度電漿化學氣相沉積製程。
  15. 如申請專利範圍第14項所述之製造半導體裝置的方法,其中實施該高密度電漿化學氣相沉積製程包括使用一高濺鍍高密度電漿化學氣相沉積製程。
  16. 如申請專利範圍第12項所述之製造半導體裝置的方法,其中形成該介電層於修飾後的該蝕刻終止層之上包括實施一高密度電漿化學氣相沉積製程。
  17. 如申請專利範圍第16項所述之製造半導體裝置的方法,其中實施該高密度電漿化學氣相沉積製程包括使用一高濺鍍高密度電漿化學氣相沉積製程。
  18. 如申請專利範圍第12項所述之製造半導體裝置的方法,更包括:自所述一或多個閘極結構移除一虛置多晶矽閘極;將位於一第一組的一或多個閘極結構中被移除的虛置閘極層取代以具有一第一型功函數的一第一材料;以及將位於一第二組的一或多個閘極結構中被移除的虛置閘極層取代以具有一第二型功函數的一第二材料。
  19. 如申請專利範圍第12項所述之製造半導體裝置的方法,其中實施該化學機械研磨製程更包括移除設置於所述一或多個閘極結構之上的一硬遮罩層。
TW098134597A 2008-11-03 2009-10-13 製造半導體裝置的方法 TWI489589B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US11083108P 2008-11-03 2008-11-03
US12/487,894 US7923321B2 (en) 2008-11-03 2009-06-19 Method for gap filling in a gate last process

Publications (2)

Publication Number Publication Date
TW201019418A TW201019418A (en) 2010-05-16
TWI489589B true TWI489589B (zh) 2015-06-21

Family

ID=42131939

Family Applications (1)

Application Number Title Priority Date Filing Date
TW098134597A TWI489589B (zh) 2008-11-03 2009-10-13 製造半導體裝置的方法

Country Status (3)

Country Link
US (1) US7923321B2 (zh)
CN (1) CN101728330B (zh)
TW (1) TWI489589B (zh)

Families Citing this family (73)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP5003515B2 (ja) 2007-03-20 2012-08-15 ソニー株式会社 半導体装置
US7923373B2 (en) 2007-06-04 2011-04-12 Micron Technology, Inc. Pitch multiplication using self-assembling materials
US7682924B2 (en) * 2007-08-13 2010-03-23 Micron Technology, Inc. Methods of forming a plurality of capacitors
US8388851B2 (en) 2008-01-08 2013-03-05 Micron Technology, Inc. Capacitor forming methods
US8957482B2 (en) 2009-03-31 2015-02-17 Taiwan Semiconductor Manufacturing Company, Ltd. Electrical fuse and related applications
US8912602B2 (en) 2009-04-14 2014-12-16 Taiwan Semiconductor Manufacturing Company, Ltd. FinFETs and methods for forming the same
US8461015B2 (en) 2009-07-08 2013-06-11 Taiwan Semiconductor Manufacturing Company, Ltd. STI structure and method of forming bottom void in same
US8472227B2 (en) 2010-01-27 2013-06-25 Taiwan Semiconductor Manufacturing Company, Ltd. Integrated circuits and methods for forming the same
US9484462B2 (en) 2009-09-24 2016-11-01 Taiwan Semiconductor Manufacturing Company, Ltd. Fin structure of fin field effect transistor
US8482073B2 (en) 2010-03-25 2013-07-09 Taiwan Semiconductor Manufacturing Company, Ltd. Integrated circuit including FINFETs and methods for forming the same
US8759943B2 (en) 2010-10-08 2014-06-24 Taiwan Semiconductor Manufacturing Company, Ltd. Transistor having notched fin structure and method of making the same
US8980719B2 (en) 2010-04-28 2015-03-17 Taiwan Semiconductor Manufacturing Company, Ltd. Methods for doping fin field-effect transistors
US8629478B2 (en) 2009-07-31 2014-01-14 Taiwan Semiconductor Manufacturing Company, Ltd. Fin structure for high mobility multiple-gate transistor
US8623728B2 (en) 2009-07-28 2014-01-07 Taiwan Semiconductor Manufacturing Company, Ltd. Method for forming high germanium concentration SiGe stressor
US8440517B2 (en) 2010-10-13 2013-05-14 Taiwan Semiconductor Manufacturing Company, Ltd. FinFET and method of fabricating the same
US8298925B2 (en) 2010-11-08 2012-10-30 Taiwan Semiconductor Manufacturing Company, Ltd. Mechanisms for forming ultra shallow junction
US8497528B2 (en) 2010-05-06 2013-07-30 Taiwan Semiconductor Manufacturing Company, Ltd. Method for fabricating a strained structure
US8264032B2 (en) 2009-09-01 2012-09-11 Taiwan Semiconductor Manufacturing Company, Ltd. Accumulation type FinFET, circuits and fabrication method thereof
US8445974B2 (en) 2010-01-07 2013-05-21 International Business Machines Corporation Asymmetric FET including sloped threshold voltage adjusting material layer and method of fabricating same
US9040393B2 (en) 2010-01-14 2015-05-26 Taiwan Semiconductor Manufacturing Company, Ltd. Method of forming semiconductor structure
US8048810B2 (en) * 2010-01-29 2011-11-01 Taiwan Semiconductor Manufacturing Company, Ltd. Method for metal gate N/P patterning
JP4982582B2 (ja) * 2010-03-31 2012-07-25 株式会社東芝 マスクの製造方法
US8278196B2 (en) 2010-07-21 2012-10-02 Taiwan Semiconductor Manufacturing Company, Ltd. High surface dopant concentration semiconductor device and method of fabricating
US8450216B2 (en) * 2010-08-03 2013-05-28 Taiwan Semiconductor Manufacturing Company, Ltd. Contact etch stop layers of a field effect transistor
US8518788B2 (en) 2010-08-11 2013-08-27 Micron Technology, Inc. Methods of forming a plurality of capacitors
CN102386089B (zh) * 2010-09-03 2013-06-12 中芯国际集成电路制造(上海)有限公司 制备半导体器件结构的方法
CN102386085A (zh) * 2010-09-06 2012-03-21 中国科学院微电子研究所 一种用于后栅工艺的平坦化方法及其器件结构
KR101815527B1 (ko) * 2010-10-07 2018-01-05 삼성전자주식회사 반도체 소자 및 그 제조 방법
US8603924B2 (en) 2010-10-19 2013-12-10 Taiwan Semiconductor Manufacturing Company, Ltd. Methods of forming gate dielectric material
US8769446B2 (en) 2010-11-12 2014-07-01 Taiwan Semiconductor Manufacturing Company, Ltd. Method and device for increasing fin device density for unaligned fins
CN102543739A (zh) * 2010-12-22 2012-07-04 中芯国际集成电路制造(上海)有限公司 制作半导体器件的方法
CN102543872B (zh) * 2010-12-24 2014-03-19 中芯国际集成电路制造(上海)有限公司 制作半导体器件的方法
CN102569049B (zh) * 2010-12-28 2014-03-19 中芯国际集成电路制造(上海)有限公司 一种金属栅极的制作方法
US8592915B2 (en) 2011-01-25 2013-11-26 Taiwan Semiconductor Manufacturing Company, Ltd. Doped oxide for shallow trench isolation (STI)
US8877602B2 (en) 2011-01-25 2014-11-04 Taiwan Semiconductor Manufacturing Company, Ltd. Mechanisms of doping oxide for forming shallow trench isolation
CN102637586B (zh) * 2011-02-12 2015-04-29 中芯国际集成电路制造(上海)有限公司 金属栅极的形成方法
US8431453B2 (en) * 2011-03-31 2013-04-30 Taiwan Semiconductor Manufacturing Company, Ltd. Plasma doping to reduce dielectric loss during removal of dummy layers in a gate structure
US8952458B2 (en) * 2011-04-14 2015-02-10 Taiwan Semiconductor Manufacturing Company, Ltd. Gate dielectric layer having interfacial layer and high-K dielectric over the interfacial layer
US8530980B2 (en) 2011-04-27 2013-09-10 United Microelectronics Corp. Gate stack structure with etch stop layer and manufacturing process thereof
CN102789986B (zh) * 2011-05-20 2015-03-04 中芯国际集成电路制造(上海)有限公司 半导体器件及其制造方法
US8513128B2 (en) * 2011-06-17 2013-08-20 United Microelectronics Corp. Poly opening polish process
US9755039B2 (en) * 2011-07-28 2017-09-05 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device having a metal gate electrode stack
CN102903621B (zh) * 2011-07-29 2016-02-17 中国科学院微电子研究所 半导体器件的制造方法
FR2979166A1 (fr) * 2011-08-16 2013-02-22 St Microelectronics Crolles 2 Procede de fabrication d'un transistor mos
US9076680B2 (en) 2011-10-18 2015-07-07 Micron Technology, Inc. Integrated circuitry, methods of forming capacitors, and methods of forming integrated circuitry comprising an array of capacitors and circuitry peripheral to the array
US8975179B2 (en) 2011-10-18 2015-03-10 Taiwan Semiconductor Manufacturing Company, Ltd. Planarization process for semiconductor device fabrication
US8673755B2 (en) * 2011-10-27 2014-03-18 United Microelectronics Corp. Semiconductor device having metal gate and manufacturing method thereof
US8658487B2 (en) * 2011-11-17 2014-02-25 United Microelectronics Corp. Semiconductor device and fabrication method thereof
US8946043B2 (en) 2011-12-21 2015-02-03 Micron Technology, Inc. Methods of forming capacitors
US8598028B2 (en) * 2011-12-22 2013-12-03 Taiwan Semiconductor Manufacturing Company, Ltd. Gate height loss improvement for a transistor
US9034701B2 (en) * 2012-01-20 2015-05-19 International Business Machines Corporation Semiconductor device with a low-k spacer and method of forming the same
US8748302B2 (en) * 2012-02-21 2014-06-10 Globalfoundries Inc. Replacement gate approach for high-k metal gate stacks by using a multi-layer contact level
KR101884204B1 (ko) 2012-03-08 2018-08-01 삼성전자주식회사 반도체 장치의 제조 방법
CN103377892B (zh) * 2012-04-13 2017-05-10 中芯国际集成电路制造(上海)有限公司 半导体器件制造方法
US8741723B2 (en) * 2012-04-25 2014-06-03 Globalfoundries Inc. Methods of forming self-aligned contacts for a semiconductor device
US8772102B2 (en) 2012-04-25 2014-07-08 Globalfoundries Inc. Methods of forming self-aligned contacts for a semiconductor device formed using replacement gate techniques
CN103456614A (zh) * 2012-06-04 2013-12-18 中芯国际集成电路制造(上海)有限公司 一种采用高k金属栅的半导体器件的制造方法
US8836049B2 (en) 2012-06-13 2014-09-16 United Microelectronics Corp. Semiconductor structure and process thereof
US8652926B1 (en) 2012-07-26 2014-02-18 Micron Technology, Inc. Methods of forming capacitors
US8803249B2 (en) * 2012-08-09 2014-08-12 Taiwan Semiconductor Manufacturing Co., Ltd. Profile pre-shaping for replacement poly gate interlayer dielectric
CN102810467A (zh) * 2012-08-16 2012-12-05 上海华力微电子有限公司 金属栅极形成方法
US9385044B2 (en) * 2012-12-31 2016-07-05 Texas Instruments Incorporated Replacement gate process
KR101876305B1 (ko) 2013-01-04 2018-07-11 삼성전자주식회사 반도체 장치 및 그의 형성방법
TWI571913B (zh) * 2013-02-19 2017-02-21 聯華電子股份有限公司 半導體製程
US9240459B2 (en) 2013-02-22 2016-01-19 United Microelectronics Corp. Semiconductor process
US8872244B1 (en) 2013-04-18 2014-10-28 International Business Machines Corporation Contact structure employing a self-aligned gate cap
US9397177B2 (en) 2013-11-25 2016-07-19 Globalfoundries Inc. Variable length multi-channel replacement metal gate including silicon hard mask
US9324623B1 (en) 2014-11-26 2016-04-26 Samsung Electronics Co., Ltd. Method of manufacturing semiconductor device having active fins
KR102306674B1 (ko) 2015-03-17 2021-09-29 삼성전자주식회사 반도체 소자 및 그 제조방법
KR102505242B1 (ko) * 2015-07-21 2023-03-06 삼성전자주식회사 반도체 장치 및 그 제조 방법
US11152214B2 (en) * 2016-04-20 2021-10-19 International Business Machines Corporation Structures and methods for equivalent oxide thickness scaling on silicon germanium channel or III-V channel of semiconductor device
CN107958840A (zh) * 2016-10-14 2018-04-24 联芯集成电路制造(厦门)有限公司 半导体装置的制作工艺
US11222811B2 (en) * 2019-12-09 2022-01-11 Nanya Technology Corporation Semiconductor device structure with air gap and method for forming the same

Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TW466606B (en) * 2000-04-20 2001-12-01 United Microelectronics Corp Manufacturing method for dual metal gate electrode
US6921711B2 (en) * 2003-09-09 2005-07-26 International Business Machines Corporation Method for forming metal replacement gate of high performance
TW200531169A (en) * 2004-03-12 2005-09-16 Tokyo Shibaura Electric Co Semiconductor device and manufacturing method therefor
TW200826169A (en) * 2006-10-16 2008-06-16 Sony Corp Semiconductor device and method for manufacturing same
TW201010008A (en) * 2008-08-26 2010-03-01 United Microelectronics Corp Metal gate transistor and method for fabricating the same

Family Cites Families (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100434110B1 (ko) * 2002-06-04 2004-06-04 삼성전자주식회사 반도체 장치의 제조방법
DE102004052577B4 (de) * 2004-10-29 2010-08-12 Advanced Micro Devices, Inc., Sunnyvale Verfahren zur Herstellung einer dielektrischen Ätzstoppschicht über einer Struktur, die Leitungen mit kleinem Abstand enthält
US20080093682A1 (en) * 2006-10-18 2008-04-24 Liang-Gi Yao Polysilicon levels for silicided structures including MOSFET gate electrodes and 3D devices
US7812414B2 (en) * 2007-01-23 2010-10-12 Taiwan Semiconductor Manufacturing Company, Ltd. Hybrid process for forming metal gates
US7977751B2 (en) * 2007-02-06 2011-07-12 Sony Corporation Insulated gate field effect transistor and a method of manufacturing the same
JP2009016706A (ja) * 2007-07-09 2009-01-22 Sony Corp 半導体装置およびその製造方法
US7888195B2 (en) * 2008-08-26 2011-02-15 United Microelectronics Corp. Metal gate transistor and method for fabricating the same
US8084824B2 (en) * 2008-09-11 2011-12-27 United Microelectronics Corp. Metal gate transistor and method for fabricating the same
US8039381B2 (en) * 2008-09-12 2011-10-18 Taiwan Semiconductor Manufacturing Company, Ltd. Photoresist etch back method for gate last process
US7977181B2 (en) * 2008-10-06 2011-07-12 Taiwan Semiconductor Manufacturing Company, Ltd. Method for gate height control in a gate last process

Patent Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TW466606B (en) * 2000-04-20 2001-12-01 United Microelectronics Corp Manufacturing method for dual metal gate electrode
US6921711B2 (en) * 2003-09-09 2005-07-26 International Business Machines Corporation Method for forming metal replacement gate of high performance
TW200531169A (en) * 2004-03-12 2005-09-16 Tokyo Shibaura Electric Co Semiconductor device and manufacturing method therefor
TW200826169A (en) * 2006-10-16 2008-06-16 Sony Corp Semiconductor device and method for manufacturing same
TW201010008A (en) * 2008-08-26 2010-03-01 United Microelectronics Corp Metal gate transistor and method for fabricating the same

Also Published As

Publication number Publication date
CN101728330A (zh) 2010-06-09
TW201019418A (en) 2010-05-16
US7923321B2 (en) 2011-04-12
US20100112798A1 (en) 2010-05-06
CN101728330B (zh) 2012-05-30

Similar Documents

Publication Publication Date Title
TWI489589B (zh) 製造半導體裝置的方法
US10943925B2 (en) Method of forming FinFET channel and structures thereof
US7977181B2 (en) Method for gate height control in a gate last process
US8093116B2 (en) Method for N/P patterning in a gate last process
US7939392B2 (en) Method for gate height control in a gate last process
US8658525B2 (en) Methods for a gate replacement process
US9704970B2 (en) Semiconductor device and fabricating method thereof
US7985690B2 (en) Method for a gate last process
US8278196B2 (en) High surface dopant concentration semiconductor device and method of fabricating
US8008145B2 (en) High-K metal gate structure fabrication method including hard mask
US8202776B2 (en) Method for protecting a gate structure during contact formation
TWI462187B (zh) 半導體元件及其製造方法
TWI393220B (zh) 半導體元件之製法
US9177870B2 (en) Enhanced gate replacement process for high-K metal gate technology
US9337110B2 (en) Semiconductor device having metal gate electrode and method of fabrication thereof
US8969922B2 (en) Field effect transistors and method of forming the same
KR20150095171A (ko) 다양한 폭을 갖는 게이트 구조 및 그 형성 방법