CN103456614A - 一种采用高k金属栅的半导体器件的制造方法 - Google Patents

一种采用高k金属栅的半导体器件的制造方法 Download PDF

Info

Publication number
CN103456614A
CN103456614A CN2012101815797A CN201210181579A CN103456614A CN 103456614 A CN103456614 A CN 103456614A CN 2012101815797 A CN2012101815797 A CN 2012101815797A CN 201210181579 A CN201210181579 A CN 201210181579A CN 103456614 A CN103456614 A CN 103456614A
Authority
CN
China
Prior art keywords
layer
barrier layer
semiconductor device
substrate
thickness
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
CN2012101815797A
Other languages
English (en)
Inventor
平延磊
鲍宇
王小娜
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Semiconductor Manufacturing International Shanghai Corp
Semiconductor Manufacturing International Corp
Original Assignee
Semiconductor Manufacturing International Shanghai Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Semiconductor Manufacturing International Shanghai Corp filed Critical Semiconductor Manufacturing International Shanghai Corp
Priority to CN2012101815797A priority Critical patent/CN103456614A/zh
Publication of CN103456614A publication Critical patent/CN103456614A/zh
Pending legal-status Critical Current

Links

Images

Landscapes

  • Electrodes Of Semiconductors (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)

Abstract

本发明公开了一种采用高K金属栅的半导体器件的制造方法,包括步骤:提供一衬底;在所述衬底上形成栅堆栈层,包括依次层叠的高K介电层、TiN覆盖层、多晶硅层,以及位于所述TiN覆盖层和多晶硅层之间的阻挡层;蚀刻所述栅堆栈层以在所述衬底上形成虚设栅极结构;在所述衬底中形成源漏极;去除所述虚设栅极结构的所述多晶硅层;在所述阻挡层形成金属栅极。发明可以解决半导体制造过程不能很好地控制门限电压的技术问题。在制造NMOS和PMOS时,可以分别对阻挡层的厚度作出选择来控制门限电压。

Description

一种采用高K金属栅的半导体器件的制造方法
技术领域
本发明是涉及一种半导体制造技术领域,更确切的说,本发明涉及一种采用高K金属栅极工艺的半导体制造方法。
背景技术
高K金属栅极工艺(HKMG)通过采用铪(Hf)作为高K材料来制造半导体,其取代了原有的硅基氧化物,可以使得栅极电容增大,漏电电流得以控制。即它能在显著降低漏电量的同时,保持高电容来实现晶体管的高性能。
在采用高K金属栅极工艺的元件的虚设栅极形成过程中,在高K材料层上沉积的TiN膜会和多晶硅发生反应生成TiON或TiO2,如图1中101所示,在随后进行的干刻蚀或湿刻蚀步骤中很难将之去除。而TiON或TiO2层的存在会对半导体元件的门限电压(Vt)产生极大的影响。
但是目前的高K金属栅工艺中没有方法来克服上述问题。
发明内容
鉴于以上问题,本发明提供一种采用高K金属栅极工艺的半导体制造方法,特别是用于Gate First的制造过程中,避免TiN与多晶硅发生反应产生TiON或TiO2,从而能够对半导体元件的门限电压进行较好地控制。
本发明包括以下步骤:提供一衬底;在所述衬底上形成栅堆栈层,包括依次层叠的高K介电层、TiN覆盖层、多晶硅层,以及位于所述TiN覆盖层和多晶硅层之间的阻挡层;蚀刻所述栅堆栈层以在所述衬底上形成虚设栅极结构;在所述衬底中形成源漏极;去除所述虚设栅极结构的所述多晶硅层;在所述阻挡层形成金属栅极。
进一步,所述阻挡层为TaN或AlN层。
进一步,所述阻挡层具有10-50埃的厚度。
进一步,还包括在所述衬底和所述栅堆栈层之间形成界面层。
进一步,所述界面层为氧化硅。
进一步,所述半导体器件为PMOS,所述阻挡层的厚度为10-30埃。
进一步,所述半导体器件为NMOS,所述阻挡层的厚度为5-20埃。
进一步,其中e)步骤去除虚设栅极结构中的多晶硅层使用的气体包括HBr、02、Ar。
进一步,其中f)步骤中形成的金属栅极包括依次层叠的功函数金属层、阻挡层和导电层。
进一步,所述半导体器件为PMOS,其中功函数金属层包括一层或多层TiN、TaN、Ta或其组合。
进一步,所述半导体器件为NMOS,其中功函数金属层包括一层或多层TiAl、Ti和Al、TiN和Al或其组合。
进一步,其中功函数金属层具有10-200埃的厚度。
进一步,其中功函数金属层的形成方法是ALD或PVD或CVD。
进一步,其中金属栅极的所述阻挡层选自TaN、TiN、Ta及其组合。
进一步,其中金属栅极的所述阻挡层具有10-100埃的厚度。
进一步,其中金属栅极的所述阻挡层的形成方法是ALD或PVD。
进一步,其中所述导电层包括Al。
进一步,其中所述导电层的形成方法是CVD或PVD。
进一步,还包括在氮气中对所述金属栅极进行退火的步骤,其中退火的温度是300-500摄氏度,时间是10-60分钟。
本发明可以解决半导体制造过程不能很好地控制门限电压的技术问题。在制造NMOS和PMOS时,可以分别对阻挡层的厚度作出选择来控制门限电压。
附图说明
图1是采用现有高K金属栅极工艺所形成半导体栅极的结构示意图;
图2A-2G是本发明各个工艺步骤的器件剖面图。
具体实施方式
在下文的描述中,给出了大量具体的细节以便提供对本发明更为彻底的理解。然而,对于本领域技术人员而言显而易见的是,本发明可以无需一个或多个这些细节而得以实施。在其他的例子中,为了避免与本发明发生混淆,对于本领域公知的一些技术特征未进行描述。为了彻底理解本发明,将在下列的描述中提出详细的步骤,以便阐释本发明提出的高K金属栅极工艺的半导体制造方法。显然,本发明的施行并不限定于半导体领域的技术人员所熟习的特殊细节。本发明的较佳实施例详细描述如下,然而除了这些详细描述外,本发明还可以具有其他实施方式。
应当理解的是,当在本说明书中使用术语“包含”和/或“包括”时,其指明存在所述特征、整体、步骤、操作、元件和/或组件,但不排除存在或附加一个或多个其他特征、整体、步骤、操作、元件、组件和/或它们的组合接下来,将结合附图更加完整地描述本发明。
首先,如图2A所示,提供一衬底200。所述衬底可以为以下所提到的材料中的至少一种:硅、绝缘体上硅(SOI)、绝缘体上层叠硅(SSOI)、绝缘体上层叠锗化硅(S-SiGeOI)以及绝缘体上锗化硅(SiGeOI)等。在所述衬底中可以形成有掺杂区域和/或隔离结构,所述隔离结构为浅沟槽隔离(STI)结构或者局部氧化硅(LOCOS)隔离结构。在本发明的实施例中,所述衬底可以是Si衬底,其还可以包括在Si上的SiO2界面层210,通过快速热氧化工艺(RTO)或原子层沉积工艺(ALD)来形成SiO2界面层。然后在该衬底上形成栅极介电层201,可以选用高K材料来形成所述栅极介电层,例如用在Hf02中引入Si、Al、N、La、Ta等元素并优化各元素的比率来得到的高K材料等。所述形成栅极介电层的方法可以是物理气相沉积工艺或原子层沉积工艺。在本发明的实施例中,在所述SiO2界面层上形成HfAION栅极介电层,其厚度为15到60埃。之后,在栅极介电层201上形成栅极堆栈结构的TiN覆盖层202,然后在TiN层202上沉积扩散阻挡层203,可以是TaN层或AlN层。所述沉积扩散阻挡层的方法可以是原子层沉积法(ALD),物理气相沉积法(PVD),化学气相沉积法(CVD)等方法。在本发明的一个实施例中,在UHV/CVD反应腔中进行所述TaN层或AlN层203的沉积,所选择的工艺条件包括压强为1-100乇,温度为500-1000摄氏度。所沉积的TaN层或AlN层203具有10-50埃的厚度。之后在扩散阻挡层203上沉积包括多晶硅材料的栅极电极层204。在本发明的一个实施例中,使用低压化学气相淀积(LPCVD)工艺来形成多晶硅的栅极电极层,其的工艺条件包括:反应气体为硅烷(SiH4),所述硅烷的流量范围为100~200立方厘米/分钟(sccm),如150sccm;反应腔内温度范围为700~750摄氏度;反应腔内压力为250~350毫毫米汞柱(mTorr),如300mTorr;所述反应气体中还包括缓冲气体,所述缓冲气体可为氦气(He)或氮气,所述氦气和氮气的流量范围为5~20升/分钟(slm),如8slm、10slm或15slm。
然后,如图2B所示,进行形成栅极的步骤。可以使用光刻工艺对以上步骤所形成的SiO2界面层210,栅极介电层201.TiN层202和TaN层或AlN层203、栅极电极层204进行图案化处理。所形成的栅极具有堆栈的结构。
然后,如图2C所示,进行形成偏移侧墙(offset spacer)211的步骤。偏移侧墙的材料可以是氮化硅,氧化硅或者氮氧化硅等绝缘材料。偏移侧墙可以提高形成的晶体管的沟道长度,减小短沟道效应和由于短沟道效应引起的热载流子效应。形成偏移侧墙的工艺可以是化学气相沉积。在一个实施例中所形成的偏移侧墙的厚度可以小到80埃。
接着,形成轻掺杂源极/漏极(LDD)于栅极结构任一侧的衬底中。所述形成LDD的方法可以是离子注入工艺或扩散工艺。所述LDD注入的离子类型根据将要形成的半导体器件的电性决定,即形成的器件为NMOS器件,则LDD注入工艺中掺入的杂质离子为磷、砷、锑、铋中的一种或组合;若形成的器件为PMOS器件,则注入的杂质离子为硼。根据所需的杂质离子的浓度,离子注入工艺可以一步或多步完成。
然后,在衬底200和上述步骤所形成的偏移侧墙上形成间隙壁(Spacer)212,可以使用氮化硅、碳化硅、氮氧化硅或其组合的材料。可以在衬底上沉积第一氧化硅层、第一氮化硅层以及第二氧化硅层,然后采用蚀刻方法形成间隙壁,所述间隙壁可以具有10-30NM的厚度。
然后,用离子注入工艺或扩散工艺重掺杂源极和漏极(S/D)形成于栅极间隙壁任一侧的衬底中。
还可以包括退火步骤、形成袋形注入区、NiSi沉积等步骤。
然后在器件表面形成金属硅化物(SAB)阻挡层,可以使用TEOS与氧气形成氧化膜作为SAB膜,然后通过光刻和干刻来形成SAB区。优选的,还可以在形成的SAB膜之前先沉积SiN膜以消除在SAB膜光刻和刻蚀中对会侧墙刻蚀掉的影响。
然后,参照图2D,在表面沉积蚀刻停止层221蚀刻停止层可用SiCN、SiN、SiC、SiOF、SiON等形成.然后进行沉积层间介电层(ILD)220于栅极结构上。可以采用化学气相沉积法、高密度等离子体化学气相沉积法、旋转涂布法、溅镀等方法形成。所述层间介电层可以采用氧化硅、氮氧化硅、氮化硅等材料。
然后,参照图2E,对层间介电层220和以上步骤中沉积的层间介电层进行平坦化处理。所述平坦化处理的非限制性实例包括机械平坦化方法和化学机械抛光平坦化方法。以暴露栅极结构的上表面并使其大致与层间介电层220位于一个平面上。
接着,去除PMOS的虚设栅极,形成沟槽300。所述去除的方法可以是光刻和蚀刻。在蚀刻过程中所用的气体包括HBr,其作为主要蚀刻气体;还包括作为刻蚀补充气体的02或Ar,其可以提高刻蚀的品质。在该步骤之后,PMOS中的TaN或AlN层203的最终厚度在10-30埃之间。
然后,参照图2F,进行形成PMOS金属栅极301的步骤。所述金属栅极通过沉积多个薄膜堆栈形成。所述薄膜包括功函数金属层,阻挡层和导电层。所述阻挡层包括TaN、TiN、TaC、TaSiN、WN、TiAl、TiAlN或上述的组合。所述沉积阻挡层方法非限制性实例包括化学气相沉积法(CVD),如低温化学气相沉积(LTCVD)、低压化学气相沉积(LPCVD)、快热化学气相沉积(LTCVD)、等离子体化学气相沉积(PECVD)。在本发明的一个实施例中使用原子层沉积(ALD)、溅镀及物理气相沉积(PVD)的方法,所形成的阻挡层的厚度在10-100埃之间。所述功函数金属层包括一层或多层金属层。所述金属层可以是TiN、TaN、TiN和TaN、上述的组合。所述金属层可以用ALD、PVD或CVD的方法形成。优选地,所述功函数金属层的厚度在10-200埃之间。所述导电层可以是铝层,也可以是铜或钨层。在本发明的一个实施例中使用Al形成所述导电层,可以用CVD或PVD的方法进行沉积。在该导电层形成之后,在300-500摄氏度温度下进行退火。其在含氮环境中反应的时间为10-60分钟。最后进行导电层的平坦化,以除去沟槽300以外的导电层而形成PMOS金属栅极301。
然后,参考图2F,用光刻和蚀刻的方法进行NMOS的虚设栅极的去除形成沟槽400。在该步骤之后,NMOS中的TaN或AlN层203的最终厚度在5-20埃之间。
然后,参照图2G,进行形成NMOS金属栅极401的步骤。所述金属栅极通过沉积多个薄膜堆栈形成。所述薄膜包括功函数金属层,阻挡层和导电层。所述阻挡层包括TaN、TiN、TaC、TaSiN、WN、TiAl、TiAlN或上述的组合。所述沉积阻挡层方法非限制性实例包括化学气相沉积法(CVD),如低温化学气相沉积(LTCVD)、低压化学气相沉积(LPCVD)、快热化学气相沉积(LTCVD)、等离子体化学气相沉积(PECVD)。在本发明的一个实施例中使用原子层沉积(ALD)、溅镀及物理气相沉积(PVD)的方法,所形成的阻挡层的厚度在10-100埃之间。所述功函数金属层包括一层或多层金属层。所述金属层可以是TiAl、Ti和Al、TiN和Al、上述的组合。所述金属层可以用ALD、PVD或CVD的方法形成。优选地,所述功函数金属层的厚度在10-200埃之间。所述导电层可以是铝层,也可以是铜或钨层。在本发明的一个实施例中使用Al形成所述导电层,可以用CVD或PVD的方法进行沉积。在该导电层形成之后,在300-500摄氏度温度下进行退火。在环境氮中反应的时间为10-60分钟。最后进行导电层的平坦化,以除去沟槽400以外的导电层而形成NMOS金属栅极401。
在以上步骤中,观察到沉积的TaN或AlN在高温退火之后具有较高的结晶度;TaN或AlN层和TiN层中各自的密度变化仅分别为30%(9.16-12.13g/cc)和10%(4.64-5.08g/cc)。
所以用本发明的方法可以克服半导体制造过程中半导体制造过程中对门限电压造成较大影响的技术问题,除此之外,还可以对TaN或AlN层的厚度作出选择来控制门限电压。
然后进行后续工艺以完成半导体元件的制造。
为了说明和描述的目的,给出了本发明各个方面的以上描述。其并不旨在穷尽列举或将本发明限制为所公开的精确形式,且明显地,可以进行多种修改和变化。本发明旨在将对本领域技术人员是显而易见的这些修改和变化包括在由所附权利要求限定的本发明的范围内。

Claims (19)

1.一种采用高K金属栅的半导体器件的制造方法,包括步骤:
a)提供一衬底;
b)在所述衬底上形成栅堆栈层,包括依次层叠的高K介电层、TiN覆盖层、多晶硅层,以及位于所述TiN覆盖层和多晶硅层之间的阻挡层;
c)蚀刻所述栅堆栈层以在所述衬底上形成虚设栅极结构;
d)在所述衬底中形成源漏极;
e)去除所述虚设栅极结构的所述多晶硅层;
f)在所述阻挡层形成金属栅极。
2.根据权利要求1所述的方法,其中所述阻挡层为TaN或AlN层。
3.根据权利要求1所述的方法,其中所述阻挡层具有10-50埃的厚度。
4.根据权利要求1所述的方法,还包括在所述衬底和所述栅堆栈层之间形成界面层。
5.根据权利要求4所述的方法,所述界面层为氧化硅。
6.根据权利要求1所述的方法,所述半导体器件为PMOS,所述阻挡层的厚度为10-30埃。
7.根据权利要求1所述的方法,所述半导体器件为NMOS,所述阻挡层的厚度为5-20埃。
8.根据权利要求1所述的方法,其中e)步骤去除虚设栅极结构中的多晶硅层使用的气体包括HBr、02、Ar。
9.根据权利要求1所述的方法,其中f)步骤中形成的金属栅极包括依次层叠的功函数金属层、阻挡层和导电层。
10.根据权利要求9所述的方法,所述半导体器件为PMOS,其中功函数金属层包括一层或多层TiN、TaN、Ta或其组合。
11.根据权利要求9所述的方法,所述半导体器件为NMOS,其中功函数金属层包括一层或多层TiAl、Ti和Al、TiN和Al或其组合。
12.根据权利要求9所述的方法,其中功函数金属层具有10-200埃的厚度。
13.根据权利要求9所述的方法,其中功函数金属层的形成方法是ALD或PVD或CVD。
14.根据权利要求9所述的方法,其中金属栅极的所述阻挡层选自TaN、TiN、Ta及其组合。
15.根据权利要求9所述的方法,其中金属栅极的所述阻挡层具有10-100埃的厚度。
16.根据权利要求9所述的方法,其中金属栅极的所述阻挡层的形成方法是ALD或PVD。
17.根据权利要求9所述的方法,其中所述导电层包括Al。
18.根据权利要求9所述的方法,其中所述导电层的形成方法是CVD或PVD。
19.根据权利要求17所述的方法,还包括在氮气中对所述金属栅极进行退火的步骤,其中退火的温度是300-500摄氏度,时间是10-60分钟。
CN2012101815797A 2012-06-04 2012-06-04 一种采用高k金属栅的半导体器件的制造方法 Pending CN103456614A (zh)

Priority Applications (1)

Application Number Priority Date Filing Date Title
CN2012101815797A CN103456614A (zh) 2012-06-04 2012-06-04 一种采用高k金属栅的半导体器件的制造方法

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
CN2012101815797A CN103456614A (zh) 2012-06-04 2012-06-04 一种采用高k金属栅的半导体器件的制造方法

Publications (1)

Publication Number Publication Date
CN103456614A true CN103456614A (zh) 2013-12-18

Family

ID=49738863

Family Applications (1)

Application Number Title Priority Date Filing Date
CN2012101815797A Pending CN103456614A (zh) 2012-06-04 2012-06-04 一种采用高k金属栅的半导体器件的制造方法

Country Status (1)

Country Link
CN (1) CN103456614A (zh)

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN110459468A (zh) * 2019-08-29 2019-11-15 上海华力集成电路制造有限公司 TiN薄膜的刻蚀方法
WO2020209081A1 (ja) * 2019-04-11 2020-10-15 東京エレクトロン株式会社 成膜方法、半導体装置の製造方法、成膜装置、および半導体装置を製造するシステム
CN114530493A (zh) * 2020-11-23 2022-05-24 长鑫存储技术有限公司 半导体结构及半导体结构的制造方法

Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20100112798A1 (en) * 2008-11-03 2010-05-06 Taiwan Semiconductor Manufacturing Company, Ltd. Method for gap filling in a gate last process
CN102024813A (zh) * 2009-09-14 2011-04-20 台湾积体电路制造股份有限公司 半导体装置、互补式金属氧化物半导体装置及集成电路
CN102280375A (zh) * 2010-06-08 2011-12-14 中国科学院微电子研究所 一种先栅工艺中叠层金属栅结构的制备方法
CN102299061A (zh) * 2010-06-22 2011-12-28 中国科学院微电子研究所 一种半导体器件的制造方法
CN102339752A (zh) * 2010-07-14 2012-02-01 中国科学院微电子研究所 一种基于栅极替代工艺的制造半导体器件的方法
CN102386081A (zh) * 2010-09-02 2012-03-21 中芯国际集成电路制造(上海)有限公司 金属栅极的形成方法

Patent Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20100112798A1 (en) * 2008-11-03 2010-05-06 Taiwan Semiconductor Manufacturing Company, Ltd. Method for gap filling in a gate last process
CN102024813A (zh) * 2009-09-14 2011-04-20 台湾积体电路制造股份有限公司 半导体装置、互补式金属氧化物半导体装置及集成电路
CN102280375A (zh) * 2010-06-08 2011-12-14 中国科学院微电子研究所 一种先栅工艺中叠层金属栅结构的制备方法
CN102299061A (zh) * 2010-06-22 2011-12-28 中国科学院微电子研究所 一种半导体器件的制造方法
CN102339752A (zh) * 2010-07-14 2012-02-01 中国科学院微电子研究所 一种基于栅极替代工艺的制造半导体器件的方法
CN102386081A (zh) * 2010-09-02 2012-03-21 中芯国际集成电路制造(上海)有限公司 金属栅极的形成方法

Cited By (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2020209081A1 (ja) * 2019-04-11 2020-10-15 東京エレクトロン株式会社 成膜方法、半導体装置の製造方法、成膜装置、および半導体装置を製造するシステム
JP2020172688A (ja) * 2019-04-11 2020-10-22 東京エレクトロン株式会社 成膜方法、半導体装置の製造方法、成膜装置、および半導体装置を製造するシステム
KR20210148279A (ko) * 2019-04-11 2021-12-07 도쿄엘렉트론가부시키가이샤 성막 방법, 반도체 장치의 제조 방법, 성막 장치 및 반도체 장치를 제조하는 시스템
JP7169931B2 (ja) 2019-04-11 2022-11-11 東京エレクトロン株式会社 成膜方法、半導体装置の製造方法、成膜装置、および半導体装置を製造するシステム
KR102650982B1 (ko) * 2019-04-11 2024-03-26 도쿄엘렉트론가부시키가이샤 성막 방법, 반도체 장치의 제조 방법, 성막 장치 및 반도체 장치를 제조하는 시스템
CN110459468A (zh) * 2019-08-29 2019-11-15 上海华力集成电路制造有限公司 TiN薄膜的刻蚀方法
CN114530493A (zh) * 2020-11-23 2022-05-24 长鑫存储技术有限公司 半导体结构及半导体结构的制造方法
CN114530493B (zh) * 2020-11-23 2024-05-03 长鑫存储技术有限公司 半导体结构及半导体结构的制造方法

Similar Documents

Publication Publication Date Title
CN104701310B (zh) 具有轮廓化功函金属栅电极的半导体器件及其制造方法
KR101447315B1 (ko) 복수의 배리어 층을 구비한 금속 게이트 디바이스를 제공하는 기술
US8377784B2 (en) Method for fabricating a semiconductor device
CN106158860B (zh) 半导体结构及其制造方法
US8420490B2 (en) High-performance semiconductor device and method of manufacturing the same
TW201434155A (zh) 半導體裝置及其製造方法
US8343872B2 (en) Method of forming strained structures with compound profiles in semiconductor devices
CN103022126A (zh) 具有由高k保护金属层诱导的应变沟道的半导体器件
KR20080032220A (ko) 완전 변환된 반도체 금속 합금에 의한 금속 게이트mosfet
US20150084132A1 (en) Silicon Nitride Layer Deposited at Low Temperature to Prevent Gate Dielectric Regrowth High-K Metal Gate Field Effect Transistors
WO2011066747A1 (zh) 半导体器件及其形成方法
CN103545186B (zh) 一种制造金属栅半导体器件的方法
CN106558482B (zh) 一种半导体器件及其制造方法
CN103579111B (zh) 一种金属栅半导体器件的制造方法
CN103094214B (zh) 制作半导体器件的方法
CN103545185B (zh) 一种采用伪栅极制造半导体器件的方法
US20120181623A1 (en) Semiconductor device and method for manufacturing the same
US20110248358A1 (en) High-performance semiconductor device and method of manufacturing the same
CN103794502A (zh) 半导体器件及其制造方法
CN103456614A (zh) 一种采用高k金属栅的半导体器件的制造方法
CN103839806B (zh) 半导体器件及其制造方法
CN102983104B (zh) Cmos晶体管的制作方法
CN104681440A (zh) 一种半导体器件及其制备方法
CN103578946B (zh) 一种半导体器件的形成方法
CN107564864B (zh) 一种cmos器件及其制作方法

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
RJ01 Rejection of invention patent application after publication
RJ01 Rejection of invention patent application after publication

Application publication date: 20131218