KR20150095171A - 다양한 폭을 갖는 게이트 구조 및 그 형성 방법 - Google Patents

다양한 폭을 갖는 게이트 구조 및 그 형성 방법 Download PDF

Info

Publication number
KR20150095171A
KR20150095171A KR1020140156217A KR20140156217A KR20150095171A KR 20150095171 A KR20150095171 A KR 20150095171A KR 1020140156217 A KR1020140156217 A KR 1020140156217A KR 20140156217 A KR20140156217 A KR 20140156217A KR 20150095171 A KR20150095171 A KR 20150095171A
Authority
KR
South Korea
Prior art keywords
gate structure
metal gate
width
semiconductor device
contact
Prior art date
Application number
KR1020140156217A
Other languages
English (en)
Other versions
KR101639486B1 (ko
Inventor
웨이 수오 호
충 유 치앙
치아 밍 창
준 밍 린
Original Assignee
타이완 세미콘덕터 매뉴팩쳐링 컴퍼니 리미티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 타이완 세미콘덕터 매뉴팩쳐링 컴퍼니 리미티드 filed Critical 타이완 세미콘덕터 매뉴팩쳐링 컴퍼니 리미티드
Publication of KR20150095171A publication Critical patent/KR20150095171A/ko
Application granted granted Critical
Publication of KR101639486B1 publication Critical patent/KR101639486B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7831Field effect transistors with field effect produced by an insulated gate with multiple gate structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823437MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of the gate conductors, e.g. particular materials, shapes
    • H01L21/823456MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of the gate conductors, e.g. particular materials, shapes gate conductors with different shapes, lengths or dimensions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0273Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28026Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor
    • H01L21/28123Lithography-related aspects, e.g. sub-lithography lengths; Isolation-related aspects, e.g. to solve problems arising at the crossing with the side of the device isolation; Planarisation aspects
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/31051Planarisation of the insulating layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31144Etching the insulating layers by chemical or physical means using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32133Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32139Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76897Formation of self-aligned vias or contact plugs, i.e. involving a lithographically uncritical step
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823475MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type interconnection or wiring or contact manufacturing related aspects
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body
    • H01L27/08Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind
    • H01L27/085Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
    • H01L27/088Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/4966Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET the conductor material next to the insulator being a composite material, e.g. organic material, TiN, MoSi2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66545Unipolar field-effect transistors with an insulated gate, i.e. MISFET using a dummy, i.e. replacement gate in a process wherein at least a part of the final gate is self aligned to the dummy gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/6656Unipolar field-effect transistors with an insulated gate, i.e. MISFET using multiple spacer layers, e.g. multiple sidewall spacers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • H01L21/76834Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers formation of thin insulating films on the sidewalls or on top of conductors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/51Insulating materials associated therewith
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66568Lateral single gate silicon transistors
    • H01L29/66575Lateral single gate silicon transistors where the source and drain or source and drain extensions are self-aligned to the sides of the gate
    • H01L29/6659Lateral single gate silicon transistors where the source and drain or source and drain extensions are self-aligned to the sides of the gate with both lightly doped source and drain extensions and source and drain self-aligned to the sides of the gate, e.g. lightly doped drain [LDD] MOSFET, double diffused drain [DDD] MOSFET

Landscapes

  • Engineering & Computer Science (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • Ceramic Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • Composite Materials (AREA)
  • Materials Engineering (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Electrodes Of Semiconductors (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)

Abstract

반도체 디바이스 구조물 및 이를 형성하기 위한 방법의 실시예들이 제공된다. 반도체 디바이스 구조물은 기판 및 기판 위에 형성되는 제1 금속 게이트 구조를 포함한다. 제1 금속 게이트 구조는 제1 폭을 갖는다. 반도체 디바이스 구조물은 제1 금속 게이트 구조에 인접하여 형성되는 제1 컨택 및 기판 위에 형성되는 제2 금속 게이트 구조를 더 포함한다. 제2 금속 게이트 구조는 제1 폭보다 작은 제2 폭을 갖는다. 반도체 디바이스 구조물은 제2 금속 게이트 구조 위에 형성되는 절연층 및 제2 금속 게이트 구조에 대해 자기-정렬되는 제2 컨택을 더 포함한다.

Description

다양한 폭을 갖는 게이트 구조 및 그 형성 방법{GATE STRUCTURES WITH VARIOUS WIDTHS AND METHOD FOR FORMING THE SAME}
반도체 디바이스는 개인용 컴퓨터, 셀폰, 디지털 카메라 및 다른 전자 장비와 같은 다양한 전자 애플리케이션에서 이용된다. 반도체 디바이스는 통상적으로 반도체 기판 위에 물질의 절연 또는 유전체층, 전도성층, 및 반도체층을 순차적으로 적층하고, 거기에 리소그래피를 이용하여 회로 컴포넌트 및 엘리먼트를 형성하도록 다양한 물질층을 패터닝함으로써 제조된다.
컴퓨터에서 향상된 성능을 위한 중요한 드라이버들 중 하나는 회로의 더 높은 레벨의 집적이다. 이는 주어진 칩 상에서 디바이스 크기를 소형화하거나 축소함으로써 달성된다. 허용오차는 칩 상의 치수를 축소할 수 있게 되는데 있어 중요한 역할을 한다.
기술 노드들이 축소됨에 따라, 몇몇 집적 회로(IC) 설계에서, 피처 크기가 감소된 채로 디바이스 성능을 개선하기 위해 통상적인 폴리실리콘 게이트를 금속 게이트로 대체하고자 하는 바램이 있어 왔다. 금속 게이트를 형성하기 위한 하나의 프로세스는 "게이트 라스트(gate last)" 프로세스라 불린다. "게이트 라스트" 프로세스에서는, 최종 금속 게이트가 마지막에 제조되어, 후속 프로세스의 수가 삭감될 수 있다.
그러나 기존의 "게이트 라스트" 프로세스들은 일반적으로 그 의도된 목적에 충분하지만, 디바이스 스케일링다운(device scaling-down)이 지속됨에 따라, 이들은 모든 면에서 완전히 만족스럽진 않다.
본 개시 및 그것의 이점들의 보다 완전한 이해를 위해, 이하 첨부 도면들과 함께 다음의 설명을 참조한다.
도 1은 몇몇 실시예들에 따른 반도체 디바이스 구조물의 상면도를 예시한다.
도 2a 내지 도 2k는 몇몇 실시예들에 따른, 도 1에 표시된 선 A-A'를 따라 도시한 반도체 디바이스 구조물을 형성하는 다양한 스테이지들의 단면도를 예시한다.
도 3은 몇몇 실시예들에 따른, 도 1에 표시된 선 B-B'를 따라 도시한 반도체 디바이스 구조물의 단면도를 예시한다.
도 4a는 몇몇 실시예들에 따른 반도체 디바이스 구조물의 상면도를 예시한다.
도 4b는 몇몇 실시예들에 따른, 도 4a에 표시된 선 C-C'를 따라 도시한 반도체 디바이스 구조물의 단면도를 예시한다.
도 4c는 몇몇 실시예들에 따른, 도 4a에 표시된 선 D-D'를 따라 도시한 반도체 디바이스 구조물의 단면도를 예시한다.
본 개시의 실시예들의 제조 및 이용에 대해 이하에서 상세히 설명한다. 그러나 실시예는 매우 다양한 특정 맥락에서 실현될 수 있다는 것이 인지되어야 한다. 설명하는 특정 실시예는 단지 예시적이며 본 개시의 범위를 제한하지 않는다.
다음의 개시는 본 개시의 상이한 특징들을 구현하기 위한 다수의 상이한 실시예들 또는 예들을 제공한다고 생각한다. 컴포넌트들 및 배열들의 특정한 예들에 대해 본 개시를 단순하게 하기 위해 이하에서 설명한다. 이들은 물론 단지 예시일 뿐이며, 제한하는 것으로 의도되지 않는다. 또한, 이어지는 설명에서 제2 프로세스 이전의 제1 프로세스의 수행은 제2 프로세스가 제1 프로세스 직후 수행되는 실시예들을 포함하고 부가적인 프로세스들이 제1 및 제2 프로세스 사이에 수행될 수 있는 실시예들을 또한 포함할 수 있다. 다양한 특징들이 단순함 및 명료함을 위해 상이한 축적으로 임의적으로 그려질 수 있다. 또한, 이어지는 설명에서 제2 특징 위의 또는 그 상의 제1 피처의 형성은 제1 및 제2 피처가 직접 접촉하게 형성되는 실시예들을 포함할 수 있고, 제1 및 제2 피처가 직접 접촉하지 않을 수 있도록 제1 및 제2 피처 사이에 부가적인 피처가 형성될 수 있는 실시예들을 또한 포함할 수 있다.
실시예들의 일부 변형에 대해 설명한다. 다양한 도면들 및 예시적인 실시예들 전체에 걸쳐서, 유사한 참조 번호는 유사한 엘리먼트를 지정하는데 이용된다.
반도체 디바이스 구조물의 실시예는 본 개시의 몇몇 실시예들에 따라 제공된다. 반도체 디바이스 구조물은 다양한 채널 길이(예를 들어, 다양한 게이트 폭)을 갖는 다수의 게이트 구조를 포함할 수 있다. 일반적으로, 비교적 짧은 채널 길이를 갖는 게이트 구조는 또한 작은 피치를 갖는 경향이 있다. 그러나 피치가 너무 작을 때, 게이트 구조와 게이트 구조에 인접하여 형성된 컨택 간의 부족(shortage)의 위험이 증가한다. 그러므로 게이트 구조가 축소되고, 게이트 구조와 컨택 간의 부족을 방지하기 위해 축소된 게이트 구조 위에 절연층이 형성된다. 또한, 컨택은 게이트 구조에 대해 자기-정렬(self-aligned)될 수 있다.
도 1은 몇몇 실시예들에 따라 반도체 디바이스 구조물(100)의 상면도를 예시한다. 반도체 디바이스 구조물(100)은 기판(102) 위에 형성되는 광폭의 금속 게이트 구조(118) 및 축소된 협폭의 금속 게이트 구조(120')를 포함한다. 광폭의 금속 게이트 구조(118)의 폭은 축소된 협폭의 금속 게이트 구조(120')의 폭보다 크다. 또한, 광폭의 금속 게이트 구조(118)의 높이는 축소된 협폭의 금속 게이트 구조(120')의 높이보다 높다.
또한, 제1 컨택(132)이 광폭의 금속 게이트 구조(118)에 인접하여 형성되고, 제2 컨택(134)이 축소된 협폭의 금속 게이트 구조(120')에 인접해 있다. 몇몇 실시예들에서, 광폭의 금속 게이트 구조(118), 축소된 협폭의 금속 게이트 구조(120'), 제1 컨택(132) 및 제2 컨택(134)은 기판(102) 위에 형성되고, 얕은 트랜치 격리(shallow trench isolation; STI) 영역(204)이 기판(102)에 형성된다.
도 2a 내지 도 2k는 몇몇 실시예들에 따른, 도 1에 표시된 선 A-A'를 따라 도시한 반도체 디바이스 구조물(100)을 형성하는 다양한 스테이지들의 단면도를 예시한다.
도 2a에서 도시된 바와 같이, 기판(102)이 몇몇 실시예들에 따라 제공된다. 기판(102)은 실리콘 웨이퍼와 같은 반도체 웨이퍼일 수 있다. 대안적으로 또는 부가적으로, 기판(102)은 원소 반도체 물질, 화합물 반도체 물질, 및/또는 합금 반도체 물질을 포함할 수 있다. 원소 반도체 물질의 예는 결정 실리콘, 다결정질 실리콘, 비정질 실리콘, 게르마늄, 및/또는 다이아몬드일 수 있지만, 이들로 제한되지 않는다. 화합물 반도체 물질의 예는 실리콘 탄화물, 갈륨 비소, 갈륨 인화물, 인듐 인화물, 인듐 비화물 및/또는 인듐 안티몬화물일 수 있지만 이들로 제한되지 않는다. 합금 반도체 물질의 예는 SiGe, GaAsP, AlInAs, AlGaAs, GaInAs, GaInP, 및/또는 GaInAsP일 수 있지만, 이들로 제한되지 않는다.
몇몇 실시예들에서, 기판(102)은 도핑된 영역, 격리 피처, 층간 유전체(ILD)층 및/또는 전도성 피처 등의 구조를 포함한다. 또한, 기판(102)은 패터닝될 단일 또는 다수의 물질층을 더 포함할 수 있다. 예를 들어, 물질층은 실리콘층, 유전체층 및/또는 도핑된 폴리실리콘층을 포함한다.
광폭의 더미 게이트 구조(104) 및 협폭의 더미 게이트 구조(106)가 몇몇 실시예들에 따라 도 2a에서 도시된 바와 같이 기판(102) 위에 형성된다. 몇몇 실시예들에서, 광폭의 더미 게이트 구조(104)는 제1 폭(W1)을 갖고, 협폭의 더미 게이트 구조(106)은 제1 폭(W1)보다 작은 제2 폭(W2)을 갖는다. 몇몇 실시예들에서, 광폭의 더미 게이트 구조(104)의 제1 폭(W1)은 약 10 ㎚ 내지 약 500 ㎚의 범위에 있다. 몇몇 실시예들에서, 협폭의 더미 게이트 구조(106)의 제2 폭(W2)은 약 5 ㎚ 내지 약 250 ㎚ 범위에 있다. 몇몇 실시예들에서, 제1 폭(W1) 대 제2 폭(W2)의 비는 약 2 내지 약 15의 범위에 있다.
몇몇 실시예들에서, 광폭의 더미 게이트 구조(104) 및 협폭의 더미 게이트 구조(106)는 각각 더미 게이트 유전체층(108) 및 더미 게이트 전극층(110)을 포함한다. 몇몇 실시예들에서, 더미 게이트 유전체층(108)은 금속 산화물, 금속 질화물, 금속 규산염, 전이 금속 산화물, 전이 금속 질화물, 전이 금속 규산염, 또는 금속의 산질화물과 같은 고-k(high-k) 유전체 물질로 이루어진다. 고-k 유전체 물질의 예는 하프늄 산화물(HfO2), 하프늄 실리콘 산화물(HfSiO), 하프늄 실리콘 산질화물(HfSiON), 하프늄 탄탈륨 산화물(HfTaO), 하프늄 티타늄 산화물(HfTiO), 하프늄 지르코늄 산화물(HfZrO), 실리콘 질화물, 실리콘 산질화물, 지르코늄 산화물, 티타늄 산화물, 알루미늄 산화물, 하프늄 이산화물-알루미나(HfO2-Al2O3) 합금, 또는 다른 응용 가능한 유전체 물질을 포함하지만 이들로 제한되지 않는다. 몇몇 실시예들에서, 더미 게이트 전극층(110)은 폴리실리콘으로 이루어진다.
광폭의 더미 게이트 구조(104) 및 협폭의 더미 게이트 구조(106)는 적층, 포토리소그래피 패터닝 및 에칭 프로세스를 포함하는 프로시저에 의해 형성될 수 있다. 적층 프로세스는 화학적 기상 증착(chemical vapor deposition; CVD), 물리적 기상 증착(physical vapor deposition; PVD), 원자층 층착(atomic layer deposition; ALD), 고밀도 플라즈마 CVD(high density plasma CVD; HDPCVD), 금속 유기 CVD(metal organic CVD; MOCVD), 또는 플라즈마 강화 CVD(plasma enhanced CVD; PECVD)를 포함할 수 있다. 포토리소그래피 패터닝 프로세스는 포토레지스트 코팅(예를 들어, 스핀-온 코팅), 소프트 베이킹, 마스크 정렬, 노출, 노출후 베이킹, 포토레지스트 현상, 린스, 건조(예를 들어, 하드 베이킹), 및/또는 다른 응용 가능한 프로세스들을 포함할 수 있다. 에칭 프로세스는 건식 에칭, 습식 에칭 및/또는 다른 에칭 방법(예를 들어, 반응 이온 에칭)을 포함할 수 있다.
광폭의 더미 게이트 구조(104) 및 협폭의 더미 게이트 구조(106)는 서로 인접해 있을 수 있거나, 다른 구조가 광폭의 더미 게이트 구조(104)과 협폭의 더미 게이트 구조(106) 사이에 형성될 수 있으며, 본 개시의 범위는 제한되는 것은 의도하지 않는다.
몇몇 실시예들에서, 밀봉층(301)이 광폭의 더미 게이트 구조(104) 및 협폭의 더미 게이트 구조(106)의 측벽들 상에 형성된다. 밀봉층(108)은 후속 프로세싱 동안 손상 또는 손실로부터 광폭의 더미 게이트 구조(104) 및 협폭의 더미 게이트 구조(106)을 보호할 수 있고 후속 프로세싱 동안 산화도 방지할 수 있다. 몇몇 실시예들에서, 밀봉층(301)은 실리콘 질화물, 실리콘 산화물, 실리콘 산질화물, 실리콘 탄화물, 또는 다른 응용 가능한 유전체 물질로 이루어진다. 밀봉층(301)은 단일 층 또는 다층을 포함할 수 있다.
스페이서(303)가 몇몇 실시예들에 따라 밀봉층(301) 상에 추가로 형성된다. 몇몇 실시예들에서, 스페이서(303)는 실리콘 질화물, 실리콘 산화물, 실리콘 탄화물, 실리콘 산질화물, 또는 다른 응용 가능한 물질로 이루어진다. 스페이서(303)은 적층 및 에칭 프로세스에 의해 형성될 수 있다.
또한, 다양한 도핑된 영역이 또한 기판(102)에 형성될 수 있다. 몇몇 실시예들에서, 얇게 도핑된 소스/드레인(lightly doped source/drain; LDD) 영역(305) 및 소스/드레인(S/D) 영역(307)이 몇몇 실시예들에 따라 도 2a에서 도시된 바와 같이 기판(102)에 형성된다. LDD 영역(305) 및 S/D 영역(307)은 이온 주입 프로세스, 포토리소그래피, 확산 및/또는 다른 응용 가능한 프로세스에 의해 형성될 수 있다. 몇몇 실시예들에서, LDD 영역(305) 및 S/D 영역(307)은 붕소 또는 BF2와 같은 p-타입 도펀트, 및/또는 인 또는 비소와 같은 n-타입 도펀트로 도핑된다.
광폭의 더미 게이트 구조(104) 및 협폭의 더미 게이트 구조(106)가 형성된 이후, 몇몇 실시예들에 따라 도 2b에서 도시된 바와 같이 컨택 에칭 정지층(contact etch stop layer; CESL)(309)이 기판(102) 위의 광폭의 더미 게이트 구조(104) 및 협폭의 더미 게이트 구조(106)를 커버하도록 형성된다. 몇몇 실시예들에서, CESL(309)은 실리콘 질화물, 실리콘 산질화물, 및/또는 다른 응용 가능한 물질로 이루어진다. CESL(309)은 플라즈마 강화 CVD, 저압 CVD, ALD 또는 다른 응용 가능한 프로세스들에 의해 형성될 수 있다.
CESL(309)이 형성된 이후 ILD층(112)은 몇몇 실시예들에 따라 기판(102) 위의 CESL(309) 상에 형성된다. ILD층(112)은 실리콘 산화물, 실리콘 질화물, 실리콘 산질화물, 테트라에톡시실란(tetraethoxysilane; TEOS), 포스포실리케이트 글래스(phosphosilicate glass; PSG), 보로포스포실리케이트 글래스(borophosphosilicate glass; BPSG), 저-k(low-k) 유전체 물질, 및/또는 다른 응용 가능한 유전체 물질 등의 다수의 유전체 물질로 이루어진 다층을 포함할 수 있다. 저-k 유전체 물질의 예는 불화 실리카 글래스(fluorinated silica glass; FSG), 탄소 도핑된 실리콘 산화물, 비정질 플루오르화된 탄소, 파릴렌, 비스-벤조사이클로부텐스(bis-benzocyclobutenes; BCB), 또는 폴리이미드를 포함하지만 이들로 제한되진 않는다. ILD층(112)은 화학적 기상 증착(CVD), 물리적 기상 증착(PVD), 원자층 적층(ALD), 스핀-온 코팅, 또는 다른 응용 가능한 프로세스에 의해 형성될 수 있다.
이어서, 몇몇 실시예들에 따라 도 2c에서 도시된 바와 같이 폴리싱 프로세스가 ILD층(112)에 대해 수행된다. 몇몇 실시예들에서, ILD층(112)은 광폭의 더미 게이트 구조(104) 및 협폭의 더미 게이트 구조(106)의 상면들이 노출될 때까지 화학적 기계 폴리싱(CMP) 프로세스에 의해 평탄화된다.
폴리싱 프로세스가 수행된 이후, 광폭의 더미 게이트 구조(104)는 광폭의 금속 게이트 구조(118)에 의해 대체되고, 협폭의 더미 게이트 구조(106)는 협폭의 금속 게이트 구조(120)에 의해 대체된다. 보다 구체적으로, 광폭의 더미 게이트 구조(104) 및 협폭의 더미 게이트 구조(106)는 몇몇 실시예들에 따라 도 2d에서 도시된 바와 같이, 넓은 트랜치(114) 및 좁은 트랜치(116)를 형성하도록 제거된다. 몇몇 실시예들에서, 더미 게이트 전극층(110)은 제1 에칭 프로세스에 의해 제거되고, 더미 게이트 유전체층(108)은 제1 에칭 프로세스가 수행된 이후 제2 에칭 프로세스에 의해 제거된다. 이어서, 광폭의 금속 게이트 구조(118) 및 협폭의 금속 게이트 구조(120)가 몇몇 실시예들에 따라 도 2e에서 도시된 바와 같이 넓은 트랜치(118) 및 좁은 트랜치(120)에 각각 형성된다.
몇몇 실시예들에서, 광폭의 금속 게이트 구조(118) 및 협폭의 금속 게이트 구조(120)는 각각 게이트 유전체층(122), 일함수 금속층(124) 및 금속 게이트 전극층(126)을 포함한다.
몇몇 실시예들에서, 게이트 유전체층(122)은 고-k 유전체 물질로 이루어진다. 고-k 유전체 물질의 예는 하프늄 산화물(HfO2), 하프늄 실리콘 산화물(HfSiO), 하프늄 실리콘 산질화물(HfSiON), 하프늄 탄탈륨 산화물(HfTaO), 하프늄 티타늄 산화물(HfTiO), 하프늄 지르코늄 산화물(HfZrO), 금속 산화물, 금속 질화물, 금속 규산염, 전이 금속 산화물, 전이 금속 질화물, 전이 금속 규산염, 금속의 산질화물, 금속 알루미늄산염, 지르코늄 규산염, 지르코늄 알루미늄산염, 실리콘 산화물, 실리콘 질화물, 실리콘 산질화물, 지르코늄 산화물, 티타늄 산화물, 알루미늄 산화물, 또는 하프늄 이산화물-알루미나(HfO2-Al2O3) 합금을 포함할 수 있지만 이들로 제한되진 않는다.
일함수 금속층(124)은 게이트 유전체층(122) 위에 형성된다. 일함수 금속층(124)은 적절한 일함수를 갖도록 튜닝된다. 예를 들어, PMOS 디바이스를 위한 P-타입 일함수 금속(P-금속)이 요구되는 경우, TiN, WN, 또는 W이 이용될 수 있다. 한편, NMOS 디바이스를 위한 N-타입 일함수 금속(N-금속)이 요구되는 경우, TiAl, TiAlN, 또는 TaCN이 이용될 수 있다.
금속 게이트 전극층(126)은 일함수 금속층(124) 위에 형성된다. 몇몇 실시예들에서, 금속 게이트 전극층(126)은 알루미늄, 구리, 텅스텐, 티타늄, 탄탈륨, 티타늄 질화물, 탄탈륨 질화물, 니켈 규화물, 코발트 규화물, TaC, TaSiN, TaCN, TiAl, TiAlN, 또는 다른 응용 가능한 물질과 같은 전도성 물질로 이루어진다. 게이트 유전체층(122), 일함수 금속층(124) 및 금속 게이트 전극층(126)은 임의의 응용 가능한 프로세스에 의해 임의의 응용 가능한 두께로 형성될 수 있다.
라이너층, 계면층, 시드층, 접착층, 배리어층 등과 같은 부가적인 층가 게이트 유전체층(122), 일함수 금속층(124), 금속 게이트 전극층(126) 위에 및/또는 아래에 형성될 수 있다는 것을 주지해야 한다. 또한, 게이트 유전체층(122), 일함수 금속층(124) 및 금속 게이트 전극층(126)은 하나 이상의 물질 및/또는 하나 이상의 층을 포함할 수 있다.
도 2e에서 도시된 바와 같이, 광폭의 금속 게이트 구조(118)가 넓은 트랜치(114)에 형성되고, 제1 폭(W1)을 갖는다. 협폭의 금속 게이트 구조(120)가 좁은 트랜치(116)에 형성되고 제1 폭(W1)보다 작은 제2 폭(W2)을 갖는다. 그러나 협폭의 금속 게이트 구조(120)의 제2 폭(W2)이 너무 작을 때, 협폭의 금속 게이트 구조(120)와 제2 컨택(134) 간의 부족 없이 제2 컨택(134)을 정렬 및 형성하는 것은 어려울 수 있다. 그러므로, 협폭의 금속 게이트 구조(120)는 축소된 협폭의 금속 게이트 구조(120')를 형성하도록 에칭 백 프로세스에 의해 축소되어서, 절연층(128)은 제2 컨택(134)과 축소된 협폭의 금속 게이트 구조(120') 간의 부족을 방지하기 위해 이 축소된 협폭의 금속 게이트 구조(120') 상에 형성될 수 있다.
또한, 에칭 백 프로세스 동안, 광폭의 금속 게이트 구조(118)가 또한 에칭될 수 있다. 그러나 광폭의 금속 게이트 구조(118) 및 협폭의 금속 게이트 구조(120)가 에칭 백 프로세스에 의해 둘 다 에칭되는 경우, 에칭 백 프로세스에 의해 제거되는 광폭의 금속 게이트 구조(118)의 상부의 양은 로딩 효과(loading effect)로 인해 에칭 백 프로세스에 의해 제거되는 협폭의 금속 게이트 구조(120)의 상부의 양보다 더 많을 것이다. 즉, 광폭의 금속 게이트 구조(118)의 일함수 금속층(124) 및 금속 게이트 전극층(126)의 상부와 같이 너무 많은 광폭의 금속 게이트 구조(118)가 에칭 백 프로세스에 의해 제거될 수 있다. 그러므로, 축소된 광폭의 금속 게이트 구조가 손상될 수 있고, 구조의 문턱 전압은 변경될 수 있다. 이에 따라, 마스크 구조(311)는 몇몇 실시예들에 따라 도 2f에서 도시된 바와 같이 에칭 백 프로세스에 의해 광폭의 금속 게이트 구조(118)가 손상되는 것을 방지하는데 이용된다.
도 2f에서 도시된 바와 같이, 마스크 구조(311)는 몇몇 실시예들에 따라 순차적인 에칭 프로세스로부터 광폭의 금속 게이트 구조(118)를 보호하기 위해 광폭의 금속 게이트 구조(118) 위에 형성된다. 도 2f에서 도시된 바와 같이, 마스크 구조(311)는 광폭의 금속 게이트 구조(118)을 커버하지만 협폭의 금속 게이트 구조(120)는 커버하지 않는다. 그러므로 광폭의 금속 게이트 구조(118)는 후속의 에칭 백 프로세스 동안 마스크 구조(311)에 의해 보호되는 반면에, 협폭의 금속 게이트 구조(120)의 상면은 노출된다. 몇몇 실시예들에서, 마스크 구조(311)는 포토레지스트 층 및 하부 반사 방지 코팅(bottom anti-reflective coating; BARC)층을 포함한다.
마스크 구조(311)가 형성된 이후, 에칭 백 프로세스(127)는 몇몇 실시예들에 따라 도 2g에서 도시된 바와 같이 협폭의 금속 게이트 구조(120)를 축소하기 위해 수행된다. 광폭의 금속 게이트 구조(118)가 마스크 구조(311)에 의해 보호되기 때문에, 광폭의 금속 게이트 구조(118)의 높이는 에칭 백 프로세스(127)가 수행된 이후 유지된다. 그러나 협폭의 금속 게이트 구조(120)의 상면은 에칭 백 프로세스(127) 동안 노출되고, 이에 따라 협폭의 금속 게이트 구조(120)는 에칭 백 프로세스(127)가 수행된 이후 축소되어 축소된 협폭의 금속 게이트 구조(120')를 형성한다.
몇몇 실시예들에서, 광폭의 금속 게이트 구조(118)는 제1 높이(H1)를 갖고, 축소된 협폭의 금속 게이트 구조(120')는 제1 높이(H1)보다 낮은 제2 높이(H2)를 갖는다. 몇몇 실시예들에서, 제1 높이(H1)는 약 400 Å 내지 약 1000 Å 범위에 있다. 몇몇 실시예들에서, 제2 높이(H2)는 100Å 내지 약 990Å 범위에 있다. 몇몇 실시예들에서, 제1 높이(H1) 대 제2 높이(H2)의 비는 약 4 내지 약 10의 범위에 있다.
또한, 광폭의 금속 게이트 구조(118)가 광폭의 더미 게이트 구조(104)를 대체함으로써 형성되고, 축소된 협폭의 금속 게이트 구조(120')는 협폭의 더미 게이트 구조(106)를 대체함으로써 형성되기 때문에, 광폭의 금속 게이트 구조(118)는 또한 제1 폭(W1)을 갖고, 축소된 협폭의 금속 게이트 구조(120')는 제1 폭(W1)보다 작은 제2 폭(W2)을 갖는다. 몇몇 실시예들에서, 제1 높이(H1) 대 제1 폭(W1)의 비는 약 25 내지 약 1의 범위에 있다. 몇몇 실시예들에서, 제2 높이(H2) 대 제2 폭(W2)의 비는 약 1 내지 약 30의 범위에 있다.
에칭 백 프로세스(127)가 수행된 이후, 마스크 구조(311)가 제거되고, 절연층(128)이 몇몇 실시예들에 따라 축소된 협폭의 금속 게이트 구조(120') 상에 형성된다. 도 2h에서 도시된 바와 같이, 절연층(128)은 축소된 협폭의 금속 게이트 구조(120') 상에 형성되지만 광폭의 금속 게이트 구조(118) 상에는 형성되지 않는다. 몇몇 실시예들에서, 절연층(128)은, 기판(102) 위에 절연 물질을 적층하고, 광폭의 금속 게이트 구조(118)의 상면을 노출하도록 절연 물질의 상부를 제거함으로써 형성된다.
몇몇 실시예들에서, 절연층(128)은 제3 높이(H3)를 갖는다. 몇몇 실시예들에서, 제3 높이(H3)는 약 1 Å 내지 300 Å 범위에 있다. 몇몇 실시예들에서, 광폭의 금속 게이트 구조(118)의 제1 높이(H1)는 실질적으로 축소된 협폭의 금속 게이트 구조(120')의 제2 높이(H2)와 절연층(128)의 제3 높이(H3)의 합과 같다.
몇몇 실시예들에서, 절연층(128)은 실리콘 질화물, 실리콘 탄화물, 실리콘 산질화물 또는 알루미늄 산화물과 같은 질화물 물질, 탄화물 물질, 또는 산화물 물질로 이루어진다. 또한, 다른 저-k 유전체 물질이 또한 절연층(128)을 형성하는데 이용될 수 있다. 절연층(128)은, 기판(102) 위에 절연 물질을 적층하고 이어서 CMP 프로세스를 수행함으로써 형성될 수 있다. 절연 물질은 CVD 프로세스에 의해 적층될 수 있다.
다음으로, 유전체층(130)이 몇몇 실시예들에 따라 도 2i에서 도시된 바와 같이 ILD층(112), 절연층(128) 및 광폭의 금속 게이트 구조(118) 상에 형성된다. 몇몇 실시예들에서, 유전체층(130)은 실리콘 산화물, 실리콘 질화물, 실리콘 산질화물, 또는 ILD층(112)와 유사하거나 동일한 다른 응용 가능한 유전체 물질로 이루어진다. 유전체층(130)은 CVD 프로세스에 의해 형성될 수 있다.
이어서, 포토레지스트층(313)이 몇몇 실시예들에 따라 도 2i에서 도시된 바와 같이 유전체층(130) 위에 형성된다. 포토레지스트층(313)은 제1 개구(315) 및 제2 개구(317)를 갖는다. 에칭 프로세스는 몇몇 실시예들에 따라 도 2j에서 도시된 바와 같이, 포토레지스트층(313)의 제1 개구(315) 및 제2 개구(317) 아래의 유전체층(130) 및 ILD층(112)의 일부를 제거하도록 수행된다. 제1 컨택 트랜치(319) 및 제2 컨택 트랜치(321)가 이에 따라 형성된다. 몇몇 실시예들에서, 에칭 프로세스는 습식 에칭 프로세스이다. 제1 컨택 트랜치(319) 및 제2 컨택 트랜치(321)의 폭들은 요구에 따라 조정될 수 있다.
다음으로, 제1 컨택(132) 및 제2 컨택(134)이 몇몇 실시예들에 따라 도 2k에서 도시된 바와 같이 제1 컨택 트랜치(319) 및 제2 컨택 트랜치(321)에 각각 형성된다. 몇몇 실시예들에서, 제1 컨택(132) 및 제2 컨택(134)은 알루미늄, 구리, 텅스텐, 티타늄, 탄탈륨, 티타늄 질화물, 탄탈륨 질화물, 니켈 규화물, 코발트 규화물, TaC, TaSiN, TaCN, TiAl, TiAlN, 다른 응용 가능한 전도성 물질 또는 이들의 조합과 같은 전도성 물질로 이루어진다.
도 2k에서 도시된 바와 같이, 제1 컨택(132)은 광폭의 금속 게이트 구조(118)에 인접한 S/D 영역(307) 위에 형성되고, 제2 컨택(134)은 축소된 협폭의 금속 게이트 구조(120')에 인접한 S/D 영역(307) 위에 형성된다. 또한, 절연층(128)이 축소된 협폭의 금속 게이트 구조(120') 상에 형성되고, 제2 컨택(134)과 축소된 협폭의 금속 게이트 구조(120') 간의 부족을 방지하도록 구성되기 때문에, 제2 컨택(134)은 축소된 협폭의 금속 게이트 구조(120')에 대해 자기-정렬될 수 있다. 즉, 절연층(128)은 도 2j에서 도시된 바와 같이 제2 컨택 트랜치(321)가 에칭 프로세스에 의해 형성될 때 마스크로서 이용될 수 있다. 그러므로, 제2 컨택 트랜치(321)에 형성된 제2 컨택(134)은 축소된 협폭의 금속 게이트 구조(120')에 대해 정렬될 수 있는 반면에, 제2 컨택(134)은 축소된 협폭의 금속 게이트 구조(120')와 직접 접촉하지는 않을 것이다.
전술한 바와 같이, 절연층(128)은 제2 컨택(134)과 축소된 협폭의 금속 게이트 구조(120') 간의 부족을 방지하기 위해 축소된 협폭의 금속 게이트 구조(120') 상에 형성된다. 그러므로, 제2 컨택(134)은 축소된 협폭의 금속 게이트 구조(120')에 대해 자기-정렬된 컨택일 수 있다. 또한, 마스크 구조(311)가 에칭 백 프로세스(127) 동안 광폭의 금속 게이트 구조(118)를 보호하는데 이용되기 때문에, 광폭의 금속 게이트 구조(118)의 전도성 물질은 손상되지 않을 것이고, 문턱 전압은 설계된 대로 유지된다.
도 1을 다시 참조하면, 몇몇 실시예들에 따라 반도체 디바이스 구조물(100)은 축소된 제3 금속 게이트 구조(120") 및 제3 컨택(134')을 더 포함한다. 도 3은 몇몇 실시예들에 따른, 도 1에 표시된 선 B-B'를 따라 도시한 반도체 디바이스 구조물(100)의 단면도를 예시한다. 축소된 제3 금속 게이트 구조(120")를 형성하기 위한 물질 및 프로세스는 축소된 협폭의 금속 게이트 구조(120')를 형성하기 위한 것들과 유사하다. 보다 구체적으로, 축소된 제3 금속 게이트 구조(120")는 또한 게이트 유전체층(122), 일함수 금속층(124), 및 금속 게이트 전극층(126)을 포함한다. 또한, 절연층(128)이 축소된 제3 금속 게이트 구조(120") 상에 형성된다.
도 1에서 도시된 바와 같이, 광폭의 금속 게이트 구조(118)는 제1 길이(L1)를 갖고, 축소된 제3 금속 게이트 구조(120")는 제1 길이(L1)보다 짧은 제2 길이(L2)를 갖는다. 축소된 제3 금속 게이트 구조(120")가 비교적 짧은 길이를 갖기 때문에, 축소된 제3 금속 게이트 구조(120")와 축소된 제3 금속 게이트 구조(120")에 인접하여 형성된 제3 컨택(134') 간의 부족의 위험이 또한 증가한다. 그러므로, 절연층(128)은 또한 몇몇 실시예들에 따라 도 3에서 도시된 바와 같이 축소된 제3 금속 게이트 구조(120")와 제3 컨택(134') 간의 부족을 방지하기 위해 축소된 제3 금속 게이트 구조(120") 상에 형성된다. 몇몇 실시예들에 따라, 제3 컨택(134')의 물질 및 형성 프로세스는 제2 컨택(134)의 것과 유사하다. 몇몇 실시예들에서, 제3 컨택(134')은 자기-정렬된 컨택이다.
도 2에서 도시된 광폭의 금속 게이트 구조(118), 축소된 협폭의 금속 게이트 구조(120') 및 축소된 제3 금속 게이트 구조(120")는 단지 예시일 뿐이며, 본 개시의 범위를 제한하는 것으로 의도되지 않는다는 것을 주지해야 한다. 몇몇 실시예들에서, 반도체 디바이스 구조물은 광폭의 금속 게이트 구조(118) 및 축소된 협폭의 금속 게이트 구조(120')와 같은 2개의 금속 게이트 구조만을 포함한다. 몇몇 실시예들에서, 반도체 디바이스 구조물은 3개 초과의 금속 게이트 구조를 포함한다.
또한, 마스크 구조(311)의 이용을 포함하는 위에서 설명한 방법은 또한 핀 전계 효과 트랜지스터(fin field-effect transistor; FinFET) 구조를 포함하는 반도체 디바이스 구조물을 형성하는데 이용될 수 있다. 도 4a는 몇몇 실시예들에 따른 반도체 디바이스 구조물(400)의 상면도를 예시한다. 도 4b는 몇몇 실시예들에 따른, 도 4a에 표시된 선 C-C'를 따라 도시한 반도체 디바이스 구조물(400)의 단면도를 예시한다. 도 4c는 몇몇 실시예들에 따른, 도 4a에 표시된 선 D-D'를 따라 도시한 반도체 디바이스 구조물(400)의 단면도를 예시한다.
도 4a에서 도시된 바와 같이, 반도체 디바이스 구조물(400)은 기판(102) 위에 형성된 제4 게이트 구조(518), 제5 게이트 구조(520'), 제6 게이트 구조(520")를 포함한다. 또한, 제4 게이트 구조(518), 제5 게이트 구조(520'), 제6 게이트 구조(520")는 각각 핀 구조(502)에 걸쳐 형성된다. 제1 컨택(132)은 제4 게이트 구조(518)에 인접하여 형성된다. 제2 컨택(134)은 제5 게이트 구조(520')에 인접하여 형성된다. 제3 컨택(134')은 제6 게이트 구조(520")에 인접하여 형성된다.
도 4b에서 도시된 바와 같이, 제4 게이트 구조(518)는 몇몇 실시예들에 따라 광폭의 금속 게이트 구조(118)와 유사할 수 있고, 제5 게이트 구조(520')는 축소된 협폭의 금속 게이트 구조(120')와 유사할 수 있다. 몇몇 실시예들에서, 제4 게이트 구조(518) 및 제5 게이트 구조(520')는 각각 게이트 유전체층(122), 일함수 금속층(124) 및 금속 게이트 전극층(126)을 포함한다. 또한, 절연층(128)은 제5 게이트 구조(520')가 비교적 작은 폭을 갖기 때문에 제5 게이트 구조(520') 상에 형성되지만 제4 게이트 구조(518) 상에는 형성되지 않는다. 그러므로, 제2 컨택(134)은 제5 게이트 구조(520')에 정렬된 자기-정렬된 컨택일 수 있다.
도 4c에서 도시된 바와 같이, 제6 게이트 구조(520")는 몇몇 실시예들에 따라 축소된 제3 금속 게이트 구조(120")와 유사할 수 있다. 몇몇 실시예들에서, 제6 게이트 구조(520")는 게이트 유전체층(122), 일함수 금속층(124) 및 금속 게이트 전극층(126)을 포함한다. 또한, 절연층(128)은 제6 게이트 구조(520")가 비교적 작은 폭을 갖기 때문에 제6 게이트 구조(520") 상에 형성되지만 제4 게이트 구조(518) 상에는 형성되지 않는다. 그러므로, 제3 컨택(134')은 제6 게이트 구조(520")에 대해 정렬된 자기-정렬된 컨택일 수 있다.
도 4b 및 도 4c에서 도시된 바와 같이, 반도체 디바이스 구조물(400)은 또한 몇몇 실시예들에 따라 밀봉층(301), 스페이서(303), LDD 영역(305), S/D 영역(307), CESL(309), ILD층(112) 및 유전체층(130)을 포함한다. 이들 엘리먼트들은전술한 엘리먼트들과 유사하거나 동일할 수 있고, 이들 엘리먼트들의 상세한 설명은 여기서 반복되지 않는다.
전술한 바와 같이, 반도체 디바이스 구조물(100)과 같은 반도체 디바이스 구조물은 몇몇 실시예들에 따라 다양한 채널 길이를 갖는 게이트 구조를 포함한다. 예를 들어, 반도체 디바이스 구조물(100)은 광폭의 금속 게이트 구조(118) 및 축소된 협폭의 금속 게이트 구조(120')를 포함하고, 광폭의 금속 게이트 구조(118)의 폭(W1)은 축소된 협폭의 금속 게이트 구조(120')의 폭(W2)보다 크다. 그러나 폭(W1)과 폭(W2) 간의 차이는 로딩 효과로 인해 상이한 에칭 레이트를 초래할 것이다. 그러므로 마스크 구조(311)는 에칭 백 프로세스(127) 동안 광폭의 금속 게이트 구조(118)를 보호하는데 이용된다. 그 결과 광폭의 금속 게이트 구조(118)의 상부는 에칭 백 프로세스(127) 동안 제거되지 않는 반면에, 협폭의 금속 게이트 구조(120)의 상부는 축소된 협폭의 금속 게이트 구조(120')를 형성하기 위해 제거된다.
이에 따라, 에칭 백 프로세스(127) 동안 로딩 효과로부터 발생하는 광폭의 금속 게이트 구조(118)에 대한 손상이 방지되고 광폭의 금속 게이트 구조(118)의 문턱 전압은 설계된 대로 유지된다.
또한, 절연층(128)은 축소된 협폭의 금속 게이트 구조(120')와 컨택(134) 간의 부족을 방지하기 위해 축소된 협폭의 금속 게이트 구조(120') 상에 형성된다. 그러므로 컨택(134)은 몇몇 실시예들에 따라 자기-정렬된 컨택일 수 있다. 또한, 컨택(134)의 일부는 절연층(128) 상에 형성될 수 있고 절연층(128)에 의해 축소된 협폭의 금속 게이트 구조(120')로부터 분리된다.
반도체 디바이스 구조물의 실시예들이 제공된다. 반도체 디바이스 구조물은 제1 금속 게이트 구조 및 제2 금속 게이트 구조를 포함한다. 절연층이 제2 금속 게이트 구조 상에 형성된다. 제1 금속 게이트 구조는 제1 폭을 갖고 제2 금속 게이트 구조는 제1 폭보다 작은 제2 폭을 갖는다. 제2 금속 게이트 구조는 에칭 백 프로세스에 의해 축소된다. 또한, 에칭 백 프로세스 동안, 마스크 구조가 제1 금속 게이트 구조 상에 형성되어서, 제1 금속 게이트 구조는 마스크 구조에 의해 보호된다. 그러므로, 제1 금속 게이트 구조는 에칭 백 프로세스에 의해 손상되지 않고, 제1 금속 게이트 구조의 문턱 전압이 설계된 대로 유지된다.
몇몇 실시예들에서 반도체 디바이스 구조물이 제공된다. 반도체 디바이스 구조물은 기판 및 상기 기판 위에 형성되는 제1 금속 게이트 구조를 포함한다. 제1 금속 게이트 구조는 제1 폭을 갖는다. 반도체 디바이스 구조물은 상기 제1 금속 게이트 구조에 인접하여 형성되는 제1 컨택 및 상기 기판 위에 형성되는 제2 금속 게이트 구조를 더 포함한다. 제2 금속 게이트 구조는 상기 제1 폭보다 작은 제2 폭을 갖는다. 반도체 디바이스 구조물은 상기 제2 금속 게이트 구조 위에 형성되는 절연층 및 상기 제2 금속 게이트 구조에 대해 자기-정렬되는 제2 컨택을 더 포함한다.
몇몇 실시예들에서, 반도체 디바이스 구조물이 제공된다. 반도체 디바이스 구조물은 기판 및 상기 기판 위에 형성되는 제1 금속 게이트 구조를 포함한다. 반도체 디바이스 구조물은 상기 제1 금속 게이트 구조에 인접하여 형성되는 제1 컨택 및 상기 기판 위에 형성되는 제2 금속 게이트 구조를 더 포함한다. 반도체 디바이스 구조물은 상기 제2 금속 게이트 구조 위에 형성되는 절연층 및 상기 제2 금속 게이트 구조에 대해 자기-정렬되는 제2 컨택을 더 포함한다. 또한, 상기 제1 금속 게이트 구조는 제1 폭 및 제1 높이를 갖고, 상기 제2 금속 게이트 구조는 제2 폭 및 상기 제1 높이보다 낮은 제2 높이를 갖고, 상기 제1 폭 대 상기 제2 폭의 비는 약 2 내지 약 15의 범위에 있다.
몇몇 실시예들에서, 반도체 디바이스 구조물을 형성하기 위한 방법이 제공된다. 이 방법은 기판 위의 층간 유전체(inter-layer dielectric; ILD)층에 제1 금속 게이트 구조 및 제2 금속 게이트 구조를 형성하는 단계를 포함한다. 이 방법은 상기 제1 금속 게이트 구조 상에 마스크 구조를 형성하고, 상기 제2 금속 게이트 구조의 상면을 노출하는 단계를 더 포함한다. 이 방법은 상기 제2 금속 게이트 구조를 축소하기 위해 상기 제2 금속 게이트 구조의 상부를 에칭하는 단계를 더 포함한다. 이 방법은 상기 제2 금속 게이트 구조 상에 절연층을 형성하는 단계를 더 포함한다. 이 방법은 상기 제1 금속 게이트 구조에 인접한 제1 컨택 및 상기 제2 금속 게이트 구조에 대해 자기-정렬되는 제2 컨택을 형성하는 단계를 더 포함한다. 또한, 상기 제1 금속 게이트 구조는 제1 폭을 갖고, 상기 제2 금속 게이트 구조는 상기 제1 폭보다 작은 제2 폭을 갖는다.
본 개시의 실시예들 및 그의 이점들이 상세히 설명되었지만, 다양한 변경, 교체 및 변경이 첨부된 청구항에 의해 정의된 본 개시의 사상 및 범위로부터 벗어남 없이 이루어질 수 있다고 생각한다. 예를 들어, 본 명세서에서 설명한 특징, 기능, 프로세스 및 물질 대부분이 본 개시의 범위 내에 유지되면서 변동될 수 있다는 것이 당업자에 의해 쉽게 이해될 것이다. 또한, 본 출원의 범위는 본 명세서에서 설명한 물질, 수단, 방법 및 단계의 프로세스, 머신, 제조, 조성물의 특정한 실시예들로 제한되도록 의도되지 않는다. 본 개시의 개시물로부터 당업자가 쉽게 인지할 바와 같이, 본 명세서에서 설명한 대응하는 실시예들과 실질적으로 동일한 기능을 수행하거나 실질적으로 동일한 결과를 달성하는 현재 존재하거나 추후에 개발될 물질, 수단, 방법들 또는 단계의 프로세스, 머신, 제조, 조성물이 본 개시에 따라 활용될 수 있다. 이에 따라, 첨부된 청구항들은 이러한 프로세스, 머신, 제조, 물질의 조성물, 수단, 방법 또는 단계를 본 발명의 범위 내에 포함하는 것이 의도된다.

Claims (10)

  1. 반도체 디바이스 구조물에 있어서,
    기판과,
    상기 기판 위에 형성되는 제1 금속 게이트 구조로서, 상기 제1 금속 게이트 구조는 제1 폭을 갖는 것인, 상기 제1 금속 게이트 구조와,
    상기 제1 금속 게이트 구조에 인접하여 형성되는 제1 컨택과,
    상기 기판 위에 형성되는 제2 금속 게이트 구조로서, 상기 제2 금속 게이트 구조는 상기 제1 폭보다 작은 제2 폭을 갖는 것인, 상기 제2 금속 게이트 구조와,
    상기 제2 금속 게이트 구조 위에 형성되는 절연층과,
    상기 제2 금속 게이트 구조에 대해 자기-정렬되는(self-aligned) 제2 컨택
    을 포함하는 반도체 디바이스 구조물.
  2. 청구항 1에 있어서, 상기 제1 폭 대 상기 제2 폭의 비는 2 내지 15의 범위에 있는 것인 반도체 디바이스 구조물.
  3. 청구항 1에 있어서, 상기 제1 금속 게이트 구조는 제1 높이를 갖고, 협폭의 상기 제2 금속 게이트 구조는 상기 제1 높이보다 더 낮은 제2 높이를 갖는 것인 반도체 디바이스 구조물.
  4. 청구항 3에 있어서, 상기 제1 높이 대 상기 제2 높이의 비는 4 내지 10의 범위에 있는 것인 반도체 디바이스 구조물.
  5. 청구항 3에 있어서, 협폭의 상기 제2 금속 게이트 구조 위에 형성되는 절연층은 제3 높이를 갖고, 상기 제2 높이 및 상기 제3 높이의 합이 상기 제1 높이와 같은 것인 반도체 디바이스 구조물.
  6. 청구항 1에 있어서, 상기 절연층은 상기 제2 금속 게이트 구조 상에 형성되지만, 상기 제1 금속 게이트 구조 상에는 형성되지 않는 것인 반도체 디바이스 구조물.
  7. 청구항 1에 있어서, 상기 제2 컨택의 일부는 상기 절연층 상에 형성되는 것인 반도체 디바이스 구조물.
  8. 반도체 디바이스 구조물에 있어서,
    기판과,
    상기 기판 위에 형성되는 제1 금속 게이트 구조와,
    상기 제1 금속 게이트 구조에 인접하여 형성되는 제1 컨택과,
    상기 기판 위에 형성되는 제2 금속 게이트 구조와,
    상기 제2 금속 게이트 구조 위에 형성되는 절연층과,
    상기 제2 금속 게이트 구조에 대해 자기-정렬되는 제2 컨택
    을 포함하고,
    상기 제1 금속 게이트 구조는 제1 폭 및 제1 높이를 갖고, 상기 제2 금속 게이트 구조는 제2 폭 및 상기 제1 높이보다 낮은 제2 높이를 갖고, 상기 제1 폭 대 상기 제2 폭의 비는 2 내지 15의 범위에 있는 것인 반도체 디바이스 구조물.
  9. 반도체 디바이스 구조물을 형성하기 위한 방법에 있어서,
    기판 위의 층간 유전체(inter-layer dielectric; ILD) 층에 제1 금속 게이트 구조 및 제2 금속 게이트 구조를 형성하는 단계와,
    상기 제1 금속 게이트 구조 상에 마스크 구조를 형성하고, 상기 제2 금속 게이트 구조의 상면을 노출하는 단계와,
    상기 제2 금속 게이트 구조를 축소하기 위해 상기 제2 금속 게이트 구조의 상부를 에칭하는 단계와,
    상기 제2 금속 게이트 구조 상에 절연층을 형성하는 단계와,
    상기 제1 금속 게이트 구조에 인접한 제1 컨택 및 상기 제2 금속 게이트 구조에 대해 자기-정렬되는 제2 컨택을 형성하는 단계
    를 포함하고,
    상기 제1 금속 게이트 구조는 제1 폭을 갖고, 상기 제2 금속 게이트 구조는 상기 제1 폭보다 작은 제2 폭을 갖는 것인 반도체 디바이스 구조물의 형성 방법.
  10. 청구항 9에 있어서, 상기 절연층이 상기 제2 금속 게이트 구조 상에 형성되기 이전에 상기 마스크 구조를 제거하는 단계를 더 포함하는 반도체 디바이스 구조물의 형성 방법.
KR1020140156217A 2014-02-12 2014-11-11 다양한 폭을 갖는 게이트 구조를 포함하는 반도체 디바이스 구조물 및 그 형성 방법 KR101639486B1 (ko)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US14/178,906 US9524965B2 (en) 2014-02-12 2014-02-12 Gate structures with various widths and method for forming the same
US14/178,906 2014-02-12

Publications (2)

Publication Number Publication Date
KR20150095171A true KR20150095171A (ko) 2015-08-20
KR101639486B1 KR101639486B1 (ko) 2016-07-13

Family

ID=53775618

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020140156217A KR101639486B1 (ko) 2014-02-12 2014-11-11 다양한 폭을 갖는 게이트 구조를 포함하는 반도체 디바이스 구조물 및 그 형성 방법

Country Status (4)

Country Link
US (4) US9524965B2 (ko)
KR (1) KR101639486B1 (ko)
CN (1) CN104835838B (ko)
TW (1) TWI574309B (ko)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10276392B2 (en) 2015-12-31 2019-04-30 Taiwan Semiconductor Manufacturing Co., Ltd. Loading effect reduction through multiple coat-etch processes

Families Citing this family (31)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9524965B2 (en) * 2014-02-12 2016-12-20 Taiwan Semiconductor Manufacturing Co., Ltd. Gate structures with various widths and method for forming the same
US9231067B2 (en) 2014-02-26 2016-01-05 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and fabricating method thereof
US9287403B1 (en) * 2014-12-05 2016-03-15 Taiwan Semiconductor Manufacturing Co., Ltd. FinFET and method for manufacturing the same
CN106531776B (zh) * 2015-09-11 2021-06-29 联华电子股份有限公司 半导体结构
CN106684041B (zh) * 2015-11-10 2020-12-08 联华电子股份有限公司 半导体元件及其制作方法
US9786563B2 (en) * 2015-11-23 2017-10-10 International Business Machines Corporation Fin pitch scaling for high voltage devices and low voltage devices on the same wafer
US10008574B2 (en) * 2015-11-30 2018-06-26 Taiwan Semiconductor Manufacturing Co., Ltd. Gate structure and method of fabricating the same
KR102497251B1 (ko) * 2015-12-29 2023-02-08 삼성전자주식회사 반도체 소자 및 이의 제조 방법
US9865703B2 (en) * 2015-12-31 2018-01-09 International Business Machines Corporation High-K layer chamfering to prevent oxygen ingress in replacement metal gate (RMG) process
TWI692872B (zh) * 2016-01-05 2020-05-01 聯華電子股份有限公司 半導體元件及其形成方法
US9761694B2 (en) 2016-01-27 2017-09-12 International Business Machines Corporation Vertical FET with selective atomic layer deposition gate
US10431583B2 (en) 2016-02-11 2019-10-01 Samsung Electronics Co., Ltd. Semiconductor device including transistors with adjusted threshold voltages
KR102514620B1 (ko) 2016-04-28 2023-03-29 삼성전자주식회사 반도체 소자 및 이의 제조 방법
US10121873B2 (en) * 2016-07-29 2018-11-06 Taiwan Semiconductor Manufacturing Company, Ltd. Metal gate and contact plug design and method forming same
US9929271B2 (en) * 2016-08-03 2018-03-27 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and method
CN107731737B (zh) * 2016-08-12 2020-06-09 中芯国际集成电路制造(上海)有限公司 半导体结构的形成方法
US10707316B2 (en) 2016-12-09 2020-07-07 Taiwan Semiconductor Manufacturing Co., Ltd. Structure and formation method of semiconductor device structure with gate structure
CN108258033B (zh) * 2016-12-29 2020-12-22 中芯国际集成电路制造(上海)有限公司 半导体器件及其形成方法
CN108807158B (zh) * 2017-04-26 2020-10-09 中芯国际集成电路制造(上海)有限公司 半导体结构及其形成方法
US10043713B1 (en) * 2017-05-10 2018-08-07 Globalfoundries Inc. Method to reduce FinFET short channel gate height
TWI625856B (zh) * 2017-06-15 2018-06-01 台灣積體電路製造股份有限公司 半導體裝置結構及其製造方法
US10388652B2 (en) 2017-11-14 2019-08-20 Globalfoundries Inc. Intergrated circuit structure including single diffusion break abutting end isolation region, and methods of forming same
US10403548B2 (en) 2017-11-14 2019-09-03 Globalfoundries Inc. Forming single diffusion break and end isolation region after metal gate replacement, and related structure
US10157796B1 (en) * 2017-11-14 2018-12-18 Globalfoundries Inc. Forming of marking trenches in structure for multiple patterning lithography
US10418453B2 (en) * 2017-11-22 2019-09-17 Taiwan Semiconductor Manufacturing Co., Ltd. Forming metal contacts on metal gates
CN108766878B (zh) * 2018-05-21 2021-01-29 上海华力集成电路制造有限公司 金属栅极的制造方法
US10680107B2 (en) 2018-09-24 2020-06-09 International Business Machines Corporation Nanosheet transistor with stable structure
US11121026B2 (en) * 2018-10-31 2021-09-14 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and method of manufacture
US10777420B1 (en) * 2019-02-26 2020-09-15 United Microelectronics Corp. Etching back method
US11257817B2 (en) 2020-03-04 2022-02-22 Taiwan Semiconductor Manufacturing Company, Ltd. Integrated chip with improved latch-up immunity
CN111599756B (zh) * 2020-05-29 2023-08-15 上海华力集成电路制造有限公司 一种半导体器件的制造方法

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20130187236A1 (en) * 2012-01-20 2013-07-25 Globalfoundries Inc. Methods of Forming Replacement Gate Structures for Semiconductor Devices
KR20130140231A (ko) * 2009-12-30 2013-12-23 인텔 코포레이션 자가 정렬된 콘택
US20140070320A1 (en) * 2012-09-07 2014-03-13 Srijit Mukherjee Integrated circuits with selective gate electrode recess
US20140103403A1 (en) * 2012-10-12 2014-04-17 Samsung Electronics Co., Ltd. Method for manufacturing semiconductor device

Family Cites Families (16)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100350056B1 (ko) * 2000-03-09 2002-08-24 삼성전자 주식회사 다마신 게이트 공정에서 자기정렬콘택패드 형성 방법
US6875680B1 (en) * 2003-12-30 2005-04-05 Dongbu Electronics Co. Ltd. Methods of manufacturing transistors using dummy gate patterns
US7151023B1 (en) 2005-08-01 2006-12-19 International Business Machines Corporation Metal gate MOSFET by full semiconductor metal alloy conversion
CN1956186A (zh) * 2005-10-27 2007-05-02 松下电器产业株式会社 半导体装置及其制造方法
US8614131B2 (en) * 2009-02-03 2013-12-24 Taiwan Semiconductor Manufacturing Company, Ltd. Self-aligned static random access memory (SRAM) on metal gate
US8841652B2 (en) 2009-11-30 2014-09-23 International Business Machines Corporation Self aligned carbide source/drain FET
TW201225163A (en) 2010-12-07 2012-06-16 United Microelectronics Corp Method of fabricating a semiconductor structure
US8685850B2 (en) * 2011-06-13 2014-04-01 Stmicroelectronics, Inc. System and method of plating conductive gate contacts on metal gates for self-aligned contact interconnections
US20130181265A1 (en) * 2012-01-18 2013-07-18 Globalfoundries Inc. Methods of Forming a Gate Cap Layer Above a Replacement Gate Structure and a Semiconductor Device That Includes Such a Gate Structure and Cap Layer
US9130023B2 (en) * 2012-06-05 2015-09-08 Kabushiki Kaisha Toshiba Isolated insulating gate structure
JP6026914B2 (ja) * 2013-02-12 2016-11-16 ルネサスエレクトロニクス株式会社 半導体装置の製造方法
US8937359B2 (en) * 2013-05-15 2015-01-20 Globalfoundries Inc. Contact formation for ultra-scaled devices
US9349812B2 (en) * 2013-05-27 2016-05-24 United Microelectronics Corp. Semiconductor device with self-aligned contact and method of manufacturing the same
US9006804B2 (en) * 2013-06-06 2015-04-14 United Microelectronics Corp. Semiconductor device and fabrication method thereof
US9324577B2 (en) * 2014-02-07 2016-04-26 Taiwan Semiconductor Manufacturing Co., Ltd. Modified self-aligned contact process and semiconductor device
US9524965B2 (en) * 2014-02-12 2016-12-20 Taiwan Semiconductor Manufacturing Co., Ltd. Gate structures with various widths and method for forming the same

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20130140231A (ko) * 2009-12-30 2013-12-23 인텔 코포레이션 자가 정렬된 콘택
US20130187236A1 (en) * 2012-01-20 2013-07-25 Globalfoundries Inc. Methods of Forming Replacement Gate Structures for Semiconductor Devices
US20140070320A1 (en) * 2012-09-07 2014-03-13 Srijit Mukherjee Integrated circuits with selective gate electrode recess
US20140103403A1 (en) * 2012-10-12 2014-04-17 Samsung Electronics Co., Ltd. Method for manufacturing semiconductor device

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10276392B2 (en) 2015-12-31 2019-04-30 Taiwan Semiconductor Manufacturing Co., Ltd. Loading effect reduction through multiple coat-etch processes
US10755936B2 (en) 2015-12-31 2020-08-25 Taiwan Semiconductor Manufacturing Co., Ltd. Loading effect reduction through multiple coat-etch processes
US11387105B2 (en) 2015-12-31 2022-07-12 Taiwan Semiconductor Manufacturing Co., Ltd. Loading effect reduction through multiple coat-etch processes

Also Published As

Publication number Publication date
CN104835838A (zh) 2015-08-12
US10522412B2 (en) 2019-12-31
US10879127B2 (en) 2020-12-29
US9899265B2 (en) 2018-02-20
TW201532129A (zh) 2015-08-16
KR101639486B1 (ko) 2016-07-13
US20150228646A1 (en) 2015-08-13
TWI574309B (zh) 2017-03-11
US20170098581A1 (en) 2017-04-06
CN104835838B (zh) 2018-07-10
US20200144127A1 (en) 2020-05-07
US9524965B2 (en) 2016-12-20
US20180174916A1 (en) 2018-06-21

Similar Documents

Publication Publication Date Title
US10879127B2 (en) Gate structures for semiconductor devices
US9263445B2 (en) Method of fabricating dual high-k metal gates for MOS devices
US9601388B2 (en) Integrated high-K/metal gate in CMOS process flow
US9704970B2 (en) Semiconductor device and fabricating method thereof
US10727068B2 (en) Method for manufacturing semiconductor structure with mask structure
US8093116B2 (en) Method for N/P patterning in a gate last process
US8497169B2 (en) Method for protecting a gate structure during contact formation
US8658525B2 (en) Methods for a gate replacement process
US8349680B2 (en) High-k metal gate CMOS patterning method

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20190626

Year of fee payment: 4