TWI457986B - 形成包含擴散阻障膜之半導體裝置的方法 - Google Patents

形成包含擴散阻障膜之半導體裝置的方法 Download PDF

Info

Publication number
TWI457986B
TWI457986B TW097116192A TW97116192A TWI457986B TW I457986 B TWI457986 B TW I457986B TW 097116192 A TW097116192 A TW 097116192A TW 97116192 A TW97116192 A TW 97116192A TW I457986 B TWI457986 B TW I457986B
Authority
TW
Taiwan
Prior art keywords
layer
metal nitride
forming
diffusion barrier
barrier film
Prior art date
Application number
TW097116192A
Other languages
English (en)
Other versions
TW200901289A (en
Inventor
Kyung-In Choi
Gil-Heyun Choi
Hyun-Bae Lee
Jong-Won Hong
Jong-Myeong Lee
Original Assignee
Samsung Electronics Co Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Samsung Electronics Co Ltd filed Critical Samsung Electronics Co Ltd
Publication of TW200901289A publication Critical patent/TW200901289A/zh
Application granted granted Critical
Publication of TWI457986B publication Critical patent/TWI457986B/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric
    • H01L21/76846Layer combinations
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76853Barrier, adhesion or liner layers characterized by particular after-treatment steps
    • H01L21/76855After-treatment introducing at least one additional element into the layer
    • H01L21/76856After-treatment introducing at least one additional element into the layer by treatment in plasmas or gaseous environments, e.g. nitriding a refractory metal liner
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76853Barrier, adhesion or liner layers characterized by particular after-treatment steps
    • H01L21/76861Post-treatment or after-treatment not introducing additional chemical elements into the layer
    • H01L21/76862Bombardment with particles, e.g. treatment in noble gas plasmas; UV irradiation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/02Bonding areas ; Manufacturing methods related thereto
    • H01L24/03Manufacturing methods
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/02Bonding areas ; Manufacturing methods related thereto
    • H01L24/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L24/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/03Manufacturing methods
    • H01L2224/036Manufacturing methods by patterning a pre-deposited material
    • H01L2224/03602Mechanical treatment, e.g. polishing, grinding
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • H01L2224/05001Internal layers
    • H01L2224/05099Material
    • H01L2224/051Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof
    • H01L2224/05117Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof the principal constituent melting at a temperature of greater than or equal to 400°C and less than 950°C
    • H01L2224/05124Aluminium [Al] as principal constituent
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01005Boron [B]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01006Carbon [C]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01013Aluminum [Al]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01019Potassium [K]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01023Vanadium [V]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01029Copper [Cu]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01032Germanium [Ge]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01033Arsenic [As]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/0104Zirconium [Zr]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01041Niobium [Nb]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01042Molybdenum [Mo]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01044Ruthenium [Ru]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01045Rhodium [Rh]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01046Palladium [Pd]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01047Silver [Ag]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/0105Tin [Sn]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01072Hafnium [Hf]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01073Tantalum [Ta]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01074Tungsten [W]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01075Rhenium [Re]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01076Osmium [Os]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01077Iridium [Ir]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01078Platinum [Pt]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01079Gold [Au]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01082Lead [Pb]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/049Nitrides composed of metals from groups of the periodic table
    • H01L2924/04944th Group
    • H01L2924/04941TiN
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/19Details of hybrid assemblies other than the semiconductor or other solid state devices to be connected
    • H01L2924/1901Structure
    • H01L2924/1904Component type
    • H01L2924/19043Component type being a resistor
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/30Technical effects
    • H01L2924/301Electrical effects
    • H01L2924/3011Impedance

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Plasma & Fusion (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Electrodes Of Semiconductors (AREA)
  • Chemical Vapour Deposition (AREA)

Description

形成包含擴散阻障膜之半導體裝置的方法
本發明是有關於一種形成半導體裝置(semiconductor device)的方法,且特別是有關於一種形成包含擴散阻障膜(diffusion barrier film)的半導體裝置的方法。
隨著半導體裝置的集度升高,半導體裝置的半導體圖案(特別是內連線(interconnections)的寬度及厚度)可能減少。因此,內連線的電阻值可能增加。隨著半導體工業的發展,需要提升半導體裝置的操作速度。為了滿足半導體裝置的高集度以及高操作速度,內連線可能由低電阻率(specific resistance)的導電材料所構成。
半導體裝置中,可電性連接至外部的內連線及/或銲墊(pads)可能主要由鋁所構成。然而,如上所述,當需要進一步提升半導體裝置的集度以及操作速度時,可能使用由電阻率低於鋁的銅所構成的內連線及/或銲墊。
然而,使用由銅所構成的內連線及/或銲墊可能會有一些問題產生。例如,銅可能容易氧化。當銲墊由銅所構成時,氧化銅層可能會形成於銲墊的表面上,而導致銲墊與外部端子(例如銲線接合)的接觸不良。因此,可能在半導體裝置中同時使用銅圖案(copper pattern)及鋁圖案(aluminum pattern)。例如,下層內連線可能由銅圖案所構成,而末端的內連線及半導體裝置的銲墊則可能由鋁圖案所構成。然而,在此例中也可能發生問題。銅圖案的銅原 子與鋁圖案的鋁原子可能互相擴散而產生銅-鋁合金。銅-鋁合金的電阻率明顯高於銅及/或鋁個別的電阻率。因此,半導體裝置的電性可能變差。
本發明提供一種形成包含擴散阻障膜的半導體裝置的方法。在一實施例中,形成半導體裝置的方法可能包括:於包含下層導體(lower conductor)的基底(substrate)上形成層間介電層(interlayer dielectric);形成穿過層間介電層且暴露下層導體的開口(opening);於包含開口的層間介電層上形成擴散阻障膜,擴散阻障膜包含電漿處理層(plasma-treated layer)及非電漿處理層(plasma-untreated layer);於擴散阻障膜上形成上層導體(upper conductor),以填充開口,其中擴散阻障膜包括利用有機金屬化學氣相沈積(metal organic chemical vapor deposition,MOCVD)製程形成的金屬氮化物。
為了讓本發明的上述和其他特徵和優點能更明顯易懂,下文特舉其實施例,並配合所附圖式,作詳細說明如下。
現在將參考附圖更完整地說明本發明,其例子並於附圖中予以繪示。然而,本發明可能以許多不同的形式來實施,因此不應視為侷限於在此所述之實施例。相反地,提供這些實施例將使得本發明的揭露更為徹底及完全,且將更完整地傳達本發明的觀念給熟習此技藝者。各圖的說明 當中相同的參考數字表示相同的元件。
須知當稱一元件”位於”另一元件時,其可能直接位於此另一元件或可能存在中介元件。相對地,當稱一元件”直接位於”另一元件時,不存在中介元件。須知當稱一元件”連接”或”耦合”另一元件時,其可能直接連接或耦合此另一元件或可能存在中介元件。相對地,當稱一元件”直接連接”或”直接耦合”另一元件時,不存在中介元件。並且,在此使用的”連接”或”耦合”可能包括無線連接或耦合。當在此使用時,術語”及/或”包括相關的列舉項目當中一個或多個的任何及所有的組合。
須知雖然術語第一、第二等等在此用以說明各種元件,但是這些元件不應受限於這些術語。這些術語僅用以區分某一元件與另一元件。例如,在不脫離本發明的原理的情況下,當可稱第一層為第二層,而同樣地,當可稱第二層為第一層。
在此所使用的術語僅用以說明特定實施例而非用以限制本發明。當在此使用時,除非上下文清楚地指出,否則單數形式的”一”及”所述”也將包括複數形式。更須知當在此說明書使用時,術語”包括”及/或”包含”指出存在所述之特徵、區域、整數、步驟、操作、元件及/或組件,但並不排除存在或附加一個或多個其他的特徵、區域、整數、步驟、操作、元件、組件及/或其組合。
此外,在此可能使用如"下層"、"底部"、"上層"或"頂部"的關係術語來描述如圖中所繪示之某一元件與另一元 件的關係。須知這些關係術語是想要包含除了圖中所述方位之外的其他裝置方位。例如,若翻轉某一圖中的裝置,則原本位於另外元件的”下層”側的元件接著將指向位於此另外元件的”上層”側。因此,根據圖中的特定方位,範例術語”下層”可能包含”下層”與”上層”兩種方位。同樣地,若翻轉某一圖中的裝置,則原本位於另外元件的”下方”的元件接著將指向位於此另外元件的”上方”。因此,範例術語”下方”可能包含”上方”與”下方”兩種方位。
除非另有定義,否則在此所使用的所有術語(包括技術及科學術語)都具有如任何熟習本發明所屬技藝者通常所了解的意義。更須知術語(例如通用字典所定義的術語)的意義解釋應符合其依據相關技藝及本說明書的意義,而不應以理想化或過於形式化的意義來解釋,除非在此特別如此定義。
在此將參考剖面示意圖來說明本發明的實施例,其為本發明的理想化實施例的示意圖。就此情況而論,可預期繪圖的形狀變化為例如製造技術及/或公差的結果。由此,本發明的實施例不應視為侷限於在此所繪示之區域的特定形狀,而應視為包括例如由製造所導致的形狀偏差。例如,繪示或說明為平坦的區域通常可能具有粗糙及/或非線性的外貌。並且,所繪示的銳角可能是圓滑的。由此,圖中所繪示的區域乃示意其本質,因而其形狀不是要繪示區域的精確形狀,並且不是要限制本發明的範疇。
在說明中,在此使用的術語"基底"可能包括基於半導 體的結構,且其暴露出半導體表面。須知此種結構可能包含矽、絕緣層上覆矽(silicon on insulator)、藍寶石矽(silicon on sapphire)、摻雜的或未摻雜的矽、半導體基底所支撐的磊晶層(epitaxial layer)或其他半導體結構。並且,此半導體可能是矽-鍺、鍺或砷化鍺,而未侷限於矽。此外,以下所說明的基底中可能形成有區域、導電層、絕緣層、其圖案及/或接面。
【第一實施例】
圖1至圖4是根據本發明的一些實施例之形成半導體裝置的方法的剖面示意圖。
參照圖1,提供包含下層導體102的基底100。下層導體102可能包括至少一種選自銅及/或貴重金屬(例如鉑、釕、鈀、銀、銥、鋨以及銠等)群組的材料。將下層導體102形成於絕緣層中的方法例如是鑲嵌製程(damascene process)。下層導體102可能是線狀或墊狀。
首先,於包含下層導體102的基底100上形成層間介電層104,以覆蓋基底100的整個表面。層間介電層104可能包括至少一種選自氧化物、氮化物、氮氧化物以及低介電質的材料,此低介電質的材料的介電常數低於氧化物(例如碳化物或碳氧化物)的介電常數。接著,圖案化層間介電層104,以形成暴露下層導體102的開口106。開口106可能以各種方式來形成。開口106可以是孔狀或溝狀。另一方面,開口106可包括依序堆疊的孔洞及溝槽。
而後,例如是於包含開口106的層間介電層104上形 成補充擴散阻障層(supplementary diffusion barrier layer)108。補充擴散阻障層108的形成方法例如是化學氣相沈積(chemical vapor deposition,CVD)製程、物理氣相沈積(physical vapor deposition,PVD)製程或原子層沈積(atomic layer deposition,ALD)製程。補充擴散阻障層108可能包括至少一種選自鈦、鉭、氮化鈦、氮化鉭、鈦-鋯以及氮化鈦-鋯所組成之群組的材料。在一實施例中,可以省略形成補充擴散阻障層108的步驟。
參照圖2,於補充擴散阻障層108上形成擴散阻障膜120。擴散阻障膜120的材料例如是金屬氮化物,其形成方法例如是有機金屬化學氣相沈積(MOCVD)製程。尤其,擴散阻障膜120可能由包含耐火金屬的金屬氮化物所製成。例如,擴散阻障膜120可能包括至少一種選自鈮、釩、鉭、鈦、鋯、鉿、鉬、錸、鎢、鈦-矽、鉭-矽以及鈦-鋯群組的材料。擴散阻障膜120包括經電漿處理的分層(以下稱之為電漿處理層)以及未經電漿處理的分層(以下稱之為非電漿處理層)。
根據本發明的一些實施例之形成擴散阻障膜120的方法將參考圖5的流程圖與圖6A及圖6B的剖面示意圖予以詳細說明。
圖5是根據本發明的一些實施例之形成半導體裝置的方法中形成擴散阻障膜的一種方法的流程圖。圖6A及圖6B是根據本發明的一些實施例之形成半導體裝置的方法 中形成擴散阻障膜的一種方法之圖2的A部分的放大剖面示意圖。
參照圖2、圖5以及圖6A,進行步驟S150,利用有機金屬化學氣相沈積(MOCVD)製程沈積金屬氮化物層(metal nitride layer)113於具有開口106的基底100上(S150)。金屬氮化物層113可以是單層。以有機金屬化學氣相沈積(MOCVD)製程來形成金屬氮化物層113可具有高品質的階梯覆蓋率(step coverage)。因此,金屬氮化物層113可以實質上共形地形成於開口106的底面及側壁上。金屬氮化物層113可能由包含至少一種選自鈮、釩、鉭、鈦、鋯、鉿、鉬、錸、鎢、鈦-矽、鉭-矽以及鈦-鋯所組成之群組的材料的氮化物所製成。
參照圖2、圖5以及圖6B,進行步驟S155,在金屬氮化物層113上進行電漿處理製程。金屬氮化物層113的上層部分以電漿處理來處理,而金屬氮化物層113的下層部分則不以電漿處理來處理。因此,形成擴散阻障膜120。擴散阻障膜120包括對應於金屬氮化物層113的下層部分的非電漿處理層110,以及對應於金屬氮化物層113的上層部分的電漿處理層111。
用於電漿處理製程的處理氣體可能包括至少一種選自氧氣、氮氣以及氫氣的材料。可能調整電漿處理製程的電漿功率及/或處理時間以使得電漿至少處理位於開口106的底面的金屬氮化物層113的上層部分。因此,非電漿處理層110及電漿處理層111至少堆疊於開口106的底面。 金屬氮化物層113包括位於開口106的底面的第一部分、位於開口106的側壁的第二部分以及位於層間介電層104的頂面的第三部分。在金屬氮化物層113的第一及第三部分中形成的電漿處理層111的厚度可能大於在金屬氮化物層113的第二部分中形成的電漿處理層111的厚度。
由於利用有機金屬化學氣相沈積(MOCVD)製程形成金屬氮化物層113,因此金屬氮化物層113可能包括碳。電漿處理製程可最小化或減少金屬氮化物層113中的碳含量。故,電漿處理層111中的碳含量可能明顯小於非電漿處理層110中的碳含量。
在進行電漿處理製程之後,可能進行步驟S160,在金屬氮化物層113上進行浸矽製程(silicon soaking process)。浸矽製程是利用包含矽的反應氣體來處理金屬氮化物層的製程。浸矽製程可供應矽至經電漿處理的金屬氮化物層113的上層部分。用於浸矽製程的反應氣體可能包括矽甲烷(SiH4 )氣體。
根據參考圖5、圖6A以及圖6B的上述方法,在沈積單層金屬氮化物層113於基底100上後,可能進行步驟S155,也就是利用電漿處理製程形成擴散阻障膜120。
另一方面,也可以在基底上沈積多層金屬氮化物子層,以形成擴散阻障膜。這將參考圖7、圖8A、圖8B以及圖8C予以說明。
圖7是根據本發明的一些實施例之形成半導體裝置的方法中形成擴散阻障膜的另一種方法的流程圖。圖8A是 根據本發明的一些實施例之形成半導體裝置的方法中形成擴散阻障膜的另一種方法之圖2的A部分的放大剖面示意圖。圖8B是根據本發明的一些實施例之形成半導體裝置的方法中形成擴散阻障膜的又一種方法之圖2的A部分的放大剖面示意圖。圖8C是根據本發明的一些實施例之形成半導體裝置的方法中形成擴散阻障膜的再一種方法之圖2的A部分的放大剖面示意圖。
參照圖2、圖7以及圖8A,進行步驟S170,在基底100上沈積多層金屬氮化物子層113a、113b、113c。每一層金屬氮化物子層113a、113b、113c例如是以有機金屬化學氣相沈積(MOCVD)製程來形成的。圖8A繪示依序堆疊的第一、第二以及第三金屬氮化物層113a、113b、113c。另一方面,也可能於基底上沈積二層、四層或更多層的金屬氮化物子層。每一層金屬氮化物子層113a、113b、113c由包含耐火金屬的氮化物所製成。例如,每一層金屬氮化物子層113a、113b、113c可能由包含至少一種選自鈮、釩、鉭、鈦、鋯、鉿、鉬、錸、鎢、鈦-矽、鉭-矽以及鈦-鋯所組成之群組的材料的氮化物所製成。多層金屬氮化物子層113a、113b、113c可能由相同的材料所製成。在其他的實施例中,多層金屬氮化物子層113a、113b、113c可能由不同的材料所製成。
在多層金屬氮化物子層113a、113b、113c中所選擇的的一層金屬氮化物層沈積於基底100上後,立即進行步驟S175,也就是在所選擇的金屬氮化物子層上進行電漿處理 製程。在圖8A中,第二金屬氮化物子層113b為所選擇的金屬氮化物子層。再其他實施例中,也可能選擇其他的金屬氮化物子層113a及/或113c。所選擇的金屬氮化物子層可能是一種或更多種。
在此將更詳細地說明沈積金屬氮化物子層113a、113b、113c(步驟S170)與進行電漿處理製程(步驟S175),以第二金屬氮化物子層113b為所選擇的金屬氮化物子層為例。
首先,利用有機金屬化學氣相沈積(MOCVD)製程共形地沈積第一金屬氮化物子層(sub-metal nitride layer)113a於具有開口106的層間介電層104上(步驟S170)。然後,利用有機金屬化學氣相沈積(MOCVD)製程沈積第二金屬氮化物子層113b於第一金屬氮化物子層113a(步驟S170)。在沈積所選擇的第二金屬氮化物子層133b之後,立即在所選擇的第二金屬氮化物子層113b上進行電漿處理製程(步驟S175)。電漿處理製程的處理氣體可能包括氮氣、氧氣及/或氫氣。電漿處理製程可以最小化或減少第二金屬氮化物子層113b中的碳含量。
所選擇的第二金屬氮化物子層113b包括位於開口106的底面的第一部分、位於開口106的側壁的第二部分以及位於層間介電層104的頂面的第三部分。在上述的三個部分中,至少所選擇的第二金屬氮化物子層113b的整個第一部分可能進行電漿處理。在本實施例中,第二金屬氮化物子層的整個第三部分可能進行電漿處理,而第二金屬氮化 物子層113b的第二部分則可能只有部分進行電漿處理。所選擇的第二金屬氮化物子層113b的電漿處理部分對應於電漿處理層111。
利用有機金屬化學氣相沈積(MOCVD)製程在經電漿處理的第二金屬氮化物子層113b上沈積第三金屬氮化物子層113c(步驟S170)。在沈積第一金屬氮化物子層以及第三金屬氮化物子層113a、113c中的任一層之後,未立即進行電漿處理製程。因此,第一金屬氮化物子層以及第三金屬氮化物子層113a、113c包括非電漿處理層110。擴散阻障膜120a包括第一金屬氮化物子層113a的非電漿處理層110、第二金屬氮化物子層113b的電漿處理層111以及第三金屬氮化物子層113c的非電漿處理層110。
若如上所述至少對所選擇的金屬氮化物子層113b的整個第一部分進行電漿處理,則包含於擴散阻障膜120a的多層金屬氮化物子層113a、113b、113c中至少有一層金屬氮化物子層不是所選擇的金屬氮化物子層。故,在沈積不是所選擇的金屬氮化物子層之後並未立即進行電漿處理製程。因此,擴散阻障膜120a可包括非電漿處理層110。
藉由在所選擇的金屬氮化物子層113b上進行電漿處理製程,位於所選擇的金屬氮化物子層113b的下方之第一金屬氮化物層113a的上層部分也會被電漿處理來處理。然而,在此例中,至少第一金屬氮化物子層113a的下層部分並未藉由電漿處理予以處理。
在已進行電漿處理的金屬氮化物子層113b上進行浸 矽製程(步驟S180)。此浸矽製程可能與參考圖5所述之浸矽製程相似。浸矽製程可提供矽給經電漿處理的金屬氮化物子層113b。可能在於經電漿處理過的金屬氮化物子層113b上沈積第三金屬氮化物子層113c的步驟之前進行浸矽製程。
在圖8A中,至少對所選擇的金屬氮化物子層113b的整個第一部分進行電漿處理。另一方面,可能只有對多層金屬氮化物子層113a、113b、113c中所選擇的金屬氮化物子層的上層部分進行電漿處理。這將參考圖8B予以說明。
參照圖2、圖7以及圖8B,在基底100上沈積多層金屬氮化物子層113a、113b、113c(步驟S170)。在沈積多層金屬氮化物子層113a、113b、113c中所選擇的金屬氮化物子層之後,立即進行電漿處理製程(步驟S175)。在圖8B中,第一金屬氮化物子層以及第三金屬氮化物子層113a、113c為所選擇的金屬氮化物子層。在其他實施例中,也可能選擇其他的金屬氮化物子層。在選擇第一及第三金屬氮化物子層113a及113c的例子中,將更詳細地說明步驟S170及步驟S175。
利用有機金屬化學氣相沈積(MOCVD)製程在具有開口106的層間介電層104上沈積所選擇的第一金屬氮化物子層113a(步驟S170)。然後,在所選擇的第一金屬氮化物子層113a上進行電漿處理製程(步驟S175)。所選擇的第一金屬氮化物子層113a的上層部分經電漿處理。換言之,所選擇的第一金屬氮化物子層113a的下層部分未經電漿處 理。因此,所選擇的第一金屬氮化物子層113a的上層部分對應於電漿處理層111,並且所選擇的第一金屬氮化物子層113a的下層部分對應於非電漿處理層110。
利用有機金屬化學氣相沈積(MOCVD)製程在經電漿處理的第一金屬氮化物子層113a上沈積未被選擇的第二金屬氮化物子層113b(步驟S170)。利用有機金屬化學氣相沈積(MOCVD)製程在第二金屬氮化物子層113b上沈積所選擇的第三金屬氮化物子層113c(步驟S170)。因為第二金屬氮化物子層113b並未經電漿處理,所以第二金屬氮化物子層113b對應於非電漿處理層110。參考圖8B所述之電漿處理製程的電漿功率可能低於參考圖8A所述之電漿處理製程的電漿功率。參考圖8B所述之電漿處理製程的處理時間可能短於參考圖8A所述之電漿處理製程的處理時間。
在沈積所選擇的第三金屬氮化物子層113c之後,立即在所選擇的第三金屬氮化物子層113c上進行電漿處理製程(步驟S180)。所選擇的第三金屬氮化物子層113c的上層部分經電漿處理。因此,所選擇的第三金屬氮化物子層113c的上層部分對應於電漿處理層111,而所選擇的第三金屬氮化物子層113c的下層部分對應於非電漿處理層110。
擴散阻障膜120b包括第一金屬氮化物子層113a的非電漿處理層110和電漿處理層111、第二金屬氮化物子層113b的非電漿處理層110、以及第三金屬氮化物子層113c 的非電漿處理層110和電漿處理層111。
可能在經電漿處理的金屬氮化物子層113a、113c當中至少一層上進行浸矽製程(步驟S180)。因此,電漿處理層111可能包括矽。浸矽製程可能是在後續沈積金屬氮化物子層的步驟之前進行。
若是以電漿來處理所選擇的金屬氮化物子層113a、113c的上層部分,則所選擇的金屬氮化物子層包括非電漿處理層110及電漿處理層111兩者。因此,多層金屬氮化物子層113a、113b、113c的所有子層都可能是所選擇的金屬氮化物子層。
在開口106的底面上的擴散阻障膜可以包括部分藉由電漿處理予以處理的金屬氮化物子層,也可以包括全部經電漿處理的金屬氮化物子層。這將在此參考圖8C予以說明。
參照圖2、圖7以及圖8C,在具有開口106的層間介電層104上沈積多層金屬氮化物子層113a、113b、113c(步驟S170)。在沈積多層金屬氮化物子層113a、113b、113c中所選擇的金屬氮化物子層之後,進行電漿處理製程(步驟S175)。多層金屬氮化物子層113a、113b、113c包括至少一層所選擇的第一金屬氮化物子層以及至少一層所選擇的第二金屬氮化物子層。在圖8C中,第一金屬氮化物子層113a對應於所選擇的第一金屬氮化物子層,而第二金屬氮化物子層113b對應於所選擇的第二金屬氮化物子層。電漿處理製程包括第一電漿處理製程及第二電漿處理製程。在 所選擇的第一金屬氮化物子層上進行第一電漿處理製程,並且在所選擇的第二金屬氮化物子層上進行第二電漿處理製程。在此將更詳細地說明步驟S170及步驟S175。
在具有開口106的基底100上沈積對應於所選擇的第一金屬氮化物子層的第一金屬氮化物子層113a(步驟S170)。在第一金屬氮化物子層113a上進行第一電漿處理製程(步驟S175)。第一金屬氮化物子層113a的上層部分藉由第一電漿處理製程進行電漿處理。因此第一金屬氮化物子層113a包括依序堆疊的非電漿處理層110與電漿處理層111。第一電漿處理製程的處理氣體可能包括氮氣、氫氣及/或氧氣。
然後,利用有機金屬化學氣相沈積(MOCVD)製程在第一金屬氮化物子層113a上沈積對應於所選擇的第二金屬氮化物子層的第二金屬氮化物子層113b(步驟S170)。在第二金屬氮化物子層113b上進行第二電漿處理製程(步驟S175)。在開口106的底面上的第二金屬氮化物子層113b全部藉由第二電漿處理製程的電漿處理予以處理。因此,在開口106的底面上的第二金屬氮化物子層113b對應於電漿處理層111。第二電漿處理製程的處理氣體可能包括氮氣、氫氣及/或氧氣。第二電漿處理製程的電漿功率可能高於第一電漿處理製程的電漿功率。並且,第二電漿處理製程的處理時間可能長於第一電漿處理製程的處理時間。
可能利用有機金屬化學氣相沈積(MOCVD)製程在第二金屬氮化物子層113b上沈積第三金屬氮化物子層113c (步驟S170)。在沈積第三金屬氮化物子層113c之後可能不會進行電漿處理製程。因此,整個第三金屬氮化物子層113c對應於非電漿處理層110。擴散阻障膜120c包括第一金屬氮化物子層113a的非電漿處理層110及電漿處理層111、第二金屬氮化物子層113b的電漿處理層111以及第三金屬氮化物子層113c的非電漿處理層110。
上述方法包括所選擇的第一金屬氮化物子層,其包括依序堆疊的非電漿處理層110及電漿處理層111。因此,第三金屬氮化物子層113c可能是所選擇的第一金屬氮化物子層或所選擇的第二金屬氮化物子層。
可能在經電漿處理的金屬氮化物子層113a、113b中的至少一層上進行浸矽製程(步驟S180)。因此,電漿處理層111可能包括矽。若另一金屬氮化物子層要沈積於進行浸矽製程且經電漿處理的金屬氮化物子層上,則可能在完成浸矽製程之後沈積金屬氮化物子層。
圖2的擴散阻障膜120可以是圖8A、圖8B或圖8C中的擴散阻障膜120a、120b或120c。
參照圖3,可能於擴散阻障膜120上形成黏著金屬層(adhesive metal layer)122。黏著金屬層122可能包括鈦或鉭。於黏著金屬層122上形成上層導體130、132,以填充開口106。
上層導體130、132可能包括鋁。上層導體130、132可能包括依序堆疊的第一鋁層(aluminum layer)130與第二鋁層132。可能藉由具有優良的階梯覆蓋率的化學氣相沈 積(CVD)製程形成第一鋁層130。可能藉由具有快速成長的物理氣相沈積(PVD)製程形成第二鋁層132,以改善產能。可能在基底100上進行迴銲製程(reflow process)。在迴銲製程中,至少提供熱能給第二鋁層132,使得第二鋁層132充分地填充開口106。也可能在形成第二鋁層132之後進行迴銲製程。另一方面,也可能利用形成第二鋁層132的製程在原處進行迴銲製程。換言之,可能在給予迴銲製程處理溫度的物理氣相沈積室(PVD chamber)中形成第二鋁層132。第一鋁層130與第二鋁層132可能由鋁合金所製成。
在形成擴散阻障膜120之後,若在擴散阻障膜120未暴露於空氣中的情況下形成第一鋁層130,則可省略黏著金屬層122的形成。相反的,若在擴散阻障膜120暴露於空氣之後才形成第一鋁層130,則可能需要形成黏著金屬層122。在本實施例中,黏著金屬層122可增加第一鋁層130的成長率(growth rate)。
參照圖4,第二鋁層132、第一鋁層130、黏著金屬層122、擴散阻障膜120以及補充擴散阻障層108可被圖案化,以形成導電圖案(conductive pattern)135。導電圖案135填充開口106。導電圖案135可能被製成各種形狀,像是墊狀或線狀。導電圖案135包括依序堆疊的補充擴散阻障圖案(supplementary diffusion barrier pattern)108a、擴散阻障圖案(diffusion barrier pattern)121、黏著圖案(adhesive pattern)122a、第一鋁圖案130a以及第二鋁圖案132a。
另一方面,也可能平坦化第二鋁層132、第一鋁層130、黏著金屬層122、擴散阻障膜120以及補充擴散阻障層108直到暴露層間介電層104為止。在本實施例中,形成填滿開口106的導電插塞,並且可能在層間介電層104上形成與導電插塞連接的內連線或銲墊。
以下將參考圖9及圖10說明根據本發明的一些實施例之形成用以充分填充開口106的上層導體130、132的另一方法。
圖9是根據本發明的一些實施例之形成半導體裝置的方法當中形成上層導體的另一方法的剖面示意圖。圖10是根據本發明的一些實施例之形成半導體裝置的方法當中形成上層導體的又另一方法的另一剖面示意圖。
參照圖3及圖9,於擴散阻障膜120上形成抑制成長層(growth restraining layer)124。抑制成長層124可能由氮濃度高於擴散阻障膜120的氮濃度之金屬氮化物所製成。擴散阻障膜120包括在開口106的底面上形成的第一部分、在開口106的側壁上形成的第二部分以及在層間介電層104的頂面上形成的第三部分。抑制成長層124可能形成於擴散阻障膜120的第三部分上。抑制成長層124可能用以覆蓋連接擴散阻障膜120的第二部分與第三部分的頂角。在此,擴散阻障膜120的第二部分中至少一部分被暴露。形成抑制成長層124的方法例如是物理氣相沈積(PVD)製程。抑制成長層124可能形成於擴散阻障膜120的第一部分的一部分上。
抑制成長層124的氮濃度高於擴散阻障膜120的氮濃度。當利用化學氣相沈積(CVD)製程形成第一鋁層130時,第一鋁層130的成長率會因氮濃度的差異而有所變化。換言之,在抑制成長層124上形成的第一鋁層130的成長率低於在擴散阻障膜120上形成的第一鋁層130的成長率。抑制成長層124覆蓋開口106的頂角,並且使得開口106內的擴散阻障膜120的一部分暴露。因此,第一鋁層130在開口106內成長較快,並且第一鋁層130在開口106的頂角及外部成長較慢。因此,第一鋁層130至少可充分地填充開口106的下層部分,以同時避免或減少空洞(void)及/或縫隙(seam)。第一鋁層130可能明顯減少開口106的深寬比(aspect ratio),或者第一鋁層130可能填充開口106。接著,於第一鋁層130上形成第二鋁層132。形成第二鋁層132的製程可能如同上述參考圖4的方法。
抑制成長層124與第一鋁層130可能依序形成而使得擴散阻障膜120未暴露於空氣中。在本實施例中,可能在一種包含傳輸室(transfer chamber)以及安裝於傳輸室的多層處理室(processing chambers)的裝置中形成擴散阻障膜120、抑制成長層124以及第一鋁層130。
在形成抑制成長層124之前,可能於擴散阻障膜120上形成圖3的黏著金屬層122。在本實施例中,在形成抑制成長層124之後,至少會暴露出在開口106的側壁上所形成的黏著金屬層122。此時,因為抑制成長層124的氮濃度明顯高於黏著金屬層122的氮濃度,所以以化學氣相 沈積(CVD)來沉積第一鋁層130時會具有不同的成長率。
在此將參考圖10說明根據本發明的一些實施例之形成用以充分填充開口106的上層導體130、132的另一方法。
參照圖3及圖10,可能在具有擴散阻障膜120的基底100上進行抑制成長電漿處理製程。包含氮氣的處理氣體將用於抑制成長電漿處理製程(growth restraining plasma treatment process)。經由抑制成長電漿處理製程供應氮氣給擴散阻障膜120,以形成供氮層(nitrogen supply layer)126。可能在位於層間介電層104的頂面上的擴散阻障膜120的表面形成供氮層126。供應至開口106內的擴散阻障膜120的氮氣量明顯少於供應至供氮層126的氮氣量。在開口106內的擴散阻障膜120的氮濃度低於在開口106外的擴散阻障膜120的氮濃度。相較於參考圖5及圖7所述之電漿處理製程,抑制成長電漿處理製程可能具有較低的電漿功率及/或較短的處理時間。因此,抑制成長電漿處理製程主要進行於層間介電層104的頂面上,並且抑制成長電漿處理製程通常不在開口106內進行。
而後,使用化學氣相沈積(CVD)製程於基底100上形成第一鋁層130。由於抑制成長電漿處理製程之氮濃度差異,在開口106內的第一鋁層130的成長率高於在開口106外的第一鋁層130的成長率。結果,第一鋁層130至少可充分填充開口106的下層部分,以同時避免空洞及/或縫隙。可能利用物理氣相沈積(PVD)製程於第一鋁層130上形成第二鋁層132。形成第二鋁層132的製程可能如同上 述參考圖4的方法。可能在形成第一鋁層130的化學氣相沈積室(chemical vapor deposition chamber)中進行抑制成長電漿處理製程。換言之,可能在化學氣相沈積(CVD)室中依序進行抑制成長電漿處理及第一鋁層130的沈積。
在進行抑制成長電漿處理製程之前,可能於擴散阻障膜120上形成圖3的黏著金屬層122。在本實施例中,經由抑制成長電漿處理製程,可能於黏著金屬層122中形成供氮層126,黏著金屬層122位於層間介電層104的頂面上。因為供氮層126的氮濃度明顯高於在開口106內暴露的黏著金屬層122的氮濃度,所以以化學氣相沈積(CVD)來沉積第一鋁層130時會具有不同的成長率。
根據上述形成半導體裝置的方法,部分的擴散阻障膜120、120a、120b、120c經電漿處理,而其他部分的擴散阻障膜120、120a、120b、120c則未經電漿處理。因此,介於下層導體102與上層導體130、132之間的擴散阻障膜120、120a、120b、120c包括非電漿處理層110及電漿處理層111。藉由電漿處理來最小化或減少電漿處理層111中的碳含量,並且使得電漿處理層111處於結晶狀態。如此一來,將減少電漿處理層111的電阻率。非電漿處理層110的碳含量大於電漿處理層111的碳含量。並且,非電漿處理層110可能處於非結晶狀態。非電漿處理層110的阻障特性可能優於電漿處理層111的阻障特性。擴散阻障膜120、120a、120b、120c可藉由電漿處理層111獲得較低的電阻率,並且其可藉由非電漿處理層110獲得較佳的 阻障特性。下層導體102的金屬原子與上層導體130、132的金屬原子並未藉由擴散阻障膜120、120a、120b以及120c互相鍵結。因此,半導體裝置具有優良的電性。
若有機金屬化學氣相沈積(MOCVD)製程所形成的整個金屬氮化物層都進行電漿處理,則將減少金屬氮化物層的電阻率同時也將減少金屬氮化物層的阻障特性。換言之,電漿處理可將整個金屬氮化物層轉換成結晶狀態。在此實施例中,上層導體與下層導體的金屬原子會沿著互相鍵結的結晶金屬氮化物層的邊界擴散。如此一來,可能導致高電阻率合金的產生,因而使半導體裝置的電性變差。然而,擴散阻障膜120、120a、120b、120c包括電漿處理層111及非電漿處理層110兩者。因此,擴散阻障膜120、120a、120b、120c不但具有低電阻率而且具有優良的阻障特性。
藉由浸矽製程可提供矽給電漿處理層111。所提供的矽可能鍵結結晶的電漿處理層111的邊界。因此,藉由浸矽製程將減少電漿處理層111的電阻率且增強電漿處理層111的阻障特性。
此外,在形成擴散阻障膜120、120a、120b、120c之前可能形成補充擴散阻障層108。補充擴散阻障層108可進一步阻擋下層導體102與上層導體130、132的金屬原子的擴散。當下層導體102包含具有高擴散係數(diffusion coefficient)的銅時,可能利用補充擴散阻障層108將下層導體102的銅原子擴散至上層導體130、132的可能性降至 最低。
將進行一實驗來確認擴散阻障膜120、120a、120b、120c的阻障特性。首先,準備用於實驗的範例1及範例2。在範例1中,於基底上依序形成銅層、鉭層、第一擴散阻障膜以及鋁層。其中,製造第一擴散阻障膜的方法是利用有機金屬化學氣相沈積(MOCVD)製程來形成三層氮化鈦層。在每一層氮化鈦層沈積於基底上後,立即以足夠的電漿功率來進行電漿處理製程。換言之,利用完全以電漿處理來處理三層氮化鈦層以形成第一擴散阻障膜。
在範例2中,於基底上依序形成銅層、鉭層、第二擴散阻障膜以及鋁層。其中,製造第一擴散阻障膜的方法是利用有機金屬化學氣相沈積(MOCVD)製程來形成三層氮化鈦層。第二擴散阻障膜的每一層氮化鈦層的厚度與第一擴散阻障膜的每一層氮化鈦層的厚度完全相同。沈積包含於第二擴散阻障膜的第一氮化鈦層後立即以第一電漿處理製程來處理。沈積包含於第二擴散阻障膜的第二氮化鈦層後立即以第二電漿處理製程來處理。然後,沈積包含於第二擴散阻障膜的第三氮化鈦層後未以電漿處理製程來處理。將第一電漿處理製程的電漿功率調整成低於第二電漿處理製程的電漿功率。換言之,形成第二擴散阻障膜的方法與以上參考圖8C所討論之形成擴散阻障膜120c的方法相同。除了對於範例1及範例2的第一擴散阻障膜及第二擴散阻障膜的電漿處理程度不同之外,在相同的條件下進行所有的其他製程。
以大約400℃的溫度加熱第一及第二範例達一小時之久。在加熱範例1之前與之後測量範例1的片電阻(sheet resistances),並且也在加熱範例2之前與之後測量範例2的片電阻。結果,在加熱範例1之後所測量的片電阻比在加熱範例1之前所測量的片電阻增加大約380%。相對地,在加熱範例2之後所測量的片電阻比在加熱範例2之前所測量的片電阻增加大約20%。由此可推斷在範例1中銅層的銅原子與鋁層的鋁原子互相鍵結而形成大量的高電阻鋁-銅合金。由此亦可推斷在範例2中銅原子與鋁原子的擴散被最小化。範例2具有根據本發明的實施例之擴散阻障膜。
【第二實施例】
在本實施例中,將說明根據本發明的一些實施例之形成包含擴散阻障膜的反及(NAND)非揮發性記憶體(non-volatile memory)裝置的方法。
圖11至圖14是根據本發明的另一些實施例之形成半導體裝置的方法的剖面示意圖。
參照圖11,於半導體基底200上形成裝置隔離層(未繪示),以定義主動區。此主動區以一個互相平行的方向延伸。於半導體基底200上形成字串選擇線(string selection line)SSL、接地選擇線(ground selection line)GSL以及多條字元線(word lines)WL。字串選擇線SSL與接地選擇線GSL平行地跨越主動區,並且多條字元線WL平行地跨越介於字串選擇線SSL與接地選擇線GSL之間的主動區。字串選擇線SSL包括依序堆疊的閘極絕緣層(gate insulation layer)及字串選擇閘極線(gate line),而接地選擇線GSL則包括依序堆疊的閘極絕緣層及接地選擇閘極線。每一條字元線WL包括依序堆疊的穿隧絕緣層(tunnel insulation layer)、電荷儲存圖案(charge storage pattern)、阻擋絕緣圖案(blocking insulation pattern)以及控制閘極線。電荷儲存圖案可能由摻雜的多晶矽(polysilicon)或未摻雜的多晶矽所形成。另一方面,電荷儲存圖案可能由具有深準位的陷阱(traps)(例如氮化矽或奈米晶體(nano-crystals))的材料所形成。阻擋絕緣圖案可能由氧化物層或氧化物-氮化物-氧化物(Oxide-Nitride-Oxide,ONO)層所形成。另一方面,阻擋絕緣圖案可能包括其介電常數高於穿隧絕緣層的介電常數之高介電質材料。例如,高介電質材料可能包括至少一種絕緣金屬氧化物,像是氧化鉿或氧化鋁等等。
使用字串選擇線SSL、多條字元線WL以及接地選擇線GSL作為光罩(mask)注入摻植離子(Dopant ions),以便在個別的主動區中形成共汲極區(common drain region)202d、記憶胞源極/汲極區(cell source/drain regions)202c以及共源極區(common source region)202s。於位在字元線WL的兩邊的主動區中形成記憶胞源極/汲極區202c。於位在字串選擇線SSL的一邊的主動區中形成共汲極區202d。於位在接地選擇線GSL的一邊的主動區中形成共源極區202。字串選擇線SSL、多條字元線WL、記憶胞源極/汲極區202c以及接地選擇線GSL介於共汲極區202d與共源極區202s之間。
於半導體基底200的整個表面上形成第一層間介電層204。圖案化第一層間介電層204,以形成暴露共源極區202s的溝槽(groove)。此溝槽平行於接地選擇線GSL。於半導體基底200上形成第一導電層,以填充溝槽,並且平坦化第一導電層直到暴露第一層間介電層層204為止,以在溝槽中形成源極線(source line)206。源極線206連接共源極區202s。
於半導體基底200的整個表面上形成第二層間絕緣層208。第二層間介電層208覆蓋源極線206。於第二層間介電層208及第一層間介電層204中形成位元線插塞(bitline plug)210。位元線插塞210穿過第二層間介電層208及第一層間介電層204,以連接共汲極區202d。位元線插塞210可能包括鎢。可能於具有位元線插塞210的半導體基底200的整個表面上形成模製絕緣層(mold insulation layer)212。
參照圖12,圖案化模製絕緣層212,以形成位元線溝槽(bitline groove)。位元線溝槽暴露位元線插塞210,並且跨越線SSL、WL以及GSL。於主動區的上方形成位元線溝槽,且其平行於主動區。圖12是沿著位元線溝槽的縱向所截取的剖面示意圖。因此,未繪示模製絕緣層212。形成填充位元線溝槽的位元線(bitline)214。位元線214連接位元線插塞210。位元線214包括至少一種選自銅及/或貴重金屬的材料。形成位元線214的上述方法可能包含使用位元線溝槽的鑲嵌製程。
另一方面,可能利用圖案化製程(patterning process) 形成位元線214。在此例中,不需要模製絕緣層212。換言之,在形成位元線插塞210之後,將於第二層間介電層208上形成第二導電層,然後可能圖案化第二導電層,以形成位元線214。
於具有位元線214的半導體基底200的整個表面上形成第三層間介電層216,然後圖案化第三層間介電層216,以形成暴露位元線214的開口218。可能於具有開口218的半導體基底200的整個表面上形成補充擴散阻障層220。可能使用如同圖1的補充擴散阻障層108的材料及方法來形成補充擴散阻障層220。補充擴散阻障層220可能予以省略。
可能於補充擴散阻障層220上形成擴散阻障膜225。可能使用如同以上參考圖2、圖5、圖6A以及圖6B所述之擴散阻障膜120的材料及方法來形成擴散阻障膜225。另一方面,可能使用如同參考圖2、圖7、圖8A、圖8B以及圖8C所述之擴散阻障膜120a、120b、120c的材料及方法來形成擴散阻障膜225。因此,擴散阻障膜225包括利用有機金屬化學氣相沈積(MOCVD)來形成的金屬氮化物。擴散阻障膜225也包括電漿處理層及非電漿處理層。
參照圖13,利用物理氣相沈積(PVD)製程,於具有擴散阻障膜225的半導體基底200上形成抑制成長層227。抑制成長層227由導電材料所形成。抑制成長層227覆蓋位於第三層間介電層的頂面的擴散阻障膜225。抑制成長層227也覆蓋位於開口218的頂角的擴散阻障膜225,以 暴露至少在開口218的下層側壁上形成的擴散阻障膜225。抑制成長層227可能包括如同圖9的抑制成長層124的材料。
利用化學氣相沈積(CVD)製程,於半導體基底200的整個表面上,形成第一鋁層229。第一鋁層229包含鋁。抑制成長層227允許第一鋁層229至少充分地填充開口218的下層部分。
另一方面,抑制成長層227的形成可能予以省略,並且在形成第一鋁層229之前可能在擴散阻障膜225上進行先前參考圖10所說明的抑制成長電漿處理製程。另一方面,在形成第一鋁層229之前可能在擴散阻障膜225上形成圖3的黏著金屬層122。然而,如同其他的選擇,可能在擴散阻障膜225上形成圖3的黏著金屬層122,並且在形成第一鋁層229之前可能進行形成抑制成長層227的製程或抑制成長電漿處理製程。
隨後,利用物理氣相沈積(PVD)製程,於第一鋁層229上形成第二鋁層231。因此,可增強包含第一鋁層229及第二鋁層231的上層導體的產能。可能在半導體基底200上進行迴銲製程。可能在原處以形成第二鋁層231的製程來進行迴銲製程。另一方面,可能在形成第二鋁層231之後進行迴銲製程。可能藉由迴銲製程至少迴銲第二鋁層231。
參照圖14,可能連續地圖案化第二鋁層231、第一鋁層229、抑制成長層227、擴散阻障膜225以及補充擴散阻 障層220,以形成內連線233。內連線233填充開口218,並且可能成一線地形成於第三層間絕緣層216上。內連線233包括依序堆疊的補充擴散阻障圖案220a、擴散阻障圖案225a、抑制導電率成長圖案(conductivity growth restraint pattern)227a、第一鋁圖案229a以及第二鋁圖案231a。
另一方面,可能平坦化第二鋁層231、第一鋁層229、抑制成長層227、擴散阻障膜225以及補充擴散阻障層220直到暴露第三層間絕緣層216為止,以便形成填充開口218的導電插塞。
如上所述,本發明的實施例提供形成反及(NAND)非揮發性記憶體裝置的方法,其包括根據本發明的一些實施例之擴散阻障膜。
根據本發明的一些實施例,包含利用有機金屬化學氣相沈積(MOCVD)製程製造的金屬氮化物的擴散阻障膜也包含一層或更多層電漿處理層以及一層或更多層非電漿處理層。擴散阻障膜不但具有低電阻率而且具有優良的阻障特性。因此,擴散阻障圖案可最小化位於擴散阻障圖案之下與之上的下層與上層導體的金屬原子的擴散。由此可實現具有優良電性的半導體裝置。
雖然已經揭露本發明的實施例,然其並非用以限定本發明,任何熟習此技藝者,在不脫離本發明的精神的情況下,當可作些許之更動,因此本發明的權利保護範圍當視後附之申請專利範圍所界定者為準。
100‧‧‧基底
102‧‧‧下層導體
104‧‧‧層間介電層
106‧‧‧開口
108‧‧‧補充擴散阻障層
108a‧‧‧補充擴散阻障圖案
110‧‧‧非電漿處理層
111‧‧‧電漿處理層
113‧‧‧金屬氮化物層
113a‧‧‧第一金屬氮化物子層
113b‧‧‧第二金屬氮化物子層
113c‧‧‧第三金屬氮化物子層
120‧‧‧擴散阻障膜
120a‧‧‧擴散阻障膜
120b‧‧‧擴散阻障膜
120c‧‧‧擴散阻障膜
121‧‧‧擴散阻障圖案
122‧‧‧黏著金屬層
122a‧‧‧黏著圖案
124‧‧‧抑制成長層
126‧‧‧供氮層
130‧‧‧上層導體(第一鋁層)
130a‧‧‧第一鋁圖案
132‧‧‧上層導體(第二鋁層)
132a‧‧‧第二鋁圖案
135‧‧‧導電圖案
200‧‧‧半導體基底
202c‧‧‧記憶胞源極/汲極區
202d‧‧‧共汲極區
202s‧‧‧共源極區
204‧‧‧第一層間介電層
206‧‧‧源極線
208‧‧‧第二層間介電層
210‧‧‧位元線插塞
212‧‧‧模製絕緣層
214‧‧‧位元線
216‧‧‧第三層間介電層
218‧‧‧開口
220‧‧‧補充擴散阻障層
220a‧‧‧補充擴散阻障圖案
225‧‧‧擴散阻障膜
225a‧‧‧擴散阻障圖案
227‧‧‧抑制成長層
227a‧‧‧抑制導電率成長圖案
229‧‧‧第一鋁層
229a‧‧‧第一鋁圖案
231‧‧‧第二鋁層
231a‧‧‧第二鋁圖案
233‧‧‧內連線
S150~S180‧‧‧步驟
GSL‧‧‧接地選擇線
SSL‧‧‧字串選擇線
WL‧‧‧字元線
圖1至圖4是根據本發明的一些實施例之形成半導體裝置的方法的剖面示意圖。
圖5是根據本發明的一些實施例之形成半導體裝置的方法中形成擴散阻障膜的一種方法的流程圖。
圖6A及圖6B是根據本發明的一些實施例之形成半導體裝置的方法中形成擴散阻障膜的一種方法之圖2的A部分的放大剖面示意圖。
圖7是根據本發明的一些實施例之形成半導體裝置的方法中形成擴散阻障膜的另一種方法的流程圖。
圖8A是根據本發明的一些實施例之形成半導體裝置的方法中形成擴散阻障膜的另一種方法之圖2的A部分的放大剖面示意圖。
圖8B是根據本發明的一些實施例之形成半導體裝置的方法中形成擴散阻障膜的又一種方法之圖2的A部分的放大剖面示意圖。
圖8C是根據本發明的一些實施例之形成半導體裝置的方法中形成擴散阻障膜的再一種方法之圖2的A部分的放大剖面示意圖。
圖9是根據本發明的一些實施例之形成半導體裝置的方法當中形成上層導體的一種方法的剖面示意圖。
圖10是根據本發明的一些實施例之形成半導體裝置的方法當中形成上層導體的另一種方法的剖面示意圖。
圖11至圖14是根據本發明的另一些實施例之形成半 導體裝置的方法的剖面示意圖。
100‧‧‧基底
102‧‧‧下層導體
104‧‧‧層間介電層
106‧‧‧開口
108‧‧‧補充擴散阻障層
120‧‧‧擴散阻障膜
120a‧‧‧擴散阻障膜
120b‧‧‧擴散阻障膜
120c‧‧‧擴散阻障膜

Claims (20)

  1. 一種形成半導體裝置的方法,包括:於基底上形成層間介電層,所述基底包含下層導體;於所述層間介電層中形成開口,以暴露所述下層導體;於包含所述開口的所述層間介電層上形成擴散阻障膜,所述擴散阻障膜包含依序配置的第一、第二以及第三金屬氮化物層;以及於所述擴散阻障膜上形成上層導體,以填充所述開口,其中所述擴散阻障膜包括以有機金屬化學氣相沈積製程形成的所述第一、第二以及第三金屬氮化物層,其中所述第一金屬氮化物層包括依序堆疊於所述層間介電層上的電漿處理層與非電漿處理層;以及其中所述第二與第三金屬氮化物層中至少有一層包括電漿處理層,並且所述第二與第三金屬氮化物層中另一者包括非電漿處理層。
  2. 如申請專利範圍第1項所述之形成半導體裝置的方法,其中形成所述擴散阻障膜的步驟包括:以有機金屬化學氣相沈積製程沈積所述第一金屬氮化物層於具有所述開口的所述層間介電層上;以及對所述第一金屬氮化物層的上層部分進行電漿處理,其中所述第一金屬氮化物層的所述上層部分為所述電漿處理層,而所述第一金屬氮化物層的下層部分為所述 非電漿處理層。
  3. 如申請專利範圍第2項所述之形成半導體裝置的方法,更包括:在經電漿處理之所述第一金屬氮化物層的所述上層部分上進行浸矽製程。
  4. 如申請專利範圍第1項所述之形成半導體裝置的方法,其中所述擴散阻障膜包含堆疊的多層金屬氮化物子層(sub-metal nitride layers),其中利用有機金屬化學氣相沈積製程形成每一層所述金屬氮化物子層。
  5. 如申請專利範圍第4項所述之形成半導體裝置的方法,其中形成所述擴散阻障膜的步驟包括:於包含所述開口的所述層間介電層上沈積多層金屬氮化物子層;以及在沈積多層所述金屬氮化物子層中的所選擇的所述金屬氮化物子層(selected sub-metal nitride layer)之後,對所選擇的所述金屬氮化物子層進行電漿處理,其中至少多層所述金屬氮化物子層中至少一層的下層部分包含於所述非電漿處理層。
  6. 如申請專利範圍第5項所述之形成半導體裝置的方法,其中藉由所述電漿處理來處理位於所述開口的底面上之所選擇的所述金屬氮化物子層的一部分,以及其中多層所述金屬氮化物子層中至少有一層所述金屬氮化物子層是未被選擇的層,並且至少未被選擇的所述金屬氮化物子層的一部分包含於所述非電漿處理層。
  7. 如申請專利範圍第5項所述之形成半導體裝置的方法,其中以電漿來處理所選擇的所述金屬氮化物子層的上層部分。
  8. 如申請專利範圍第5項所述之形成半導體裝置的方法,其中所選擇的所述金屬氮化物子層包括至少一層所選擇的第一金屬氮化物子層與至少一層所選擇的第二金屬氮化物子層,在沈積所述至少一層所選擇的第一金屬氮化物子層於所述基底上之後,對所述所選擇的第一金屬氮化物子層的上層部分進行第一電漿處理,且不對所述所選擇的第一金屬氮化物子層的下層部分進行所述第一電漿處理;在沈積所述至少一層所選擇的第二金屬氮化物子層之後,對位於所述開口的底面上之所述所選擇的第二金屬氮化物子層的至少一部分進行第二電漿處理。
  9. 如申請專利範圍第5項所述之形成半導體裝置的方法,在所選擇的所述金屬氮化物子層藉由所述電漿處理予以處理之後,更包括:在經電漿處理的所述金屬氮化物子層上進行浸矽製程。
  10. 如申請專利範圍第1項所述之形成半導體裝置的方法,其中所述擴散阻障膜包含一氮化物,所述氮化物具有至少一種耐火金屬。
  11. 如申請專利範圍第1項所述之形成半導體裝置的方法,其中所述電漿處理層藉由使用包括氧氣、氮氣及/ 或氫氣的處理氣體的電漿處理予以處理。
  12. 如申請專利範圍第1項所述之形成半導體裝置的方法,其中所述下層導體包括銅及/或貴重金屬。
  13. 如申請專利範圍第1項所述之形成半導體裝置的方法,在形成所述擴散阻障膜之前更包括:於包含所述開口的所述層間介電層上形成補充擴散阻障層。
  14. 如申請專利範圍第13項所述之形成半導體裝置的方法,其中所述補充擴散阻障層包括鈦、鉭、氮化鈦、氮化鉭、鈦-鋯及/或氮化鈦-鋯。
  15. 如申請專利範圍第1項所述之形成半導體裝置的方法,其中所述上層導體包括鋁。
  16. 如申請專利範圍第15項所述之形成半導體裝置的方法,其中形成所述上層導體的步驟包括:利用化學氣相沈積製程形成第一鋁層於所述擴散阻障膜上;以及利用物理氣相沈積製程形成第二鋁層於所述第一鋁層上。
  17. 如申請專利範圍第16項所述之形成半導體裝置的方法,在形成所述第一鋁層之前更包括:利用物理氣相沈積製程形成抑制成長層於所述擴散阻障膜上,其中所述抑制成長層包括金屬氮化物,所述金屬氮化物的氮濃度高於所述擴散阻障膜的氮濃度。
  18. 如申請專利範圍第16項所述之形成半導體裝置的 方法,在形成所述第一鋁層之前更包括:在位於所述層間介電層的頂面的所述擴散阻障膜上進行抑制成長電漿處理,其中用於所述抑制成長電漿處理的處理氣體包含氮氣,並且位於所述層間介電層的頂面的所述擴散阻障膜的氮濃度高於位於所述開口內的所述擴散阻障膜的氮濃度。
  19. 如申請專利範圍第16項所述之形成半導體裝置的方法,在形成所述第一鋁層之前更包括:形成黏著金屬層於所述擴散阻障膜上。
  20. 如申請專利範圍第16項所述之形成半導體裝置的方法,更包括:至少在所述第二鋁層上進行迴銲製程。
TW097116192A 2007-05-03 2008-05-02 形成包含擴散阻障膜之半導體裝置的方法 TWI457986B (zh)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
KR1020070043153A KR100885186B1 (ko) 2007-05-03 2007-05-03 확산 베리어 필름을 포함하는 반도체 소자의 형성 방법

Publications (2)

Publication Number Publication Date
TW200901289A TW200901289A (en) 2009-01-01
TWI457986B true TWI457986B (zh) 2014-10-21

Family

ID=39939819

Family Applications (1)

Application Number Title Priority Date Filing Date
TW097116192A TWI457986B (zh) 2007-05-03 2008-05-02 形成包含擴散阻障膜之半導體裝置的方法

Country Status (5)

Country Link
US (1) US7816255B2 (zh)
JP (1) JP5520453B2 (zh)
KR (1) KR100885186B1 (zh)
CN (1) CN101431049A (zh)
TW (1) TWI457986B (zh)

Families Citing this family (17)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP5025679B2 (ja) * 2009-03-27 2012-09-12 株式会社東芝 半導体装置
US8212349B2 (en) * 2009-12-29 2012-07-03 Powertech Technology Inc. Semiconductor package having chip using copper process
JP2012129465A (ja) * 2010-12-17 2012-07-05 Elpida Memory Inc 半導体装置及びその製造方法
CN102623435B (zh) * 2011-01-31 2015-02-18 北京泰龙电子技术有限公司 一种阻挡层及其制备方法
CN102623434B (zh) * 2011-01-31 2015-02-18 北京泰龙电子技术有限公司 一种扩散阻挡层及其制备方法
US20120273948A1 (en) * 2011-04-27 2012-11-01 Nanya Technology Corporation Integrated circuit structure including a copper-aluminum interconnect and method for fabricating the same
KR101806405B1 (ko) 2011-06-29 2017-12-08 삼성디스플레이 주식회사 평판 표시 장치용 백 플레인, 이를 포함하는 평판 표시 장치, 및 그 제조 방법
CN103177945B (zh) * 2011-12-20 2016-03-30 中芯国际集成电路制造(上海)有限公司 高介电常数金属栅极制造方法
CN103456678A (zh) * 2012-06-05 2013-12-18 旺宏电子股份有限公司 阻障堆叠结构及形成阻障堆叠结构的方法
US9653282B2 (en) * 2014-07-29 2017-05-16 Applied Materials, Inc. Silicon-containing substrate cleaning procedure
US9640584B2 (en) * 2014-10-02 2017-05-02 Kabushiki Kaisha Toshiba Method of manufacturing a magnetoresistive memory device
US10134629B1 (en) * 2017-09-06 2018-11-20 United Microelectronics Corp. Method for manufacturing a semiconductor structure
CN109904133A (zh) * 2017-12-11 2019-06-18 中芯国际集成电路制造(北京)有限公司 互连结构及其制造方法
US11309265B2 (en) * 2018-07-30 2022-04-19 Taiwan Semiconductor Manufacturing Co., Ltd. Methods of fabricating semiconductor devices having conductive pad structures with multi-barrier films
CN109994424B (zh) * 2019-03-26 2021-10-15 上海华力集成电路制造有限公司 用于28纳米及以下技术节点的接触孔结构中氮化钛膜的形成方法
CN113314456B (zh) * 2020-02-27 2023-01-20 长鑫存储技术有限公司 导线层的制作方法
CN112259449B (zh) * 2020-10-12 2022-08-09 上海华力集成电路制造有限公司 Nmos器件的n型功函数层及其形成方法及mosfet结构

Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5552341A (en) * 1992-12-10 1996-09-03 Samsung Electronics Co., Ltd. Semiconductor device and method for manufacturing the same
US6271136B1 (en) * 2000-04-04 2001-08-07 Taiwan Semiconductor Manufacturing Company Multi-step plasma process for forming TiSiN barrier
US20020134686A1 (en) * 2001-01-22 2002-09-26 Akiko Kobayashi Method for the formation of copper wiring films
TW578257B (en) * 2002-07-04 2004-03-01 Nat Science Council Manufacturing method of plasma-nitridated titanium-base barrier layer
US20070059925A1 (en) * 2005-09-13 2007-03-15 Kyung-In Choi Method of forming metal wiring layer of semiconductor device

Family Cites Families (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100218728B1 (ko) * 1995-11-01 1999-09-01 김영환 반도체 소자의 금속 배선 제조방법
US5909637A (en) * 1996-09-20 1999-06-01 Sharp Microelectronics Technology, Inc. Copper adhesion to a diffusion barrier surface and method for same
JP3208124B2 (ja) * 1998-06-01 2001-09-10 松下電器産業株式会社 半導体装置、半導体装置の製造方法、および半導体装置の製造装置
JP3651765B2 (ja) 2000-03-27 2005-05-25 株式会社東芝 半導体装置
KR20050009352A (ko) 2003-07-16 2005-01-25 주식회사 하이닉스반도체 알루미늄 플러그 공정을 이용한 반도체 소자의 콘택플러그형성 방법
KR100555514B1 (ko) * 2003-08-22 2006-03-03 삼성전자주식회사 저 저항 텅스텐 배선을 갖는 반도체 메모리 소자 및 그제조방법
US6958291B2 (en) * 2003-09-04 2005-10-25 Taiwan Semiconductor Manufacturing Co., Ltd. Interconnect with composite barrier layers and method for fabricating the same
JP2005150280A (ja) * 2003-11-13 2005-06-09 Toshiba Corp 半導体装置の製造方法及び半導体製造装置
KR20050122638A (ko) 2004-06-25 2005-12-29 주식회사 하이닉스반도체 반도체 소자의 금속배선 형성방법

Patent Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5552341A (en) * 1992-12-10 1996-09-03 Samsung Electronics Co., Ltd. Semiconductor device and method for manufacturing the same
US6271136B1 (en) * 2000-04-04 2001-08-07 Taiwan Semiconductor Manufacturing Company Multi-step plasma process for forming TiSiN barrier
US20020134686A1 (en) * 2001-01-22 2002-09-26 Akiko Kobayashi Method for the formation of copper wiring films
TW578257B (en) * 2002-07-04 2004-03-01 Nat Science Council Manufacturing method of plasma-nitridated titanium-base barrier layer
US20070059925A1 (en) * 2005-09-13 2007-03-15 Kyung-In Choi Method of forming metal wiring layer of semiconductor device

Also Published As

Publication number Publication date
US20080274610A1 (en) 2008-11-06
JP5520453B2 (ja) 2014-06-11
CN101431049A (zh) 2009-05-13
KR20080097821A (ko) 2008-11-06
KR100885186B1 (ko) 2009-02-23
TW200901289A (en) 2009-01-01
US7816255B2 (en) 2010-10-19
JP2008277837A (ja) 2008-11-13

Similar Documents

Publication Publication Date Title
TWI457986B (zh) 形成包含擴散阻障膜之半導體裝置的方法
US6376371B1 (en) Method of forming a semiconductor device
JP3865599B2 (ja) アモルファス導電性拡散バリアを形成する方法
CN101515563B (zh) 覆盖层的制造方法
US7504333B2 (en) Method of forming bit line of semiconductor device
KR100876976B1 (ko) 반도체 소자의 배선 및 이의 형성 방법
US20140113428A1 (en) Method for Integrating MnOz Based Resistive Memory with Copper Interconnection Back-End Process
JPH09237838A (ja) 金属配線構造及びその形成方法
TW201908510A (zh) 包含鈦、矽及氮的多區域擴散阻障
KR100669141B1 (ko) 오믹막 및 이의 형성 방법, 오믹막을 포함하는 반도체장치 및 이의 제조 방법
KR20030073614A (ko) 듀얼 다마신 구조를 가지는 반도체 소자의 금속 배선 형성방법
US8008774B2 (en) Multi-layer metal wiring of semiconductor device preventing mutual metal diffusion between metal wirings and method for forming the same
KR100538633B1 (ko) 반도체 소자의 금속 배선 형성 방법
JP2003007820A (ja) 半導体素子のプラグ製造方法
US7498262B2 (en) Method of fabricating a thin film and metal wiring in a semiconductor device
KR100443796B1 (ko) 구리 금속 배선 형성방법
KR100780689B1 (ko) 반도체 소자의 제조방법
KR100606246B1 (ko) 우수한 도포성과 낮은 비저항을 갖는 고순도 Co 박막의형성방법과 이를 이용한 반도체 장치의 콘택 플러그 형성방법
JP4567167B2 (ja) 半導体装置およびその製造方法
KR100946036B1 (ko) 반도체 소자의 제조 방법
JP3447954B2 (ja) 半導体装置およびその製造方法
CN114695357A (zh) 一种半导体器件及其制备方法和存储器件
KR20030090872A (ko) 반도체 소자의 콘택 형성 방법
KR100571387B1 (ko) 반도체 소자의 구리 배선 제조 방법
CN106328578A (zh) 一种半导体器件及其制作方法和电子装置

Legal Events

Date Code Title Description
GD4A Issue of patent certificate for granted invention patent