TWI413224B - 半導體裝置及其製造方法 - Google Patents

半導體裝置及其製造方法 Download PDF

Info

Publication number
TWI413224B
TWI413224B TW99110693A TW99110693A TWI413224B TW I413224 B TWI413224 B TW I413224B TW 99110693 A TW99110693 A TW 99110693A TW 99110693 A TW99110693 A TW 99110693A TW I413224 B TWI413224 B TW I413224B
Authority
TW
Taiwan
Prior art keywords
substrate
power
tsv
semiconductor device
ground
Prior art date
Application number
TW99110693A
Other languages
English (en)
Other versions
TW201037806A (en
Inventor
Kuo H Wu
Oscar M K Law
Original Assignee
Taiwan Semiconductor Mfg
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Taiwan Semiconductor Mfg filed Critical Taiwan Semiconductor Mfg
Publication of TW201037806A publication Critical patent/TW201037806A/zh
Application granted granted Critical
Publication of TWI413224B publication Critical patent/TWI413224B/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76898Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics formed through a semiconductor substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/48Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor
    • H01L23/481Internal lead connections, e.g. via connections, feedthrough structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/48Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor
    • H01L23/488Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor consisting of soldered or bonded constructions
    • H01L23/498Leads, i.e. metallisations or lead-frames on insulating substrates, e.g. chip carriers
    • H01L23/49827Via connections through the substrates, e.g. pins going through the substrate, coaxial cables
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/525Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body with adaptable interconnections
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/528Geometry or layout of the interconnection structure
    • H01L23/5286Arrangements of power or ground buses
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/02Bonding areas ; Manufacturing methods related thereto
    • H01L24/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L24/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/10Bump connectors ; Manufacturing methods related thereto
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/10Bump connectors ; Manufacturing methods related thereto
    • H01L24/12Structure, shape, material or disposition of the bump connectors prior to the connecting process
    • H01L24/13Structure, shape, material or disposition of the bump connectors prior to the connecting process of an individual bump connector
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • H01L24/83Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected using a layer connector
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L25/00Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof
    • H01L25/03Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes
    • H01L25/04Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes the devices not having separate containers
    • H01L25/065Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes the devices not having separate containers the devices being of a type provided for in group H01L27/00
    • H01L25/0657Stacked arrangements of devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8221Three dimensional integrated circuits stacked in different levels
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/0401Bonding areas specifically adapted for bump connectors, e.g. under bump metallisation [UBM]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • H01L2224/05001Internal layers
    • H01L2224/05005Structure
    • H01L2224/05009Bonding area integrally formed with a via connection of the semiconductor or solid-state body
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • H01L2224/0554External layer
    • H01L2224/0556Disposition
    • H01L2224/0557Disposition the external layer being disposed on a via connection of the semiconductor or solid-state body
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/12Structure, shape, material or disposition of the bump connectors prior to the connecting process
    • H01L2224/13Structure, shape, material or disposition of the bump connectors prior to the connecting process of an individual bump connector
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/12Structure, shape, material or disposition of the bump connectors prior to the connecting process
    • H01L2224/13Structure, shape, material or disposition of the bump connectors prior to the connecting process of an individual bump connector
    • H01L2224/13001Core members of the bump connector
    • H01L2224/13005Structure
    • H01L2224/13009Bump connector integrally formed with a via connection of the semiconductor or solid-state body
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/12Structure, shape, material or disposition of the bump connectors prior to the connecting process
    • H01L2224/13Structure, shape, material or disposition of the bump connectors prior to the connecting process of an individual bump connector
    • H01L2224/13001Core members of the bump connector
    • H01L2224/1302Disposition
    • H01L2224/13025Disposition the bump connector being disposed on a via connection of the semiconductor or solid-state body
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/12Structure, shape, material or disposition of the bump connectors prior to the connecting process
    • H01L2224/13Structure, shape, material or disposition of the bump connectors prior to the connecting process of an individual bump connector
    • H01L2224/13001Core members of the bump connector
    • H01L2224/13099Material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/12Structure, shape, material or disposition of the bump connectors prior to the connecting process
    • H01L2224/13Structure, shape, material or disposition of the bump connectors prior to the connecting process of an individual bump connector
    • H01L2224/13001Core members of the bump connector
    • H01L2224/13099Material
    • H01L2224/131Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof
    • H01L2224/13101Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof the principal constituent melting at a temperature of less than 400°C
    • H01L2224/13111Tin [Sn] as principal constituent
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/12Structure, shape, material or disposition of the bump connectors prior to the connecting process
    • H01L2224/13Structure, shape, material or disposition of the bump connectors prior to the connecting process of an individual bump connector
    • H01L2224/13001Core members of the bump connector
    • H01L2224/13099Material
    • H01L2224/131Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof
    • H01L2224/13138Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof the principal constituent melting at a temperature of greater than or equal to 950°C and less than 1550°C
    • H01L2224/13139Silver [Ag] as principal constituent
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/12Structure, shape, material or disposition of the bump connectors prior to the connecting process
    • H01L2224/13Structure, shape, material or disposition of the bump connectors prior to the connecting process of an individual bump connector
    • H01L2224/13001Core members of the bump connector
    • H01L2224/13099Material
    • H01L2224/131Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof
    • H01L2224/13138Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof the principal constituent melting at a temperature of greater than or equal to 950°C and less than 1550°C
    • H01L2224/13147Copper [Cu] as principal constituent
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/15Structure, shape, material or disposition of the bump connectors after the connecting process
    • H01L2224/16Structure, shape, material or disposition of the bump connectors after the connecting process of an individual bump connector
    • H01L2224/161Disposition
    • H01L2224/16135Disposition the bump connector connecting between different semiconductor or solid-state bodies, i.e. chip-to-chip
    • H01L2224/16145Disposition the bump connector connecting between different semiconductor or solid-state bodies, i.e. chip-to-chip the bodies being stacked
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/15Structure, shape, material or disposition of the bump connectors after the connecting process
    • H01L2224/16Structure, shape, material or disposition of the bump connectors after the connecting process of an individual bump connector
    • H01L2224/161Disposition
    • H01L2224/16135Disposition the bump connector connecting between different semiconductor or solid-state bodies, i.e. chip-to-chip
    • H01L2224/16145Disposition the bump connector connecting between different semiconductor or solid-state bodies, i.e. chip-to-chip the bodies being stacked
    • H01L2224/16146Disposition the bump connector connecting between different semiconductor or solid-state bodies, i.e. chip-to-chip the bodies being stacked the bump connector connecting to a via connection in the semiconductor or solid-state body
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2225/00Details relating to assemblies covered by the group H01L25/00 but not provided for in its subgroups
    • H01L2225/03All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00
    • H01L2225/04All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices not having separate containers
    • H01L2225/065All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices not having separate containers the devices being of a type provided for in group H01L27/00
    • H01L2225/06503Stacked arrangements of devices
    • H01L2225/06513Bump or bump-like direct electrical connections between devices, e.g. flip-chip connection, solder bumps
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2225/00Details relating to assemblies covered by the group H01L25/00 but not provided for in its subgroups
    • H01L2225/03All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00
    • H01L2225/04All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices not having separate containers
    • H01L2225/065All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices not having separate containers the devices being of a type provided for in group H01L27/00
    • H01L2225/06503Stacked arrangements of devices
    • H01L2225/06541Conductive via connections through the device, e.g. vertical interconnects, through silicon via [TSV]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/10Bump connectors ; Manufacturing methods related thereto
    • H01L24/15Structure, shape, material or disposition of the bump connectors after the connecting process
    • H01L24/16Structure, shape, material or disposition of the bump connectors after the connecting process of an individual bump connector
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/10Bump connectors ; Manufacturing methods related thereto
    • H01L24/15Structure, shape, material or disposition of the bump connectors after the connecting process
    • H01L24/17Structure, shape, material or disposition of the bump connectors after the connecting process of a plurality of bump connectors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body
    • H01L27/06Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including a plurality of individual components in a non-repetitive configuration
    • H01L27/0688Integrated circuits having a three-dimensional layout
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier
    • H01L27/12Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being other than a semiconductor body, e.g. an insulating body
    • H01L27/1203Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being other than a semiconductor body, e.g. an insulating body the substrate comprising an insulating body on a semiconductor body, e.g. SOI
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/0001Technical content checked by a classifier
    • H01L2924/00014Technical content checked by a classifier the subject-matter covered by the group, the symbol of which is combined with the symbol of this group, being disclosed without further technical details
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/0001Technical content checked by a classifier
    • H01L2924/0002Not covered by any one of groups H01L24/00, H01L24/00 and H01L2224/00
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01006Carbon [C]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01013Aluminum [Al]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01014Silicon [Si]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01015Phosphorus [P]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01019Potassium [K]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01022Titanium [Ti]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01024Chromium [Cr]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01029Copper [Cu]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01032Germanium [Ge]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01033Arsenic [As]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01047Silver [Ag]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/0105Tin [Sn]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01073Tantalum [Ta]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01074Tungsten [W]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01075Rhenium [Re]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01078Platinum [Pt]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01079Gold [Au]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01082Lead [Pb]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/013Alloys
    • H01L2924/014Solder alloys
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/049Nitrides composed of metals from groups of the periodic table
    • H01L2924/04944th Group
    • H01L2924/04941TiN
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/049Nitrides composed of metals from groups of the periodic table
    • H01L2924/04955th Group
    • H01L2924/04953TaN
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/10Details of semiconductor or other solid state devices to be connected
    • H01L2924/11Device type
    • H01L2924/14Integrated circuits
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/15Details of package parts other than the semiconductor or other solid state devices to be connected
    • H01L2924/151Die mounting substrate
    • H01L2924/153Connection portion
    • H01L2924/1531Connection portion the connection portion being formed only on the surface of the substrate opposite to the die mounting surface
    • H01L2924/15311Connection portion the connection portion being formed only on the surface of the substrate opposite to the die mounting surface being a ball array, e.g. BGA
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/19Details of hybrid assemblies other than the semiconductor or other solid state devices to be connected
    • H01L2924/1901Structure
    • H01L2924/1904Component type
    • H01L2924/19041Component type being a capacitor
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/19Details of hybrid assemblies other than the semiconductor or other solid state devices to be connected
    • H01L2924/1901Structure
    • H01L2924/1904Component type
    • H01L2924/19042Component type being an inductor
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/19Details of hybrid assemblies other than the semiconductor or other solid state devices to be connected
    • H01L2924/1901Structure
    • H01L2924/1904Component type
    • H01L2924/19043Component type being a resistor

Description

半導體裝置及其製造方法
本發明係有關於一種系統及方法以連接半導體裝置,特別有關於一種系統及方法以提供連線至半導體晶片的背面。
接觸墊(contact pads)大體上是用於半導體裝置,以提供訊號、電源和接地連接至外部的裝置。在這些半導體裝置中,接觸墊通常是連接到位於主動元件上方的金屬化層。此金屬化層將所述訊號、電源和接地連接延伸路徑至所欲的位置,並且與各種主動元件相互連接,以形成功能性電路。
然而,因為增加接觸墊的數量所造成的延伸路徑擁擠的緣故,使得這些接觸墊典型地沿著裝置的週邊區域約束在一起,此作用限制了整個元件尺寸的任何縮減。更有甚者,隨著半導體裝置發展成更強效且更複雜,因而在該些金屬化層內部的延伸路徑的內連線亦已變得更複雜。此結果導致金屬化層的數量增加,並且因額外金屬化層所需高電阻導電孔而導致電阻及功率消耗的增加。此複雜性亦已導致兩最上層的金屬化層典型地幾乎完全被用於延伸路徑至電源和接地,此稱做金屬化層的電源網(power mesh of metallization layers)。
藉由要求將訊號、電源、和接地連線全部製作成穿透位於該金屬化層上方的接觸墊,可使得所述訊號、電源、和接地連線所需要的複雜度和延伸路徑的距離增加,導致較大的電阻、較大的功率耗損、較多的金屬化層數量、以及整體較大的製造成本。就其本身而論,業界所亟需的是一種降低穿透該金屬化層的訊號、電源、和接地連線的複雜度的方法。
藉由本發明實施例所提供的穿透基板的穿矽導孔(TSV),可使得上述所揭露及其他的問題大致上獲得解決和避免,並且大致上能達成技術上的優點。
根據本發明之一實施例,一種半導體裝置包括:一基板,具有一第一面和對向該第一面的一第二面,該基板於該第二面上具有一週邊區域和該週邊區域所環繞的一內部區域;複數個主動元件,位於該基板的該第一面上;一第一組導電孔,延伸穿透該基板,一或多個該第一組導電孔位於該週邊區域中;以及一第二組導電孔,延伸穿透該基板,一或多個該第一組導電孔位於該內部區域中。
根據本發明另一實施例,一種半導體裝置包括:一第一基板,具有一第一面和一第二面;多個主動元件和一金屬化區域,位於該第一基板的該第一面上;以及一電源矩陣,位於該第一基板的該第二面上。
根據本發明又一實施例,一種半導體裝置的製造方法包括;提供一基板,具有一第一面和一第二面,該基板於該第二面上具有一週邊區域和該週邊區域所環繞的一內部區域。形成一第一組導電孔於該週邊區域中,延伸穿透該基板。形成第二組導電孔於該內部區域中,延伸穿透該基板。
本發明所述實施例的優點包括,可降低金屬化層的數量,由此導致較小的整體裝置面積。再者,隨著訊號和電源連線可從該裝置的週邊區域重線佈置於基板的內部中,可使得所述整體尺寸的限制降低。。
為使本發明能更明顯易懂,下文特舉實施例,並配合所附圖式,作詳細說明如下:
以下以各實施例詳細說明並伴隨著圖式說明之範例,做為本發明之參考依據。在圖式或說明書描述中,相似或相同之部分皆使用相同之圖號。且在圖式中,實施例之形狀或是厚度可擴大,並以簡化或是方便標示。再者,圖式中各元件之部分將以分別描述說明之,值得注意的是,圖中未繪示或描述之元件,為所屬技術領域中具有通常知識者所知的形式,另外,特定之實施例僅為揭示本發明使用之特定方式,其並非用以限定本發明。
本發明的實施例以特定的內容所揭露,亦即在一晶片系統(system-on-a-chip)的配置中,一半導體晶片具有I/O連線延伸路徑至該半導體晶片的背面。然而,本發明亦可使用其他形式的穿透半導體晶片的連線。
請參閱第1圖,其顯示一基板101具有主動元件102和一層間介電層(interlayer dielectric,簡稱ILD)106。該基板具有一第一面105和對向於該第一面105的第二面107。此外,訊號導孔103和電源/接地(power/ground,簡稱P/G)導孔104形成於基板101和ILD 106內部。該基板101可包括矽塊材、摻雜或未摻雜、或一主動層位於絕緣層上有矽(silicon-on-insulator,簡稱SOI)基板。一般而言,一SOI基板包括一半導體材料層,例如矽、鍺、矽鍺、SOI、絕緣層上有矽鍺(SGOI)、或上述材料的組合。可使用的其他基板包括多層基板、漸層基板、或混合位向基板。
於第1圖中所顯示的主動元件102為五個電晶體。然而,本發明所屬技術領域中具有通常知識者應可理解廣泛的各種類型的元件例如電容、電阻、high-k金屬閘極元件、電感、以及其他等同類型的元件,可用以產生所欲的結構上及功能上的設計需求。另外,該些主動元件102可積體化以形成各種電路,例如訊號驅動器、訊號接收器、靜電放電結構、或其他等同類型的元件,該些主動元件102可利用適當的方法形成於該基板101的表面上或形成於該基板101中。
所述ILD 106是藉由化學氣相沉積法、濺鍍法、或其他任何已知及已使用於本技術領域中用以形成ILD 106的方法以形成於基板101和主動元件102上,典型的層間介電層106具有一平坦化的表面,並且可包括氧化矽,然而亦可選用其他材料,例如high-k材料。該層間介電層106可選擇地形成以致施予一應變於主動元件102內的基板101,如同本技術領域所周知,此將可增加主動元件102的整體效能。
所述訊號導孔103和電源/接地(P/G)導孔104的形成方式可藉由先將一適合的光阻(未顯示)塗佈並顯影,並接著蝕刻該層間介電層106與基板101以形成多個開口。於此階段的該些開口需要延伸進入該基板101,至少超過該些主動元件102,並且抵達一深度至少大於最終所欲完成的基板101的厚度。由於此深度為依賴該基板101的整體設計而決定,因此,此深度可自該基板101的表面深入大約1微米(μm)至大約700微米之間,例如大約50微米。所形成的該些開口具有一直徑大約介於1微米至100微米之間,例如大約6微米。
一旦已形成該些開口,可將該些開口填入一阻障層(未繪示)及一導電材料以形成該訊號導孔103和電源/接地(P/G)導孔104。該阻障層可包括一導電材料,例如氮化鈦,雖然亦可替換使用其他材料,例如氮化鉭、鈦、介電材料、或類似材料。該阻障層可藉由使用一化學氣相沉積法(CVD)形成,例如電漿輔助化學氣相沉積法(PECVD)。然而,亦可選擇使用其他可替代的方法,例如濺鍍法或有機金屬化學氣相沉積法(MOCVD)。該阻障層可形成以順應下方用於訊號導孔103和電源/接地(P/G)導孔104的開口的形狀。
該導電材料可包括銅,雖然亦可替代其他適合的材料,例如鋁、合金、摻雜多晶矽、上述材料的組合、或其他類似的材料。形成該導電材料可藉由先沉積一晶種層,接著形成電鍍銅於該晶種層上,填入且溢滿該些用於訊號導孔103和電源/接地(P/G)導孔104的開口。一旦導電材料已填入該些用於訊號導孔103和電源/接地(P/G)導孔104的開口中,可透過一研磨製程,例如化學機械研磨法(CMP),雖然亦可使用其他適合的移除製程,將位於該些開口外部多餘的阻障層和多餘的導電層移除。
第2圖顯示形成多金屬化層201和一保護層203於該層間介電層106、該基板101和該訊號導孔103和電源/接地(P/G)導孔104上實施例的剖面示意圖。於此實施例中,該些金屬化層201包括內連線和連接線,以將訊號延伸路徑於該基板101的第一面105上方的各主動元件102之間。然而,於此實施例中,所述輸入、輸出、電源、和接地皆延伸路徑至訊號導孔103和電源/接地(P/G)導孔104,於下文中將對照第3圖詳細描述形成穿矽導孔(TSV),並且不需要使用I/O接觸墊與位於該基板101的第一面105上方的相關線路。就其本身而論,由於可獲得空間以用於該些主動元件102之間的訊號路徑,因此可使用較少的金屬化層(例如六層或更少的金屬化層)。
該些金屬化層201形成於該基板101、主動元件102、層間介電層106、和該訊號導孔103和電源/接地(P/G)導孔104之上,並且設計以連接各種不同的主動元件102以形成功能性電路。該些金屬化層201的形成是以介電材料和導電材料的交錯疊層形式,並且可利用任何適當的製程(例如沉積、鑲嵌、雙鑲嵌等)。於一實施例中,至少有四層金屬化層分別由ILD層106與基板101隔離,然而,金屬化層201精確數目至少部分依據該半導體晶片的整體設計而定。
於此實施例中,該保護層203可形成成為一完整而連續的層,以包覆該些金屬化層201避免外界接觸。再者,由於該些I/O接觸是藉由訊號導孔103和電源/接地(P/G)導孔104而延伸路徑穿透基板101,該保護層203上並無可以允許製做電性接觸至該些金屬化層201的開口。該保護層203可由一或多種介電材料形成,例如氧化矽、氮化矽、低介電常數材料例如碳摻雜氧化物、極低介電常數材料例如多孔性碳摻雜二氧化矽、上述材料的組合、或同類型性質的材料。該保護層203可透過以下製程形成,例如化學氣相沉積法(CVD),雖然亦可使用其他適合的移除製程。
第3圖顯示根據本發明實施例之為了露出訊號導孔103和電源/接地(P/G)導孔104而薄化該基板101以形成訊號穿矽導孔(signal TSVs)301和電源/接地穿矽導孔(P/G TSVs)303的剖面示意圖。為了薄化該基板101,將部分的該基板101的第二面107移除以露出位於訊號導孔103和電源/接地(P/G)導孔104內部的導電材料(請參閱第2圖)。該移除步驟可藉由一研磨製程實施,例如化學機械研磨法(CMP),然而亦可使用其他適合製程,例如蝕刻製程。
然而,本發明所屬技術領域中具有通常知識者應可理解上述揭露形成訊號TSV 301和電源/接地TSV 303的方法,僅僅為用於輔助說明的一實施例,且並非意圖使本發明僅限定於這些實施例中。再者,亦可選擇改用其他適合的方法。例如,可將用於訊號TSV 301和電源/接地TSV 303的開口填入介電材料,直至該基板101的第二面107薄化完成後,於此階段可將介電材料移除並且取代以導電材料。這些實施例以及其他適合的實施例可替代以用於形成訊號TSV 301和電源/接地TSV 303。
在移除部分的該基板101的第二面107之後,可接著實施一清洗蝕刻步驟。此清洗蝕刻步驟意欲清洗並拋光在進行CMP步驟之後的該基板101。此外,此清洗蝕刻步驟亦可幫助應力釋放,此應力是在CMP製程時研磨基板101生成。此清洗蝕刻步驟可使用HNO3 ,然而亦可選擇使用其他適合的替代蝕刻液。
第4圖係顯示於該基板101的第二面107上形成多個重新分佈線(redistribution lines) 401以電性連接至訊號TSV 301和電源/接地TSV 303的剖面示意圖。該些重新分佈線401是從所對應的穿矽導孔(TSV),這些TSV電性連接至訊號TSV 301和電源/接地TSV 303,而延伸至如下文中所描述的凸塊底層金屬(Under-Bump Metallization,簡稱UBM) 403。
該些重新分佈線401可利用在積體電路中形成連接線的任何適當方法形成。該些重新分佈線401包括至少一由金屬構成的導電層,例如鋁、銅、鎢、鈦、及上述金屬的任意組合。該些重新分佈線401的形成方式可藉由將金屬鍍於一晶種層上,接著蝕刻不想要的部分,留下該些重新分佈線401。於一實施例中,該些重新分佈線401約為2微米(μm)至30微米之間,例如大約5微米。然而,亦可替換地使用其他材料和製程,例如公知的鑲嵌製程(damascene process)以形成該些重新分佈線401。
應瞭解的是,該些重新分佈線401可為單一的導電材料層,或者可替換成多層的導電材料,依所欲的性質而定。例如,如上述所形成的重新分佈線401可鍍上另一導電材料例如金或鉻,以提供與後續形成的連接構件良好的黏結性。此鍍層步驟可藉由例如是CVD的製程步驟完成。
為了要提供與外部連接件405良好的界面性質,所述凸塊底層金屬(UBM) 403可形成於鄰接該些重新分佈線401的部分。此外,在第4圖中為求清楚表示,因而所顯示的UBM 403是位於該些重新分佈線401從訊號TSV 301和電源/接地TSV 303對應的相對面上。應瞭解的是,該些UBM 403的確切位置至少部分是由整體的設計而決定,然而仍應維持透過該些重新分佈線401電性連接至訊號TSV 301和電源/接地TSV 303。
該些UBM 403可由至少三層導電材料所構成,例如一層鉻、一層鉻-銅合金、以及一層銅,並且在銅層的頂部上方可選擇性的形成一層金。然而,應瞭解的是,上述材料和層仍有更適當的配置方式,例如以鈦/鈦鎢/銅的配置方式或者以銅/鎳/金的配置方式會更適合於形成該些UBM 403。任何可用於該些UBM 403的適合材料和結構層皆完全包含於本申請案的精神和範圍內。
該些UBM 403的形成方式可藉由將各材料層順應性地形成於該些重新分佈線401上。各材料層可藉由CVD製程完成,例如PECVD,雖然亦可替換地使用其他製程,例如濺鍍或蒸鍍,依所欲沉積的材料而決定。於該些UBM 403中的各材料層可具有一厚度範圍約介於10微米至100微米之間,例如大約45微米。一旦已形成所欲的材料層,接著將部分的材料層以適當的微影光罩和蝕刻法移除,以去除不想要的材料並留下圖案化的UBM 403。
所述外部連接件405可包括多個接觸凸塊,由例如是錫的材料構成,或者由其他適合的材料,例如矽、無鉛錫或銅構成。於一實施例中,該些外部連接件405為錫焊料凸塊。該些外部連接件405可一開始先形成厚度約為100微米的一錫層,透過一般所使用的方法,例如蒸鍍、電鍍、印刷、焊料轉印、植球等。一旦一錫層已形成於上述的結構體上,可實施一迴焊步驟,以將材料定型成為所欲的凸塊形狀。
藉由使用訊號TSV 301和電源/接地TSV 303以將電路直接地連接至該基板101的第二面107上,因而將一縮短的低電阻路徑形成,以供外部I/O介面。就其本身而論,可消除典型的高電阻堆疊式導電孔與長金屬路線。此長金屬路線一般與位於該基板101的第一面105上的訊號301和電源路線相關。上述消除高電阻堆疊式導電孔與長金屬路線得以降低金屬化層的數目。此外,因為在此實施例中並沒有需要穿透該保護層203的連線,因此可使用較窄的金屬線於該頂部金屬層,而非使用相對厚的金屬線,其一般僅使用於較上層的該些金屬化層203。上述使用較窄的金屬線能允許更進一步的改善繞線度(routability)。
第5A圖顯示根據本發明之一實施例的具潛力的I/O佈局架構。如第4圖中所示,該些金屬化層201為藉由訊號TSV 301和電源/接地TSV 303直接地連接至位於該基板101的第二面107上的該些重新分佈線401,由此可允許將訊號TSV 301和電源/接地TSV 303設置於該基板101的第二面107上的位置,仍能維持一縮短的連線路徑。例如,如同明確地顯示於第5A圖中,該訊號TSV 301和電源/接地TSV 303可不僅設置於沿著該基板101第二面107的週邊區域的多條線,並且該些線可作為延伸作用,將該基板101劃分成一第一區塊501和第二區塊503,或者進一步將該第二區塊劃分成一第三區塊505和第四區塊507,或上述之任意組合。
第5B圖顯示根據本發明另一實施例的另一具潛力的I/O佈局架構。於此I/O佈局架構中,將該訊號TSV 301和電源/接地TSV 303形成分屬兩個分開的群組:一週邊群組和一內部群組。於該週邊群組中,該訊號TSV 301和電源/接地TSV 303是沿著該基板101的外部邊緣排列。由該訊號TSV 301和電源/接地TSV 303的週邊群組所環繞的該訊號TSV 301和電源/接地TSV 303的內部群組可排列成一或多條分開且離散的線。這些分開且離散的線可形成平行於該基板的任意一邊,並且多條線可形成彼此間相互垂直。
然而,應瞭解的是,於上述第5A和5B圖所顯示的佈局架構實施例僅僅為兩個用於說明的實施例,並非欲以任何形式限定本發明。基於包含了該些重新分佈線401,可實現含有該訊號TSV 301和電源/接地TSV 303的任一具潛力的佈局,而仍然能維持與該些外部連接件405的連接。全部的這些具潛力的佈局架構皆應視為包含於本發明的保護範圍之內。
因為上述包含了該訊號TSV 301、電源/接地TSV 303、和該些重新分佈線401實施例可增加電源與訊號的選擇性,所以在主動元件102上方的金屬層化層的數量得以降低,導致整體裝置變得更小且更便宜。此外,因為該些外部連接件405可設置成任意的型式,因此上述在晶片上的線路重新分佈通常可實現而無負面效果,導致進一步降低晶片尺寸。
第6A-6B圖分別顯示根據本發明另一實施例的具有電源矩陣600的基板101的第二面107的放大剖面圖和平面圖。為了要直接穿過該基板101直接供應電力給該些主動裝置102,該電源矩陣600是形成於該基板101的第二面107上,且與多重電源/接地TSV 303連接。就其本身而論,由於該電源矩陣600是沿著該基板101的第二面107形成,因此可將一或多個訊號接觸墊613形成於該些金屬化層201的頂層,以穿透該保護層203連接至外部元件。於此樣態中,該電源/接地連線和訊號連線可彼此相互分離,因而該電源/接地連線藉由電源/接地TSV 303延伸路徑穿透該基板101,並且該訊號連線延伸路徑穿透該些金屬化層201和保護層203。
於此實施例中,該些電源/接地TSV 303、該些重新分佈線401、以及該些外部連接件405可分別再次分為電源TSV 601和接地TSV 603、電源重新分佈線605和接地重新分佈線607、電源外部連接件609和接地外部連接件611。於此實施例中,該些電源TSV 601和接地TSV 603排列成一包含行與列的陣列型式,各個行與列具有一交錯序列的電源TSV 601和接地TSV 603。另外,該些電源重新分佈線605和接地重新分佈線607位於一直線上並以交錯的型式排列,使得一電源重新分佈線605並非位於另一電源重新分佈線605的下一順位,而是位於一或多個接地重新分佈線607的下一順位。於此樣態中,一電源重新分佈線605可將各個列上的電源TSV 601連接在一起,而一接地重新分佈線607可將各個列上的接地TSV 603連接在一起。
於此實施例中,多個電源外部連接件609沿著各電源重新分佈線605形成,且各個電源外部連接件609位於一電源TSV 601的上方。在沿著各個電源重新分佈線605上,各個由一電源外部連接件609所覆蓋的電源TSV 601僅相鄰一個其他電源外部連接件609,其亦被另一個電源外部連接件609所覆蓋。就其本身而論,各個電源重新分佈線605具有兩個由被電源外部連接件609所覆蓋的電源TSV 601,並接著一個未被電源外部連接件609所覆蓋的電源TSV 601的重複模式。
相似地,各個接地外部連接件611位於一接地TSV 603的上方。在沿著各個接地重新分佈線607上,各個由一接地外部連接件611所覆蓋的接地TSV 603僅相鄰一個其他接地外部連接件611,其亦被另一個接地外部連接件611所覆蓋。就其本身而論,各個接地重新分佈線607具有兩個由被接地外部連接件611所覆蓋的接地TSV 603,並接著一個未被接地外部連接件611所覆蓋的接地TSV 603的重複模式。
然而,應瞭解的是,於上述參照第6圖所述的陣列佈局僅僅做為一佈局架構的說明的實施例,此佈局架構可用於供應電力和接地連線至該些主動元件102。任何適當的電源TSV 601和接地TSV 603、電源重新分佈線605和接地重新分佈線607、及電源外部連接件609和接地外部連接件611的佈局皆可替換地用於供應電力至該半導體晶片。任一及全部的佈局皆應視為包含於本發明的保護範圍之內。
所述位於該基板101第二面107上的電源矩陣600可10倍小於傳統具有相同電阻的電源網(power mesh)。就其本身而論,此電源矩陣600可取代傳統的電源網,該電源網出現於傳統半導體晶片的最上層金屬化層中,進而允許移除統的電源網及降低所需金屬化層的數量。再者,由於並無中間的電源延伸路徑,外部連接件405(例如電源墊)的整體數量可顯著地降低,允許訊號延伸路徑出現在原先被電源延伸路徑佔據的區域,並且進一步降低位於該基板101第一面105上所需金屬化層的數量。該些電源/接地TSV 303可另做為去耦合電容(decoupling capacitor),可最小化源自電源供應器的雜訊。上述所有的電源/接地TSV 303可用於降低晶片在面積和金屬化層上的整體尺寸,因而允許在每個晶圓上形成更多的晶片數目,降低成本,且增加良率,然同時降低電源和接地接腳的數目,從一般的1000個至大約300個。
第6C圖顯示本發明另一實施例的電源矩陣600,其由一或多列的電源外部連接件609、接地外部連接件611和訊號外部連接件615所環繞,而非僅沿著該基板101的第二面107連接。基於該電源外部連接件609和接地外部連接件611分別地電性連接至電源TSV 601和接地TSV 603,該訊號外部連接件615連接至訊號TSV 301以將訊號從該基板101的第二面107延伸路徑至該些主動元件102。然而,於此實施例中,由於在週邊區域具有混合的電源外部連接件609、接地外部連接件611和訊號外部連接件615,因此並沒有接地外部連接件611位於電源矩陣600中。
第6D圖顯示本發明再一實施例,相似於上述參照第6C圖的實施例說明內容,此實施例亦將訊號TSV 301納入電源矩陣600中。於此實施例中,該電源矩陣600位於該基板101第二面107的中央、非週邊區域,並且由一或多列的電源TSV 601、接地TSV 603和訊號外TSV 605與其對應的電源外部連接件609、接地外部連接件611和訊號外部連接件615的組合所環繞。然而,於此實施例中,一或多個電源TSV 301位於該電源矩陣600的自身中,由此可擴展選擇訊號延伸路徑至該些主動元件102。訊號可藉由訊號重新分佈線617延伸路徑至上述一或多個電源TSV 301。
第7圖顯示本發明之一實施例,將上述第5A-5B圖的佈局架構的使用方式與上述第6A-6D圖的電源矩陣整合納入系統級封裝(system in package)架構中,而非晶片系統(system on chip)架構中。於此實施例中,上述所揭露的結構與佈局可整合於多重半導體晶片中,例如第一半導體晶片701、第二半導體晶片703、和第三半導體晶片705於一系統級封裝(system in package)配置中。所述第一半導體晶片701、第二半導體晶片703、和第三半導體晶片705可接著接合至一封裝基板709(例如一印刷電路板),並且上述半導體晶片彼此間相互結合使得一半導體晶片的TSV(例如第二半導體晶片703的訊號TSV 301)與訊號接觸墊603電性連接,或者與一相鄰的半導體晶片(例如第一半導體晶片701)的後導孔製程的穿矽導孔(via-last TSV) 707電性連接。
該後導孔製程的TSV 707可藉由與第1-3圖中所描述的訊號TSV 301和電源/接地TSV 303相類似的工序形成。然而,該後導孔製程的TSV 707的移除和填入步驟是在形成保護層203的步驟之後完成,而非藉由先導孔製程(via-first process)例如是先前所揭露的訊號TSV 301和電源/接地TSV 303。因此,當完成後導孔製程的TSV 707,TSV 707會延伸穿透其本身所存在的半導體晶片(例如第一半導體晶片701)。因此,由上述揭露的實施例可形成先導孔製程TSV(例如訊號TSV 301和電源/接地TSV 303)和後導孔製程TSV(例如後導孔製程的TSV 707)的組合。此外,該訊號TSV 301和電源/接地TSV 303是用來將電源和訊號延伸路徑至位於晶片內的該些主動區域102,且該訊號TSV 301和電源/接地TSV 303是形成於晶片上,另一方面,後導孔製程的TSV 707是用來將電源和訊號延伸路徑穿透該後導孔製程的TSV 707所位在該晶片,再延伸至另一晶片(例如該第二半導體晶片703)。
藉由將訊號TSV 301、電源/接地TSV 303、和後導孔製程的TSV 707相結合,可形成從該第一半導體晶片701的基板101的第二面107至該第二半導體晶片703的基板101的第二面107的多條連接線。例如,位於該第一半導體晶片701的基板第二面107上的電源矩陣600可供應電源至該第一半導體晶片701的主動區域,然而亦可使用後導孔製程的TSV 707以供應電源至位於該第二半導體晶片703的基板101第二面107上的另一電源矩陣600。
再者,應瞭解的是,以上所描述透過後導孔製程的TSV 707所連接的電源矩陣600僅僅為本發明的實施範例之一。任何對應於第5D-6D圖所描述的佈局和架構的適當結合皆可用於形成多重的連線,以供應訊號、電源和接地連線至該第一半導體晶片701、第二半導體晶片703、和第三半導體晶片705。所有的潛在的結合皆應視為包含於本發明的保護範圍之內。
本發明雖以各種實施例揭露如上,然其並非用以限定本發明的範圍,任何所屬技術領域中具有通常知識者,在不脫離本發明之精神和範圍內,當可做些許的更動與潤飾。本發明之保護範圍當視後附之申請專利範圍所界定者為準。
101...基板
102...主動元件
103...訊號導孔
104...電源/接地(P/G)導孔
105...基板的第一面
106...層間介電層(ILD)
107...基板的第二面
201...金屬化層
203...保護層
301...訊號穿矽導孔
303...電源/接地穿矽導孔
401...重新分佈線
403...凸塊底層金屬(UBM)
405...外部連接件
501...第一區塊
503...第二區塊
505...第三區塊
507...第四區塊
600...電源矩陣
601...電源TSV
603...接地TSV
605...電源重新分佈線
607...接地重新分佈線
609...電源外部連接件
611...接地外部連接件
613...訊號接觸墊
615...訊號外部連接件
617...訊號重新分佈線
701...第一半導體晶片
703...第二半導體晶片
703...第三半導體晶片
707...後導孔製程的穿矽導孔
709...封裝基板
第1圖顯示根據本發明之實施例的基板具有主動元件和一層間介電層(interlayer dielectric,簡稱ILD)的剖面示意圖。
第2圖顯示形成多金屬化層和一保護層於該層間介電層、該基板和該訊號導孔和電源/接地(P/G)導孔上的實施例的剖面示意圖。
第3圖顯示根據本發明實施例之為了露出訊號導孔和電源/接地(P/G)導孔而薄化該基板以形成訊號穿矽導孔(signal TSVs)和電源/接地穿矽導孔(P/G TSVs)的剖面示意圖。
第4圖係顯示於基板的第二面上形成多個重新分佈線以電性連接至訊號TSV和電源/接地TSV的剖面示意圖。
第5A和5B圖顯示根據本發明之實施例的具潛力的I/O佈局架構。
第6A-6B圖分別顯示根據本發明另一實施例的基板的第二面具有電源矩陣的放大剖面圖和平面圖。
第6C圖顯示本發明另一實施例的電源矩陣,其由一或多列的電源外部連接件、接地外部連接件和訊號外部連接件所環繞,而非僅沿著基板的第二面連接。
第6D圖顯示本發明再一實施例,相似於上述第6C圖的實施例說明內容,此實施例亦將訊號TSV納入電源矩陣中。
第7圖顯示本發明之一實施例,將第5A-5B圖的佈局架構的使用方式與第6A-6D圖的電源矩陣整合納入系統級封裝(system in package)架構中的剖面示意圖。
101...基板
102...主動元件
103...訊號導孔
104...電源/接地(P/G)導孔
105...基板的第一面
106...層間介電層(ILD)
107...基板的第二面
201...金屬化層
203...保護層
301...訊號穿矽導孔
303...電源/接地穿矽導孔
401...重新分佈線
403...凸塊底層金屬(UBM)
405...外部連接件

Claims (13)

  1. 一種半導體裝置,包括:一基板,具有一第一面和對向該第一面的一第二面,該基板於該第二面上具有一週邊區域和該週邊區域所環繞的一內部區域;複數個主動元件,位於該基板的該第一面上;一第一組導電孔,延伸穿透該基板,一或多個該第一組導電孔位於該週邊區域中;以及一第二組導電孔,延伸穿透該基板,一或多個該第二組導電孔位於該內部區域中。
  2. 如申請專利範圍第1項所述之半導體裝置,其中該第二組導電孔位於一條線上將該基板的該第二面分隔成一第一區塊和一第二區塊。
  3. 如申請專利範圍第1項所述之半導體裝置,更包括多條重新分佈線,設置於該基板的該第二面上,該些重新分佈線電性連接至該第二組導電孔。
  4. 如申請專利範圍第1項所述之半導體裝置,更包括:多個金屬化層,位於該基板的該第一面之上;以及一連續的保護層,位於該些金屬化層之上。
  5. 如申請專利範圍第1項所述之半導體裝置,其中該第二組導電孔排列成至少一第一直線和一第二直線,其中該第一直線平行於該基板的該第一面,以及該第二直線垂直於第一直線且該第二直線與該第一直線分開。
  6. 一種半導體裝置,包括: 一第一基板,具有一第一面和一第二面;多個主動元件和一金屬化區域,位於該第一基板的該第一面上;以及一電源矩陣,位於該第一基板的該第二面上。
  7. 如申請專利範圍第6項所述之半導體裝置,更包括多個導電孔,延伸穿透該第一基板,並使該些主動元件和該金屬化區域電性連接至該電源矩陣。
  8. 如申請專利範圍第6項所述之半導體裝置,其中該電源矩陣更包括:一重新分佈層,電性連接至該些導電孔;以及多個外部連接,電性連接至該重新分佈層。
  9. 如申請專利範圍第8項所述之半導體裝置,其中該重新分佈層包括多條電源重新分佈線和接地重新分佈線,排列成交錯的列。
  10. 如申請專利範圍第8項所述之半導體裝置,其中各個外部連接是位於一導電孔的上方,該導電孔相鄰於不多於一個其他導電孔,其位於另一外部連接的下方。
  11. 一種半導體裝置的製造方法,包括:提供一基板,具有一第一面和一第二面;形成多個主動元件和一金屬化區域於該基板的該第一面之上;以及形成一電源矩陣於該第一基板的該第二面之上。
  12. 如申請專利範圍第11項所述之半導體裝置的製造方法,更包括形成多個導電孔使得該電源矩陣電性連接至該些主動元件和該金屬化區域,該些導電孔延伸穿透該基板。
  13. 如申請專利範圍第12項所述之半導體裝置的製造方法,更包括:形成一重新分佈層,使其與該些導電孔電性接觸;以及形成多個外部連接,使其與該重新分佈層電性接觸。
TW99110693A 2009-04-07 2010-04-07 半導體裝置及其製造方法 TWI413224B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US16723309P 2009-04-07 2009-04-07
US12/652,900 US8552563B2 (en) 2009-04-07 2010-01-06 Three-dimensional semiconductor architecture

Publications (2)

Publication Number Publication Date
TW201037806A TW201037806A (en) 2010-10-16
TWI413224B true TWI413224B (zh) 2013-10-21

Family

ID=42825497

Family Applications (1)

Application Number Title Priority Date Filing Date
TW99110693A TWI413224B (zh) 2009-04-07 2010-04-07 半導體裝置及其製造方法

Country Status (3)

Country Link
US (4) US8552563B2 (zh)
CN (1) CN101859762B (zh)
TW (1) TWI413224B (zh)

Families Citing this family (205)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7928534B2 (en) * 2008-10-09 2011-04-19 Taiwan Semiconductor Manufacturing Company, Ltd. Bond pad connection to redistribution lines having tapered profiles
US8736050B2 (en) 2009-09-03 2014-05-27 Taiwan Semiconductor Manufacturing Company, Ltd. Front side copper post joint structure for temporary bond in TSV application
US8097964B2 (en) * 2008-12-29 2012-01-17 Texas Instruments Incorporated IC having TSV arrays with reduced TSV induced stress
US8344496B1 (en) 2009-04-29 2013-01-01 Altera Corporation Distributing power with through-silicon-vias
US8759949B2 (en) * 2009-04-30 2014-06-24 Taiwan Semiconductor Manufacturing Company, Ltd. Wafer backside structures having copper pillars
US8247906B2 (en) * 2009-07-06 2012-08-21 Taiwan Semiconductor Manufacturing Company, Ltd. Supplying power to integrated circuits using a grid matrix formed of through-silicon vias
JP2011082450A (ja) 2009-10-09 2011-04-21 Elpida Memory Inc 半導体装置及びこれを備える情報処理システム
US11374118B2 (en) 2009-10-12 2022-06-28 Monolithic 3D Inc. Method to form a 3D integrated circuit
US10157909B2 (en) 2009-10-12 2018-12-18 Monolithic 3D Inc. 3D semiconductor device and structure
US10354995B2 (en) 2009-10-12 2019-07-16 Monolithic 3D Inc. Semiconductor memory device and structure
US10388863B2 (en) 2009-10-12 2019-08-20 Monolithic 3D Inc. 3D memory device and structure
US10366970B2 (en) 2009-10-12 2019-07-30 Monolithic 3D Inc. 3D semiconductor device and structure
US10043781B2 (en) 2009-10-12 2018-08-07 Monolithic 3D Inc. 3D semiconductor device and structure
US11018133B2 (en) 2009-10-12 2021-05-25 Monolithic 3D Inc. 3D integrated circuit
US10910364B2 (en) 2009-10-12 2021-02-02 Monolitaic 3D Inc. 3D semiconductor device
US8264065B2 (en) 2009-10-23 2012-09-11 Synopsys, Inc. ESD/antenna diodes for through-silicon vias
US20110193212A1 (en) * 2010-02-08 2011-08-11 Qualcomm Incorporated Systems and Methods Providing Arrangements of Vias
US10217667B2 (en) 2011-06-28 2019-02-26 Monolithic 3D Inc. 3D semiconductor device, fabrication method and system
US9064712B2 (en) * 2010-08-12 2015-06-23 Freescale Semiconductor Inc. Monolithic microwave integrated circuit
US10497713B2 (en) 2010-11-18 2019-12-03 Monolithic 3D Inc. 3D semiconductor memory device and structure
US11482440B2 (en) 2010-12-16 2022-10-25 Monolithic 3D Inc. 3D semiconductor device and structure with a built-in test circuit for repairing faulty circuits
US11257867B1 (en) 2010-10-11 2022-02-22 Monolithic 3D Inc. 3D semiconductor device and structure with oxide bonds
US11600667B1 (en) 2010-10-11 2023-03-07 Monolithic 3D Inc. Method to produce 3D semiconductor devices and structures with memory
US11018191B1 (en) 2010-10-11 2021-05-25 Monolithic 3D Inc. 3D semiconductor device and structure
US11024673B1 (en) 2010-10-11 2021-06-01 Monolithic 3D Inc. 3D semiconductor device and structure
US10896931B1 (en) 2010-10-11 2021-01-19 Monolithic 3D Inc. 3D semiconductor device and structure
US11158674B2 (en) 2010-10-11 2021-10-26 Monolithic 3D Inc. Method to produce a 3D semiconductor device and structure
US11227897B2 (en) 2010-10-11 2022-01-18 Monolithic 3D Inc. Method for producing a 3D semiconductor memory device and structure
US11315980B1 (en) 2010-10-11 2022-04-26 Monolithic 3D Inc. 3D semiconductor device and structure with transistors
US11469271B2 (en) 2010-10-11 2022-10-11 Monolithic 3D Inc. Method to produce 3D semiconductor devices and structures with memory
US10290682B2 (en) 2010-10-11 2019-05-14 Monolithic 3D Inc. 3D IC semiconductor device and structure with stacked memory
US11404466B2 (en) 2010-10-13 2022-08-02 Monolithic 3D Inc. Multilevel semiconductor device and structure with image sensors
US11327227B2 (en) 2010-10-13 2022-05-10 Monolithic 3D Inc. Multilevel semiconductor device and structure with electromagnetic modulators
US11855114B2 (en) 2010-10-13 2023-12-26 Monolithic 3D Inc. Multilevel semiconductor device and structure with image sensors and wafer bonding
US10679977B2 (en) 2010-10-13 2020-06-09 Monolithic 3D Inc. 3D microdisplay device and structure
US10943934B2 (en) 2010-10-13 2021-03-09 Monolithic 3D Inc. Multilevel semiconductor device and structure
US11437368B2 (en) 2010-10-13 2022-09-06 Monolithic 3D Inc. Multilevel semiconductor device and structure with oxide bonding
US11063071B1 (en) 2010-10-13 2021-07-13 Monolithic 3D Inc. Multilevel semiconductor device and structure with waveguides
US11929372B2 (en) 2010-10-13 2024-03-12 Monolithic 3D Inc. Multilevel semiconductor device and structure with image sensors and wafer bonding
US11163112B2 (en) 2010-10-13 2021-11-02 Monolithic 3D Inc. Multilevel semiconductor device and structure with electromagnetic modulators
US11855100B2 (en) 2010-10-13 2023-12-26 Monolithic 3D Inc. Multilevel semiconductor device and structure with oxide bonding
US10978501B1 (en) 2010-10-13 2021-04-13 Monolithic 3D Inc. Multilevel semiconductor device and structure with waveguides
US11869915B2 (en) 2010-10-13 2024-01-09 Monolithic 3D Inc. Multilevel semiconductor device and structure with image sensors and wafer bonding
US11164898B2 (en) 2010-10-13 2021-11-02 Monolithic 3D Inc. Multilevel semiconductor device and structure
US11605663B2 (en) 2010-10-13 2023-03-14 Monolithic 3D Inc. Multilevel semiconductor device and structure with image sensors and wafer bonding
US10833108B2 (en) 2010-10-13 2020-11-10 Monolithic 3D Inc. 3D microdisplay device and structure
US11133344B2 (en) 2010-10-13 2021-09-28 Monolithic 3D Inc. Multilevel semiconductor device and structure with image sensors
US10998374B1 (en) 2010-10-13 2021-05-04 Monolithic 3D Inc. Multilevel semiconductor device and structure
US11694922B2 (en) 2010-10-13 2023-07-04 Monolithic 3D Inc. Multilevel semiconductor device and structure with oxide bonding
US11043523B1 (en) 2010-10-13 2021-06-22 Monolithic 3D Inc. Multilevel semiconductor device and structure with image sensors
KR20120048843A (ko) * 2010-11-08 2012-05-16 에스케이하이닉스 주식회사 반도체 칩, 이를 갖는 적층 반도체 패키지 및 적층 반도체 패키지 제조방법
US11164770B1 (en) 2010-11-18 2021-11-02 Monolithic 3D Inc. Method for producing a 3D semiconductor memory device and structure
US11569117B2 (en) 2010-11-18 2023-01-31 Monolithic 3D Inc. 3D semiconductor device and structure with single-crystal layers
US11121021B2 (en) 2010-11-18 2021-09-14 Monolithic 3D Inc. 3D semiconductor device and structure
US11923230B1 (en) 2010-11-18 2024-03-05 Monolithic 3D Inc. 3D semiconductor device and structure with bonding
US11521888B2 (en) 2010-11-18 2022-12-06 Monolithic 3D Inc. 3D semiconductor device and structure with high-k metal gate transistors
US11862503B2 (en) 2010-11-18 2024-01-02 Monolithic 3D Inc. Method for producing a 3D semiconductor device and structure with memory cells and multiple metal layers
US11784082B2 (en) 2010-11-18 2023-10-10 Monolithic 3D Inc. 3D semiconductor device and structure with bonding
US11495484B2 (en) 2010-11-18 2022-11-08 Monolithic 3D Inc. 3D semiconductor devices and structures with at least two single-crystal layers
US11107721B2 (en) 2010-11-18 2021-08-31 Monolithic 3D Inc. 3D semiconductor device and structure with NAND logic
US11735462B2 (en) 2010-11-18 2023-08-22 Monolithic 3D Inc. 3D semiconductor device and structure with single-crystal layers
US11094576B1 (en) 2010-11-18 2021-08-17 Monolithic 3D Inc. Methods for producing a 3D semiconductor memory device and structure
US11804396B2 (en) 2010-11-18 2023-10-31 Monolithic 3D Inc. Methods for producing a 3D semiconductor device and structure with memory cells and multiple metal layers
US11610802B2 (en) 2010-11-18 2023-03-21 Monolithic 3D Inc. Method for producing a 3D semiconductor device and structure with single crystal transistors and metal gate electrodes
US11482439B2 (en) 2010-11-18 2022-10-25 Monolithic 3D Inc. Methods for producing a 3D semiconductor memory device comprising charge trap junction-less transistors
US11031275B2 (en) 2010-11-18 2021-06-08 Monolithic 3D Inc. 3D semiconductor device and structure with memory
US11018042B1 (en) 2010-11-18 2021-05-25 Monolithic 3D Inc. 3D semiconductor memory device and structure
US11004719B1 (en) 2010-11-18 2021-05-11 Monolithic 3D Inc. Methods for producing a 3D semiconductor memory device and structure
US11482438B2 (en) 2010-11-18 2022-10-25 Monolithic 3D Inc. Methods for producing a 3D semiconductor memory device and structure
US11211279B2 (en) 2010-11-18 2021-12-28 Monolithic 3D Inc. Method for processing a 3D integrated circuit and structure
US11615977B2 (en) 2010-11-18 2023-03-28 Monolithic 3D Inc. 3D semiconductor memory device and structure
US11508605B2 (en) 2010-11-18 2022-11-22 Monolithic 3D Inc. 3D semiconductor memory device and structure
US11901210B2 (en) 2010-11-18 2024-02-13 Monolithic 3D Inc. 3D semiconductor device and structure with memory
US11355380B2 (en) 2010-11-18 2022-06-07 Monolithic 3D Inc. Methods for producing 3D semiconductor memory device and structure utilizing alignment marks
US11355381B2 (en) 2010-11-18 2022-06-07 Monolithic 3D Inc. 3D semiconductor memory device and structure
US11854857B1 (en) 2010-11-18 2023-12-26 Monolithic 3D Inc. Methods for producing a 3D semiconductor device and structure with memory cells and multiple metal layers
US11443971B2 (en) 2010-11-18 2022-09-13 Monolithic 3D Inc. 3D semiconductor device and structure with memory
JP5589907B2 (ja) * 2011-03-10 2014-09-17 富士通セミコンダクター株式会社 半導体装置、電子デバイス及び電子デバイスの製造方法
CN103748700B (zh) * 2011-06-01 2016-11-16 香港科技大学 用于led封装的带有凹坑和通孔的基板
US10388568B2 (en) 2011-06-28 2019-08-20 Monolithic 3D Inc. 3D semiconductor device and system
US8959009B1 (en) * 2011-06-29 2015-02-17 Keysight Technologies, Inc. Modeling dielectric coating of conductor
JP5922915B2 (ja) * 2011-12-02 2016-05-24 ルネサスエレクトロニクス株式会社 半導体装置およびその製造方法
US11881443B2 (en) 2012-04-09 2024-01-23 Monolithic 3D Inc. 3D semiconductor device and structure with metal layers and a connective path
US11164811B2 (en) 2012-04-09 2021-11-02 Monolithic 3D Inc. 3D semiconductor device with isolation layers and oxide-to-oxide bonding
US10600888B2 (en) 2012-04-09 2020-03-24 Monolithic 3D Inc. 3D semiconductor device
US11735501B1 (en) 2012-04-09 2023-08-22 Monolithic 3D Inc. 3D semiconductor device and structure with metal layers and a connective path
US11088050B2 (en) 2012-04-09 2021-08-10 Monolithic 3D Inc. 3D semiconductor device with isolation layers
US11594473B2 (en) 2012-04-09 2023-02-28 Monolithic 3D Inc. 3D semiconductor device and structure with metal layers and a connective path
US11694944B1 (en) 2012-04-09 2023-07-04 Monolithic 3D Inc. 3D semiconductor device and structure with metal layers and a connective path
US11476181B1 (en) 2012-04-09 2022-10-18 Monolithic 3D Inc. 3D semiconductor device and structure with metal layers
US11616004B1 (en) 2012-04-09 2023-03-28 Monolithic 3D Inc. 3D semiconductor device and structure with metal layers and a connective path
US11410912B2 (en) 2012-04-09 2022-08-09 Monolithic 3D Inc. 3D semiconductor device with vias and isolation layers
US9257392B2 (en) 2012-04-11 2016-02-09 Mediatek Inc. Semiconductor package with through silicon via interconnect
TWI480997B (zh) * 2012-04-24 2015-04-11 矽品精密工業股份有限公司 電性互連結構及電性互連方法
US8587131B1 (en) * 2012-06-07 2013-11-19 Nanya Technology Corp. Through-silicon via and fabrication method thereof
KR101974198B1 (ko) 2012-07-12 2019-04-30 에스케이하이닉스 주식회사 반도체 소자 및 그 제조 방법
US9111939B2 (en) 2012-07-27 2015-08-18 Intel Corporation Metallization of fluorocarbon-based dielectric for interconnects
US10622310B2 (en) * 2012-09-26 2020-04-14 Ping-Jung Yang Method for fabricating glass substrate package
US8896128B2 (en) * 2012-11-16 2014-11-25 Infineon Technologies Ag Integrated circuit, a semiconductor die arrangement and a method for manufacturing an integrated circuit
US11916045B2 (en) 2012-12-22 2024-02-27 Monolithic 3D Inc. 3D semiconductor device and structure with metal layers
US11309292B2 (en) 2012-12-22 2022-04-19 Monolithic 3D Inc. 3D semiconductor device and structure with metal layers
US11217565B2 (en) 2012-12-22 2022-01-04 Monolithic 3D Inc. Method to form a 3D semiconductor device and structure
US11961827B1 (en) 2012-12-22 2024-04-16 Monolithic 3D Inc. 3D semiconductor device and structure with metal layers
US11018116B2 (en) 2012-12-22 2021-05-25 Monolithic 3D Inc. Method to form a 3D semiconductor device and structure
US11063024B1 (en) 2012-12-22 2021-07-13 Monlithic 3D Inc. Method to form a 3D semiconductor device and structure
US11784169B2 (en) 2012-12-22 2023-10-10 Monolithic 3D Inc. 3D semiconductor device and structure with metal layers
US10892169B2 (en) 2012-12-29 2021-01-12 Monolithic 3D Inc. 3D semiconductor device and structure
US11430667B2 (en) 2012-12-29 2022-08-30 Monolithic 3D Inc. 3D semiconductor device and structure with bonding
US10600657B2 (en) 2012-12-29 2020-03-24 Monolithic 3D Inc 3D semiconductor device and structure
US10651054B2 (en) 2012-12-29 2020-05-12 Monolithic 3D Inc. 3D semiconductor device and structure
US10903089B1 (en) 2012-12-29 2021-01-26 Monolithic 3D Inc. 3D semiconductor device and structure
US11177140B2 (en) 2012-12-29 2021-11-16 Monolithic 3D Inc. 3D semiconductor device and structure
US11087995B1 (en) 2012-12-29 2021-08-10 Monolithic 3D Inc. 3D semiconductor device and structure
US11430668B2 (en) 2012-12-29 2022-08-30 Monolithic 3D Inc. 3D semiconductor device and structure with bonding
US10115663B2 (en) 2012-12-29 2018-10-30 Monolithic 3D Inc. 3D semiconductor device and structure
US11004694B1 (en) 2012-12-29 2021-05-11 Monolithic 3D Inc. 3D semiconductor device and structure
US9245790B2 (en) * 2013-01-23 2016-01-26 GlobalFoundries, Inc. Integrated circuits and methods of forming the same with multiple embedded interconnect connection to same through-semiconductor via
US9123789B2 (en) * 2013-01-23 2015-09-01 United Microelectronics Corp. Chip with through silicon via electrode and method of forming the same
US11869965B2 (en) 2013-03-11 2024-01-09 Monolithic 3D Inc. 3D semiconductor device and structure with metal layers and memory cells
US10325651B2 (en) 2013-03-11 2019-06-18 Monolithic 3D Inc. 3D semiconductor device with stacked memory
US8902663B1 (en) 2013-03-11 2014-12-02 Monolithic 3D Inc. Method of maintaining a memory state
US11935949B1 (en) 2013-03-11 2024-03-19 Monolithic 3D Inc. 3D semiconductor device and structure with metal layers and memory cells
US10840239B2 (en) 2014-08-26 2020-11-17 Monolithic 3D Inc. 3D semiconductor device and structure
US11923374B2 (en) 2013-03-12 2024-03-05 Monolithic 3D Inc. 3D semiconductor device and structure with metal layers
US11088130B2 (en) 2014-01-28 2021-08-10 Monolithic 3D Inc. 3D semiconductor device and structure
US11398569B2 (en) 2013-03-12 2022-07-26 Monolithic 3D Inc. 3D semiconductor device and structure
US10224279B2 (en) 2013-03-15 2019-03-05 Monolithic 3D Inc. Semiconductor device and structure
US11341309B1 (en) 2013-04-15 2022-05-24 Monolithic 3D Inc. Automation for monolithic 3D devices
US11720736B2 (en) 2013-04-15 2023-08-08 Monolithic 3D Inc. Automation methods for 3D integrated circuits and devices
US9021414B1 (en) 2013-04-15 2015-04-28 Monolithic 3D Inc. Automation for monolithic 3D devices
US11487928B2 (en) 2013-04-15 2022-11-01 Monolithic 3D Inc. Automation for monolithic 3D devices
US11030371B2 (en) 2013-04-15 2021-06-08 Monolithic 3D Inc. Automation for monolithic 3D devices
US11574109B1 (en) 2013-04-15 2023-02-07 Monolithic 3D Inc Automation methods for 3D integrated circuits and devices
US11270055B1 (en) 2013-04-15 2022-03-08 Monolithic 3D Inc. Automation for monolithic 3D devices
CN103217845B (zh) * 2013-04-22 2015-07-15 京东方科技集团股份有限公司 下基板及其制造方法、液晶显示面板和液晶显示器
JP6260806B2 (ja) * 2013-09-27 2018-01-17 インテル・コーポレーション 両面ダイパッケージ
US9443764B2 (en) * 2013-10-11 2016-09-13 GlobalFoundries, Inc. Method of eliminating poor reveal of through silicon vias
US9559040B2 (en) * 2013-12-30 2017-01-31 International Business Machines Corporation Double-sided segmented line architecture in 3D integration
TWI514531B (zh) * 2014-01-15 2015-12-21 矽品精密工業股份有限公司 半導體結構及其製法
US11107808B1 (en) 2014-01-28 2021-08-31 Monolithic 3D Inc. 3D semiconductor device and structure
US10297586B2 (en) 2015-03-09 2019-05-21 Monolithic 3D Inc. Methods for processing a 3D semiconductor device
US11031394B1 (en) 2014-01-28 2021-06-08 Monolithic 3D Inc. 3D semiconductor device and structure
US9287257B2 (en) 2014-05-30 2016-03-15 Taiwan Semiconductor Manufacturing Company, Ltd. Power gating for three dimensional integrated circuits (3DIC)
US9536848B2 (en) * 2014-10-16 2017-01-03 Globalfoundries Inc. Bond pad structure for low temperature flip chip bonding
JP6425532B2 (ja) * 2014-12-17 2018-11-21 ルネサスエレクトロニクス株式会社 半導体装置
US9570399B2 (en) 2014-12-23 2017-02-14 Mediatek Inc. Semiconductor package assembly with through silicon via interconnect
US9659985B2 (en) * 2015-02-17 2017-05-23 Taiwan Semiconductor Manufacturing Company Ltd. Integrated circuit and image sensing device having metal shielding layer and related fabricating method
US20160260674A1 (en) * 2015-03-03 2016-09-08 Globalfoundries Inc. Removal of integrated circuit chips from a wafer
KR102316267B1 (ko) 2015-04-15 2021-10-22 삼성전자주식회사 씨오피 구조를 갖는 메모리 장치, 이를 포함하는 메모리 패키지 및 그 제조 방법
US11011507B1 (en) 2015-04-19 2021-05-18 Monolithic 3D Inc. 3D semiconductor device and structure
US10381328B2 (en) 2015-04-19 2019-08-13 Monolithic 3D Inc. Semiconductor device and structure
US10825779B2 (en) 2015-04-19 2020-11-03 Monolithic 3D Inc. 3D semiconductor device and structure
US11056468B1 (en) 2015-04-19 2021-07-06 Monolithic 3D Inc. 3D semiconductor device and structure
US9583525B2 (en) * 2015-06-02 2017-02-28 Semiconductor Components Industries, Llc Die stacked image sensors and related methods
US11956952B2 (en) 2015-08-23 2024-04-09 Monolithic 3D Inc. Semiconductor memory device and structure
US10515981B2 (en) 2015-09-21 2019-12-24 Monolithic 3D Inc. Multilevel semiconductor device and structure with memory
US10522225B1 (en) 2015-10-02 2019-12-31 Monolithic 3D Inc. Semiconductor device with non-volatile memory
US10418369B2 (en) 2015-10-24 2019-09-17 Monolithic 3D Inc. Multi-level semiconductor memory device and structure
US11296115B1 (en) 2015-10-24 2022-04-05 Monolithic 3D Inc. 3D semiconductor device and structure
US10847540B2 (en) 2015-10-24 2020-11-24 Monolithic 3D Inc. 3D semiconductor memory device and structure
US11114464B2 (en) 2015-10-24 2021-09-07 Monolithic 3D Inc. 3D semiconductor device and structure
US11114427B2 (en) 2015-11-07 2021-09-07 Monolithic 3D Inc. 3D semiconductor processor and memory device and structure
US11937422B2 (en) 2015-11-07 2024-03-19 Monolithic 3D Inc. Semiconductor memory device and structure
US9786619B2 (en) * 2015-12-31 2017-10-10 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor structure and manufacturing method thereof
KR102473664B1 (ko) * 2016-01-19 2022-12-02 삼성전자주식회사 Tsv 구조체를 가진 다중 적층 소자
KR102487532B1 (ko) * 2016-04-28 2023-01-12 에스케이하이닉스 주식회사 반도체 칩 및 이를 이용한 적층 반도체 칩
CN109075130B (zh) * 2016-05-24 2019-11-22 野田士克林股份有限公司 中间连接器、包括中间连接器的半导体装置和制造中间连接器的方法
KR20180006229A (ko) * 2016-07-08 2018-01-17 삼성전자주식회사 스택 구조의 반도체 메모리 패키지, 메모리 장치 및 반도체 메모리 시스템
US11930648B1 (en) 2016-10-10 2024-03-12 Monolithic 3D Inc. 3D memory devices and structures with metal layers
US11251149B2 (en) 2016-10-10 2022-02-15 Monolithic 3D Inc. 3D memory device and structure
US11711928B2 (en) 2016-10-10 2023-07-25 Monolithic 3D Inc. 3D memory devices and structures with control circuits
US11329059B1 (en) 2016-10-10 2022-05-10 Monolithic 3D Inc. 3D memory devices and structures with thinned single crystal substrates
US11812620B2 (en) 2016-10-10 2023-11-07 Monolithic 3D Inc. 3D DRAM memory devices and structures with control circuits
US11869591B2 (en) 2016-10-10 2024-01-09 Monolithic 3D Inc. 3D memory devices and structures with control circuits
EP3324436B1 (en) * 2016-11-21 2020-08-05 IMEC vzw An integrated circuit chip with power delivery network on the backside of the chip
US10325807B2 (en) * 2016-12-14 2019-06-18 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and method for manufacturing the same
WO2018129907A1 (zh) * 2017-01-11 2018-07-19 中芯长电半导体(江阴)有限公司 一种集成供电系统的封装件及封装方法
US10854568B2 (en) 2017-04-07 2020-12-01 Taiwan Semiconductor Manufacturing Company, Ltd. Packages with Si-substrate-free interposer and method forming same
DE102017123449B4 (de) 2017-04-10 2023-12-28 Taiwan Semiconductor Manufacturing Co. Ltd. Gehäuse mit Si-substratfreiem Zwischenstück und Ausbildungsverfahren
US10522449B2 (en) 2017-04-10 2019-12-31 Taiwan Semiconductor Manufacturing Company, Ltd. Packages with Si-substrate-free interposer and method forming same
KR102494655B1 (ko) 2017-06-19 2023-02-03 삼성전자주식회사 반도체 패키지
CN112164688B (zh) * 2017-07-21 2023-06-13 联华电子股份有限公司 芯片堆叠结构及管芯堆叠结构的制造方法
US10290571B2 (en) 2017-09-18 2019-05-14 Taiwan Semiconductor Manufacturing Company, Ltd. Packages with si-substrate-free interposer and method forming same
US10304792B1 (en) * 2017-11-16 2019-05-28 Futurewei Technologies, Inc. Semiconductor package having reduced internal power pad pitch
US10354980B1 (en) 2018-03-22 2019-07-16 Sandisk Technologies Llc Three-dimensional memory device containing bonded chip assembly with through-substrate via structures and method of making the same
US10354987B1 (en) 2018-03-22 2019-07-16 Sandisk Technologies Llc Three-dimensional memory device containing bonded chip assembly with through-substrate via structures and method of making the same
KR102297701B1 (ko) * 2018-03-22 2021-09-06 샌디스크 테크놀로지스 엘엘씨 관통-기판 비아 구조들을 갖는 본딩된 칩 어셈블리를 포함하는 3차원 메모리 디바이스 및 그 제조 방법
US11081426B2 (en) 2018-07-31 2021-08-03 Taiwan Semiconductor Manufacturing Company, Ltd. 3D IC power grid
US11398415B2 (en) * 2018-09-19 2022-07-26 Intel Corporation Stacked through-silicon vias for multi-device packages
JP7080845B2 (ja) * 2019-03-20 2022-06-06 株式会社東芝 半導体装置
US10892016B1 (en) 2019-04-08 2021-01-12 Monolithic 3D Inc. 3D memory semiconductor devices and structures
US11158652B1 (en) 2019-04-08 2021-10-26 Monolithic 3D Inc. 3D memory semiconductor devices and structures
US11018156B2 (en) 2019-04-08 2021-05-25 Monolithic 3D Inc. 3D memory semiconductor devices and structures
US11296106B2 (en) 2019-04-08 2022-04-05 Monolithic 3D Inc. 3D memory semiconductor devices and structures
US11763864B2 (en) 2019-04-08 2023-09-19 Monolithic 3D Inc. 3D memory semiconductor devices and structures with bit-line pillars
KR20200126686A (ko) * 2019-04-30 2020-11-09 에스케이하이닉스 주식회사 반도체 장치의 제조 방법
US10910345B2 (en) * 2019-05-02 2021-02-02 Nanya Technology Corporation Semiconductor device with stacked die device
WO2020258116A1 (en) 2019-06-27 2020-12-30 Yangtze Memory Technologies Co., Ltd. Marking pattern in forming staircase structure of three-dimensional memory device
US20210305809A1 (en) * 2020-03-31 2021-09-30 Taiwan Semiconductor Manufacturing Company, Ltd. Electrostatic discharge (esd) protection circuit and method of operating the same
US11948930B2 (en) 2020-04-29 2024-04-02 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor package and method of manufacturing the same
DE102020131125A1 (de) * 2020-04-29 2021-11-04 Taiwan Semiconductor Manufacturing Co., Ltd. Halbleiterpaket und Verfahren zum Herstellen desselben
KR20220143444A (ko) * 2021-04-16 2022-10-25 삼성전자주식회사 반도체 칩 및 이를 포함하는 반도체 패키지
US20220367365A1 (en) * 2021-05-12 2022-11-17 Amkor Technology Singapore Holding Pte. Ltd. Electronic devices and methods of manufacturing electronic devices
US11756887B2 (en) 2021-06-22 2023-09-12 International Business Machines Corporation Backside floating metal for increased capacitance
US20230230901A1 (en) * 2022-01-10 2023-07-20 International Business Machines Corporation TSV and Backside Power Distribution Structure

Citations (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TW200820412A (en) * 2006-10-16 2008-05-01 Qimonda Ag Semiconductor chip, semiconductor chip stack and stacking mathod thereof
TW200841452A (en) * 2007-04-09 2008-10-16 Siliconware Precision Industries Co Ltd Multi-chip stack structure
TW200843061A (en) * 2007-04-27 2008-11-01 Taiwan Semiconductor Mfg Semiconductor package structure
TW200901343A (en) * 2007-06-27 2009-01-01 Taiwan Semiconductor Mfg Stacked integrated circuit and semiconductor component
TW200905764A (en) * 2007-07-31 2009-02-01 Siliconware Precision Industries Co Ltd Multi-chip stack structure having silicon channel and method for fabricating the same
TW200905851A (en) * 2007-07-23 2009-02-01 Samsung Electronics Co Ltd Improved electrical connections for multichip modules
TW200908311A (en) * 2007-08-06 2009-02-16 Siliconware Precision Industries Co Ltd Sensor-type package and method for fabricating the same
TW200915451A (en) * 2007-06-07 2009-04-01 United Test & Assembly Ct Lt Through silicon via dies and packages

Family Cites Families (60)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH05211239A (ja) 1991-09-12 1993-08-20 Texas Instr Inc <Ti> 集積回路相互接続構造とそれを形成する方法
DE4314907C1 (de) 1993-05-05 1994-08-25 Siemens Ag Verfahren zur Herstellung von vertikal miteinander elektrisch leitend kontaktierten Halbleiterbauelementen
US5391917A (en) 1993-05-10 1995-02-21 International Business Machines Corporation Multiprocessor module packaging
WO1998019337A1 (en) 1996-10-29 1998-05-07 Trusi Technologies, Llc Integrated circuits and methods for their fabrication
US6882030B2 (en) 1996-10-29 2005-04-19 Tru-Si Technologies, Inc. Integrated circuit structures with a conductor formed in a through hole in a semiconductor substrate and protruding from a surface of the substrate
US6037822A (en) 1997-09-30 2000-03-14 Intel Corporation Method and apparatus for distributing a clock on the silicon backside of an integrated circuit
US5998292A (en) 1997-11-12 1999-12-07 International Business Machines Corporation Method for making three dimensional circuit integration
US6355950B1 (en) * 1998-09-23 2002-03-12 Intel Corporation Substrate interconnect for power distribution on integrated circuits
US6239485B1 (en) * 1998-11-13 2001-05-29 Fujitsu Limited Reduced cross-talk noise high density signal interposer with power and ground wrap
US7405149B1 (en) * 1998-12-21 2008-07-29 Megica Corporation Post passivation method for semiconductor chip or wafer
US6075712A (en) * 1999-01-08 2000-06-13 Intel Corporation Flip-chip having electrical contact pads on the backside of the chip
JP3532788B2 (ja) 1999-04-13 2004-05-31 唯知 須賀 半導体装置及びその製造方法
JP4554011B2 (ja) * 1999-08-10 2010-09-29 ルネサスエレクトロニクス株式会社 半導体集積回路装置の製造方法
KR20080111567A (ko) * 1999-09-02 2008-12-23 이비덴 가부시키가이샤 프린트배선판 및 그 제조방법
US6285560B1 (en) * 1999-09-20 2001-09-04 Texas Instruments Incorporated Method for increasing device reliability by selectively depopulating solder balls from a foot print of a ball grid array (BGA) package, and device so modified
US6322903B1 (en) 1999-12-06 2001-11-27 Tru-Si Technologies, Inc. Package of integrated circuits and vertical integration
US6291858B1 (en) * 2000-01-03 2001-09-18 International Business Machines Corporation Multistack 3-dimensional high density semiconductor device and method for fabrication
US6444576B1 (en) 2000-06-16 2002-09-03 Chartered Semiconductor Manufacturing, Ltd. Three dimensional IC package module
TW490820B (en) * 2000-10-04 2002-06-11 Advanced Semiconductor Eng Heat dissipation enhanced ball grid array package
JP3586435B2 (ja) * 2001-03-30 2004-11-10 ユーディナデバイス株式会社 高周波半導体装置
JP2002319658A (ja) * 2001-04-20 2002-10-31 Matsushita Electric Ind Co Ltd 半導体装置
US6599778B2 (en) * 2001-12-19 2003-07-29 International Business Machines Corporation Chip and wafer integration process using vertical connections
EP1472730A4 (en) 2002-01-16 2010-04-14 Mann Alfred E Found Scient Res HOUSING FOR ELECTRONIC CIRCUITS WITH REDUCED SIZE
US6762076B2 (en) 2002-02-20 2004-07-13 Intel Corporation Process of vertically stacking multiple wafers supporting different active integrated circuit (IC) devices
US6768206B2 (en) * 2002-05-07 2004-07-27 Kabushiki Kaisha Toshiba Organic substrate for flip chip bonding
US6800930B2 (en) 2002-07-31 2004-10-05 Micron Technology, Inc. Semiconductor dice having back side redistribution layer accessed using through-silicon vias, and assemblies
US7030481B2 (en) 2002-12-09 2006-04-18 Internation Business Machines Corporation High density chip carrier with integrated passive devices
US6841883B1 (en) 2003-03-31 2005-01-11 Micron Technology, Inc. Multi-dice chip scale semiconductor components and wafer level methods of fabrication
JP2004319627A (ja) * 2003-04-14 2004-11-11 Renesas Technology Corp 半導体装置
US6924551B2 (en) 2003-05-28 2005-08-02 Intel Corporation Through silicon via, folded flex microelectronic package
JP4046026B2 (ja) * 2003-06-27 2008-02-13 株式会社日立製作所 半導体装置
US7111149B2 (en) 2003-07-07 2006-09-19 Intel Corporation Method and apparatus for generating a device ID for stacked devices
TWI251313B (en) 2003-09-26 2006-03-11 Seiko Epson Corp Intermediate chip module, semiconductor device, circuit board, and electronic device
US7335972B2 (en) 2003-11-13 2008-02-26 Sandia Corporation Heterogeneously integrated microsystem-on-a-chip
US7060601B2 (en) 2003-12-17 2006-06-13 Tru-Si Technologies, Inc. Packaging substrates for integrated circuits and soldering methods
US7049170B2 (en) 2003-12-17 2006-05-23 Tru-Si Technologies, Inc. Integrated circuits and packaging substrates with cavities, and attachment methods including insertion of protruding contact pads into cavities
JP4467318B2 (ja) 2004-01-28 2010-05-26 Necエレクトロニクス株式会社 半導体装置、マルチチップ半導体装置用チップのアライメント方法およびマルチチップ半導体装置用チップの製造方法
US7262495B2 (en) 2004-10-07 2007-08-28 Hewlett-Packard Development Company, L.P. 3D interconnect with protruding contacts
US7297574B2 (en) 2005-06-17 2007-11-20 Infineon Technologies Ag Multi-chip device and method for producing a multi-chip device
US8067814B2 (en) * 2007-06-01 2011-11-29 Panasonic Corporation Semiconductor device and method of manufacturing the same
JP2009010327A (ja) * 2007-06-01 2009-01-15 Panasonic Corp 半導体装置およびその製造方法
KR101588723B1 (ko) * 2007-07-31 2016-01-26 인벤사스 코포레이션 실리콘 쓰루 비아를 사용하는 반도체 패키지 공정
KR101213175B1 (ko) * 2007-08-20 2012-12-18 삼성전자주식회사 로직 칩에 층층이 쌓인 메모리장치들을 구비하는반도체패키지
US8222079B2 (en) * 2007-09-28 2012-07-17 International Business Machines Corporation Semiconductor device and method of making semiconductor device
KR101494591B1 (ko) * 2007-10-30 2015-02-23 삼성전자주식회사 칩 적층 패키지
US20090127686A1 (en) * 2007-11-21 2009-05-21 Advanced Chip Engineering Technology Inc. Stacking die package structure for semiconductor devices and method of the same
US8395902B2 (en) * 2008-05-21 2013-03-12 International Business Machines Corporation Modular chip stack and packaging technology with voltage segmentation, regulation, integrated decoupling capacitance and cooling structure and process
US7968460B2 (en) * 2008-06-19 2011-06-28 Micron Technology, Inc. Semiconductor with through-substrate interconnect
US8237257B2 (en) * 2008-09-25 2012-08-07 King Dragon International Inc. Substrate structure with die embedded inside and dual build-up layers over both side surfaces and method of the same
US8106504B2 (en) * 2008-09-25 2012-01-31 King Dragon International Inc. Stacking package structure with chip embedded inside and die having through silicon via and method of the same
US7825024B2 (en) * 2008-11-25 2010-11-02 Taiwan Semiconductor Manufacturing Company, Ltd. Method of forming through-silicon vias
US8900921B2 (en) * 2008-12-11 2014-12-02 Stats Chippac, Ltd. Semiconductor device and method of forming topside and bottom-side interconnect structures around core die with TSV
US7786008B2 (en) * 2008-12-12 2010-08-31 Stats Chippac Ltd. Integrated circuit packaging system having through silicon vias with partial depth metal fill regions and method of manufacture thereof
US9064936B2 (en) * 2008-12-12 2015-06-23 Stats Chippac, Ltd. Semiconductor device and method of forming a vertical interconnect structure for 3-D FO-WLCSP
US8097964B2 (en) * 2008-12-29 2012-01-17 Texas Instruments Incorporated IC having TSV arrays with reduced TSV induced stress
US8093711B2 (en) * 2009-02-02 2012-01-10 Infineon Technologies Ag Semiconductor device
US7998860B2 (en) * 2009-03-12 2011-08-16 Micron Technology, Inc. Method for fabricating semiconductor components using maskless back side alignment to conductive vias
US8344496B1 (en) * 2009-04-29 2013-01-01 Altera Corporation Distributing power with through-silicon-vias
US8247906B2 (en) * 2009-07-06 2012-08-21 Taiwan Semiconductor Manufacturing Company, Ltd. Supplying power to integrated circuits using a grid matrix formed of through-silicon vias
US8264067B2 (en) * 2009-10-09 2012-09-11 Taiwan Semiconductor Manufacturing Company, Ltd. Through silicon via (TSV) wire bond architecture

Patent Citations (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TW200820412A (en) * 2006-10-16 2008-05-01 Qimonda Ag Semiconductor chip, semiconductor chip stack and stacking mathod thereof
TW200841452A (en) * 2007-04-09 2008-10-16 Siliconware Precision Industries Co Ltd Multi-chip stack structure
TW200843061A (en) * 2007-04-27 2008-11-01 Taiwan Semiconductor Mfg Semiconductor package structure
TW200915451A (en) * 2007-06-07 2009-04-01 United Test & Assembly Ct Lt Through silicon via dies and packages
TW200901343A (en) * 2007-06-27 2009-01-01 Taiwan Semiconductor Mfg Stacked integrated circuit and semiconductor component
TW200905851A (en) * 2007-07-23 2009-02-01 Samsung Electronics Co Ltd Improved electrical connections for multichip modules
TW200905764A (en) * 2007-07-31 2009-02-01 Siliconware Precision Industries Co Ltd Multi-chip stack structure having silicon channel and method for fabricating the same
TW200908311A (en) * 2007-08-06 2009-02-16 Siliconware Precision Industries Co Ltd Sensor-type package and method for fabricating the same

Also Published As

Publication number Publication date
US8753939B2 (en) 2014-06-17
TW201037806A (en) 2010-10-16
US9111936B2 (en) 2015-08-18
US20150357240A1 (en) 2015-12-10
US8552563B2 (en) 2013-10-08
US20140264941A1 (en) 2014-09-18
CN101859762A (zh) 2010-10-13
US20100252934A1 (en) 2010-10-07
CN101859762B (zh) 2013-12-25
US9559003B2 (en) 2017-01-31
US20130316530A1 (en) 2013-11-28

Similar Documents

Publication Publication Date Title
TWI413224B (zh) 半導體裝置及其製造方法
TWI416693B (zh) 半導體裝置及其製造方法
US8716131B2 (en) Through silicon via layout
US20230031099A1 (en) Semiconductor chip with redundant thru-silicon-vias
KR101319701B1 (ko) TSVs에 연결된 웨이퍼 후면의 상호접속 구조
US8759949B2 (en) Wafer backside structures having copper pillars
US7932608B2 (en) Through-silicon via formed with a post passivation interconnect structure
KR100801077B1 (ko) 웨이퍼 표면 상에 균일한 도금을 제공하기 위한 임베디드전기도금 전류 경로를 갖는 반도체 웨이퍼
US9070674B2 (en) Through-silicon coaxial via structure and method
JP2013538460A5 (zh)
JP2014517534A (ja) 基板貫通相互接続を有する半導体構成と、基板貫通相互接続を形成する方法