TWI393221B - 使用在非晶形碳上之氮氧化矽硬式光罩用以製造3維積體電路之方法 - Google Patents

使用在非晶形碳上之氮氧化矽硬式光罩用以製造3維積體電路之方法 Download PDF

Info

Publication number
TWI393221B
TWI393221B TW097123944A TW97123944A TWI393221B TW I393221 B TWI393221 B TW I393221B TW 097123944 A TW097123944 A TW 097123944A TW 97123944 A TW97123944 A TW 97123944A TW I393221 B TWI393221 B TW I393221B
Authority
TW
Taiwan
Prior art keywords
layer
patterned
amorphous carbon
patterning
carbon layer
Prior art date
Application number
TW097123944A
Other languages
English (en)
Other versions
TW200915495A (en
Inventor
Steven J Radigan
Michael W Konevecki
Original Assignee
Sandisk 3D Llc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Sandisk 3D Llc filed Critical Sandisk 3D Llc
Publication of TW200915495A publication Critical patent/TW200915495A/zh
Application granted granted Critical
Publication of TWI393221B publication Critical patent/TWI393221B/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body
    • H01L27/10Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including a plurality of individual components in a repetitive configuration
    • H01L27/102Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including a plurality of individual components in a repetitive configuration including bipolar components
    • H01L27/1021Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including a plurality of individual components in a repetitive configuration including bipolar components including diodes only
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/0203Particular design considerations for integrated circuits
    • H01L27/0207Geometrical layout of the components, e.g. computer aided design; custom LSI, semi-custom LSI, standard cell technique
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/86Types of semiconductor device ; Multistep manufacturing processes therefor controllable only by variation of the electric current supplied, or only the electric potential applied, to one or more of the electrodes carrying the current to be rectified, amplified, oscillated or switched
    • H01L29/861Diodes
    • H01L29/8615Hi-lo semiconductor devices, e.g. memory devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/525Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body with adaptable interconnections
    • H01L23/5252Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body with adaptable interconnections comprising anti-fuses, i.e. connections having their state changed from non-conductive to conductive
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body
    • H01L27/06Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including a plurality of individual components in a non-repetitive configuration
    • H01L27/0688Integrated circuits having a three-dimensional layout
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/0001Technical content checked by a classifier
    • H01L2924/0002Not covered by any one of groups H01L24/00, H01L24/00 and H01L2224/00

Landscapes

  • Engineering & Computer Science (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Ceramic Engineering (AREA)
  • General Engineering & Computer Science (AREA)
  • Semiconductor Memories (AREA)

Description

使用在非晶形碳上之氮氧化矽硬式光罩用以製造3維積體電路之方法
本發明係關於3維積體電路之製造。
由於矽片空間(silicon real estate)之成本高且需要產生較小記憶體裝置,因此單片3維記憶體裝置變得日益流行。該等裝置可包括多個層級之互連記憶體單元。該技術之實例可見於2005年5月12日公開之標題為"Nonvolatile memory cell comprising a reduced height vertical diode"之U.S.2005/0098800及2005年10月4日頒予之標題為"High-density three-dimensional memory cell"之美國專利6,952,030中,兩者均以引用之方式併入本文。在該等裝置中,記憶體單元可形成為多晶矽層中之二極體,而與記憶體單元互連之導電軌可藉由蝕刻氧化物層且沈積導電材料來形成。然而,在蝕刻多晶矽及氧化物層中已遇到各種困難。舉例而言,習知硬式光罩技術不具有足以持續蝕刻之持續時間之抗蝕性。因此,該等硬式光罩技術可能加重線蝕刻粗糙度、模糊下伏對準及上覆標記,且難以整合或移除。隨著3維單片積體電路將最小特徵尺寸及蝕刻及填充縱橫比推至極限,提出極為苛刻之要求,已發現習知硬式光罩技術為不足的。
本發明藉由提供製造3維單片記憶體裝置之方法來解決上述及其他問題,在該方法中,改良氧化物及多晶矽層之 蝕刻。
在一實施例中,製造3維單片記憶體裝置之方法包括,將層狀結構中之第一氮氧化矽層圖案化以提供第一圖案化氮氧化矽層,其中層狀結構包括在第一氮氧化矽層下方之第一非晶形碳層,及在第一非晶形碳層下方之第一氧化物層。該方法另外包括使用第一圖案化氮氧化矽層圖案化第一非晶形碳層以提供第一圖案化非晶形碳層,使用第一圖案化非晶形碳層圖案化第一氧化物層以提供第一圖案化氧化物層,及在第一圖案化氧化物層中形成第一組導電軌,該第一組導電軌係處於3維單片記憶體裝置之特定層級中。
在另一實施例中,製造3維單片記憶體裝置之方法包括,將層狀結構中之第一氮氧化矽層圖案化以提供第一圖案化氮氧化矽層,其中層狀結構包括在第一氮氧化矽層下方之第一非晶形碳層,及在第一非晶形碳層下方之第一多晶層。該方法另外包括使用第一圖案化氮氧化矽層圖案化第一非晶形碳層以提供第一圖案化非晶形碳層,及使用第一圖案化非晶形碳層圖案化第一多晶層以提供第一複數個柱,該等柱為3維單片記憶體裝置之第一層級中之二極體。
在另一實施例中,製造3維單片記憶體裝置之方法包括,在層狀結構中將共同圖案轉移至第一氮氧化矽層及在第一氮氧化矽層下方之第一非晶形碳層以一起提供第一圖案化氮氧化矽層及非晶形碳層,及一起使用第一圖案化氮 氧化矽層及非晶形碳層圖案化第一非晶形碳層下方之第一氧化物層以提供第一圖案化氧化物層。
在另一實施例中,製造3維單片記憶體裝置之方法包括,在層狀結構中將共同圖案轉移至第一氮氧化矽層及在第一氮氧化矽層下方之第一非晶形碳層以一起提供第一圖案化氮氧化矽層及非晶形碳層,及一起使用第一圖案化氮氧化矽層及非晶形碳層圖案化第一非晶形碳層下方之第一多晶層以提供第一圖案化多晶層。
在另一實施例中,製造3維單片記憶體裝置之方法包括,形成3維單片記憶體裝置中之多個層級之二極體,一個層級緊接另一層級,其中各層級包括複數個形成於多晶層中之柱,該等柱自上方及下方與導電軌電性耦合,各層級之柱係藉由使用相關硬式光罩圖案化相關多晶層來形成,相關硬式光罩係使用氮氧化矽層來圖案化。
在另一實施例中,製造3維單片記憶體裝置之方法包括,形成3維單片記憶體裝置中之多個層級之二極體,一個層級緊接另一層級,其中各層級包括複數個形成於多晶中之柱,該等柱自上方及下方與導電軌電性耦合,各層級之導電軌係藉由使用相關硬式光罩圖案化相關氧化物層來形成,相關硬式光罩係使用氮氧化矽層來圖案化。
本發明提供製造3維記憶體裝置之方法。
圖1描繪3維記憶體裝置中之記憶體單元。先前提及之美國專利第6,952,030號揭示非揮發性記憶體單元,其包括垂 直定向之接面二極體及插於頂部導體與底部導體之間的介電質擊穿反熔絲。詳言之,垂直定向之接面二極體110包括第一導電類型(例如p+型)之重度摻雜半導體層112、未摻雜或輕微摻雜半導體材料之層114及第二導電類型(例如n+型)之重度摻雜半導體層116。二極體110之半導體材料可為(例如)矽、鍺或矽及/或鍺之合金。二極體110及介電質擊穿反熔絲120串聯式排列在底部導體105與頂部導體100之間,該等導體可由諸如鎢之金屬形成。導體可呈軌或其他伸長元件之形式,其在給定層級中彼此平行延伸且在交替層級中彼此橫跨。亦可在二極體110與底部導電軌105之間提供氮化鈦黏著及障壁層118。
二極體110可為接面二極體,其為具有非歐姆導電性質之半導體裝置,其具有2個端電極,且由在一電極為p型及在另一電極為n型之半導體材料製得。實例包括具有相互接觸的p型半導體材料及n型半導體材料之p-n二極體及n-p二極體(諸如,齊納(Zener)二極體),及本質(未摻雜)半導體材料插於p型半導體材料與n型半導體材料之間的p-i-n二極體。
在一可能方法中,提供並就地摻雜重度摻雜n型矽層116,接著提供本質或輕微摻雜矽之層114。矽區116及114在初沈積時可為非晶形的,且稍後結晶成多結晶矽,亦稱為多晶矽。注意,p+區112可在將矽圖案化且蝕刻成柱之後形成。舉例而言,例如硼或BF2 之p型摻雜劑之離子植入可用以形成淺接面。為簡單起見,已描述由矽形成之在底 部具有n區且在頂部具有p區之p-i-n二極體的形成。在替代實施例中,二極體之極性可為逆反的,或半導體可為鍺,矽鍺合金或一些其他材料。
在記憶體單元之初始狀態,當在頂部導體100與底部導體105之間施加讀取電壓時,二極體110充當開路。反熔絲120阻礙電流,且在大多數實施例中,二極體110之多晶半導體材料係形成為相對較高之電阻率狀態。在頂部導體100與底部導體105之間施加程式化電壓引起反熔絲材料之介電質擊穿,永久形成穿過反熔絲120之導電路徑。二極體110之半導體材料亦改變,將其改變至較低電阻率狀態。程式化後,在施加讀取電壓後,易偵測電流在頂部導體100與底部導體105之間流動。以該方式,程式化單元可與未程式化單元區別。另外,單元為二元的。舉例而言,當無電流流動時可指定邏輯值1,且當電流流動時可指定邏輯值0。
各種其他記憶體單元組態為可能的。舉例而言,參見下文關於圖16-c及圖19a-c之論述。
圖2a描繪3維記憶體裝置之第一層級中之記憶體單元及導電軌。除許多頂部導電軌200及底部導電軌205之外,該結構包括許多在共同層級中之諸如關於圖1所述之記憶體單元210。在一可能方法中,頂部導電軌200彼此平行延伸且底部導電軌205彼此平行延伸,但在橫向方向上。另外,頂部導電軌200可能用作下一較高層級之記憶體單元之底部導電軌。可形成2個、3個、4個或4個以上該等記憶 體層級,一個層級堆疊在另一個層級之頂部,以在諸如單晶矽晶圓之半導體基板上方形成單片3維記憶體陣列。舉例而言,圖2b描繪4層級3維記憶體裝置中之記憶體單元及導電軌。在一可能實施例中,4個層級,L0、L1、L2及L3指示導電軌如何為相鄰層級所共用。舉例而言,當記憶體單元在交替層中之摻雜逆反,以使得交替層(例如L0及L2)中之記憶體單元在底部為n+且在頂部為p+,且在其餘交替層(例如L1及L3)中之記憶體單元在底部為p+且在頂部為n+時,導電軌可為鄰近層所共用。該方法可降低W/TiN佈線層之所需數目。將說明簡化且並未展示全部細節,諸如層級之間的互連。
單片3維記憶體陣列或裝置為在不具有插入基板之單一基板(諸如晶圓)上方形成多個記憶體層級之記憶體陣列或裝置。形成一記憶體層級之層係直接在現有層級之層上沈積或生長。相反地,堆疊記憶體係藉由在獨立基板上形成記憶體層級,且將記憶體層級於頂部彼此黏著來建構,如在Leedy之美國專利第5,915,167號"Three dimensional structure memory"中所揭示。該等基板在黏結前可變薄或自記憶體層級移除,但由於該等記憶體層級最初係形成於獨立基板之上,因此該等記憶體不為真正的單片3維記憶體陣列。
在基板上方形成之單片3維記憶體陣列至少包含在基板上方之第一高度處形成的第一記憶體層級及在與該第一高度不同之第二高度處形成之第二記憶體層級。在基板上方 在該多層級陣列中可形成3個、4個、8個或甚至任何數目之記憶體層級。
圖3描繪形成記憶體單元之層狀結構。如開始所提及,在蝕刻內部形成有二極體之多晶矽層及內部形成有導電軌之氧化物層中已遇到各種困難。舉例而言,已嘗試單獨之抗蝕劑、與氮化矽或二氧化矽或鎢或氮化鈦組合之光阻劑。已嘗試將彼等薄膜組合在一起以蝕刻多晶矽及蝕刻深入氧化物中。然而,該等方法並未顯示足以持續蝕刻之持續時間之抗蝕性。因此,該等硬式光罩薄膜可加重線蝕刻粗糙度、模糊下伏對準及上覆標記,且難以整合或移除。替代方法為多層抗蝕劑機制之使用。然而,該等方法趨於為昂貴的,需要許多步驟且難於處理。
相反,非晶形碳上之氮氧化矽(Six Oy Nz )可用作對矽、氧化物及鎢具有高選擇性之有效、易於移除之硬式光罩。在該方法中,(例如)使用光阻劑層蝕刻氮氧化矽層,且所得經蝕刻之氮氧化矽層用以蝕刻非晶形碳層。蝕刻非晶形碳層為相對容易的。
經蝕刻之非晶形碳層又用以蝕刻包括多晶矽及/或氧化物之一或多個層。在變化方法中,氮氧化矽及非晶形碳層可一起用作蝕刻下伏層之單一硬式光罩。另外,替代非晶形碳,可使用之其他實施例包括單獨或組合使用之二氧化矽、氮化矽、氮化鈦、鎢、氮氧化矽、矽化鈷、其他耐火氧化物(諸如氧化鋁、氧化鎳或氧化鉿)之硬式光罩層。該等選擇亦為高3維堆疊提供高抗蝕性。
圖3-27描繪製造3維單片記憶體裝置之實例方法之概述。
圖3描繪形成記憶體單元之層狀結構。記憶體裝置之形成以基板(未圖示)開始。基板可為此項技術中已知之任何半導電基板,諸如單晶矽、諸如矽-鍺或矽-鍺-碳之IV-IV化合物、III-V化合物、II-VII化合物、該等基板上之磊晶層或任何其他半導體材料。基板可包括製造於其中之積體電路。諸如二氧化矽(SiO2 )之絕緣層300形成在基板上。其他或另外,絕緣層300可包括高介電質薄膜,即Si-C-O-H薄膜或任何其他適合之絕緣材料。非晶形碳(α-C或C)層305沈積在二氧化矽層300上,氮氧化矽(Six Oy Nz )層(由符號"SiON"表示)沈積在非晶形碳層305上且光阻劑(PR)層315提供在氮氧化矽層310上。
在一可能實施例中,光阻劑層為以下類型:由193 nm(深紫外線)光曝露且在垂直於基板平面之方向上為約900至2000埃厚。氮氧化矽層310具有約220至420埃之起始厚度,且可為介電質抗反射塗層(DARC)。視需要,底部抗反射塗層(BARC)可用於DARC之頂部,但並不置換DARC在遮蔽非晶形碳薄膜堆疊中之性質。非晶形碳層305具有大致2700至3300埃之起始厚度。非晶形碳層305之厚度係由其下方所蝕刻之薄膜堆疊來界定且應該考慮到層305在蝕刻製程期間被腐蝕。非晶形碳可作為先進圖案化薄膜(Advanced Patterning Film,APF)自Applied Materials Inc.,Santa Clara,California獲得。二氧化矽層300具有大 致6000埃之起始厚度。厚度視方法架構之要求而變化。
圖4描繪使用光罩圖案化圖3之層狀結構之光阻劑層315。在一可能方法中,藉由使光阻劑層選擇性曝露於UV光且使用顯影劑移除光阻劑之曝露部分,將光罩400之圖案轉移至光阻劑層315。光遮罩400可為衰減相移光罩。圖5描繪使用由圖4之層狀結構之光阻劑層形成的光罩圖案化氮氧化矽層。在此,使用光阻劑層315作為光罩蝕刻氮氧化矽層310以將圖案轉移至氮氧化矽層。所轉移之圖案可包括如圖6所描繪之平行、縱向開口。圖6描繪圖5之層狀結構之俯視圖。
圖7描繪在移除光阻劑材料後保留之圖案化氮氧化矽層。移除光阻劑層後,圖案化氮氧化矽層可用作蝕刻一或多個下伏層之硬式光罩。在一方法中,氮氧化矽層310用以蝕刻下伏非晶形碳層(C)305。圖8描繪使用圖7之氮氧化矽層作為硬式光罩圖案化非晶形碳層。實務上,氮氧化矽層310之部分亦將在蝕刻期間磨除。移除氮氧化矽層310之保留部分,留下圖案化C層305。圖9描繪在移除氮氧化矽材料後保留之圖案化非晶形碳層。圖10描繪使用圖9之非晶形碳層作為硬式光罩圖案化二氧化矽層中之平行槽。平行槽之蝕刻進行得更為精確,其係由於非晶形碳硬式光罩由氮氧化矽硬式光罩形成。注意,非晶形碳層中之一部分或全部將在蝕刻期間磨除。使用圖案化非晶形碳層305圖案化二氧化矽層300可包括使用圖案化非晶形碳層305圖案化一或多個中間層,及使用一或多個中間層圖案化二氧化 矽層。在一替代方法中,將共同圖案轉移至氮氧化矽層與非晶形碳層305以形成如圖8中所描繪之結構且2個層一起用作圖案化二氧化矽層300之硬式光罩。圖案可(例如)轉移至DARC層之頂部之BARC層,且隨後轉移至DARC層及非晶形碳/先進圖案化薄膜(APF)。或者,DARC/APF堆疊可將其圖案轉移至下方之其他薄膜。
圖11描繪移除非晶形碳層後之圖10之圖案化二氧化矽層。在鑲嵌製程中,藉由在介電質中形成空隙,隨後用導電或半導體材料填充彼等空隙來形成特徵。舉例而言,非晶形碳層305可用作蝕刻二氧化矽層300以形成許多間隔開之平行槽之硬式光罩。隨後沈積諸如鎢(W)之導電材料以填充槽。圖12描繪填充至圖11之經蝕刻二氧化矽層中之平行槽中且將其過度填充的導電材料(諸如鎢)1200。隨後可(例如)藉由化學-機械平坦化(CMP)移除過度填充之鎢,形成具有平坦化表面之軌。鎢可由諸如氮化鋁鈦(AlTiN)之耐火金屬置換。耐火金屬適於承受隨著裝置在3維空間中逐步建立沈積矽時所經歷之高溫。鎢亦可由鎢合金、銅及/或鋁置換。
圖13描繪由圖12之層狀結構藉由移除過量導電材料及提供氮化鈦層、多晶矽層、氮化鈦層、鎢層、非晶形碳層、氮氧化矽層及光阻劑層而形成之層狀結構。自橫截面圖描繪諸如鎢之導電材料1200之間隔開的軌。隨後,氮化鈦層1300沈積為障壁/黏著層。隨後提供多晶矽層1305。如關於圖1所提及,可沈積非晶形矽區且其稍後結晶以形成多 結晶矽,亦稱為多晶矽。可提供並就地摻雜重度摻雜n型矽層,接著提供本質或輕微摻雜矽層。如關於圖1所論述,重度摻雜p型區可在將矽圖案化且蝕刻成柱之後形成。
介電質擊穿反熔絲(AF)層1310係在多晶矽層1305之頂部區域例如藉由將下伏矽快速熱氧化以形成二氧化矽(例如約18埃厚)來形成。或者,反熔絲層可作為諸如氧化鉿、氧化鋁或一些其他介電質之高k材料來提供。
在多晶矽層1305之頂部提供氮化鈦1315及鎢1320之短層作為與隨後形成之上覆導電軌的金屬與金屬接觸層。隨後提供非晶形碳層1325、氮氧化矽層1330及光阻劑層1335。類似於圖3-9中所描繪之步驟,將圖案轉移至光阻劑層1335,隨後轉移至氮氧化矽層1330且隨後轉移至非晶形碳層1325,以提供圖14a之層狀結構。可使用無鉻光罩圖案化光阻劑層1335。
在一可能實施例中,光阻劑層1335包括193 nm抗蝕劑且厚度為約900至2000埃。氮氧化矽層1330具有約220至420埃之起始厚度,且可為介電質抗反射塗層。氮氧化矽層1330之厚度係基於光阻劑層1335設定。非晶形碳層1325具有大致2700至3300埃之起始厚度。非晶形碳可作為先進圖案化薄膜(APF)自Applied Materials Inc.,Santa Clara,California獲得。鎢層1320具有大致500埃,至多約1000埃之起始厚度。在一實施例中,需要在處理完成時,保留約300埃之鎢層1320。若蝕刻製程極佳,則鎢層1320之起始 厚度可不遠大於300埃。
氮化鈦層1315具有最少約200埃之厚度。多晶矽層具有約2200至3400埃之起始厚度。氮化鈦層1300具有最少約100埃之厚度。厚度視方法架構之要求而變化。
圖14a描繪由圖13之層狀結構藉由使用光阻劑層圖案化氮氧化矽層及使用圖案化氮氧化矽層作為硬式光罩圖案化非晶形碳層而形成之層狀結構。圖14b描繪圖14a之層狀結構之俯視圖。圖案在2維空間中跨越層狀結構之表面可為均勻的。在一方法中,形成一系列圓柱形(例如,柱狀)記憶體單元。然而,可使用其他橫截面形狀。裝置邊緣之柱可為虛設的,其經歷光學接近校正。在一實施例中,圖案密度為50%,意謂存在規則、均勻之圖案。
圖15描繪由圖14a之層狀結構藉由在單一光微影步驟中,使用圖案化非晶形碳層1325作為硬式光罩圖案化鎢層1320、氮化鈦層1315、多晶矽層1305及氮化鈦層1300而形成之層狀結構。注意,非晶形碳層1325中之一部分或全部將在蝕刻期間磨損。使用圖案化非晶形碳層1325圖案化多晶矽層1305可包括使用圖案化非晶形碳層圖案化一或多個中間層(例如鎢層1320及氮化鈦層1315),及使用一或多個中間層圖案化多晶矽層。在一替代方法中,將共同圖案轉移至氮氧化矽層與非晶形碳層305且2個層一起用作蝕刻多晶矽層1305及氮化鈦層1300之硬式光罩。圖16描繪由圖15之層狀結構藉由移除圖案化非晶形碳層而形成之層狀結構。
圖17描繪由圖16之層狀結構藉由用介電質1700填充空隙而形成之層狀結構。介電材料1700可為諸如正矽酸四乙酯(TEOS)之均勻介電質。
此時,已形成下導電軌及記憶體單元。接著,形成上導電軌及下一層級之記憶體單元。如所提及,上導電軌可橫跨下導電軌。圖18描繪由圖17之層狀結構藉由沈積二氧化矽層1800、非晶形碳層1805、氮氧化矽層1810及光阻劑層1815而形成之層狀結構。
圖19a描繪由圖18之層狀結構藉由圖案化光阻劑1815,使用圖案化光阻劑蝕刻氮氧化矽層1810,及使用圖案化氮氧化矽層作為硬式光罩蝕刻非晶形碳層1805而形成之層狀結構。使用圖案化非晶形碳作為硬式光罩來圖案化二氧化矽層1800以(例如)在鑲嵌製程中形成平行槽。隨後,將氮化鈦1900及諸如鎢之導電材料1905沈積至平行槽中,且移除過量鎢。鎢層1320用作記憶體單元上之頂蓋,其保護反熔絲層1310以免其在蝕刻槽時過衝(overshoot)之狀況下被蝕刻掉。
圖19b及19c描繪替代層狀結構。如所提及,可提供記憶體單元之各種組態。在一方法中,在記憶體單元底部,提供作為高k層之反熔絲。舉例而言,參見圖19b中之反熔絲層1910。此外,諸如矽化鈷或矽化鈦之矽化物層1915為導電軌之部分。在另一選擇中,使用金屬-絕緣體-金屬(MIM)設計,其中反熔絲層在金屬層之間。舉例而言,在圖19b中,參見反熔絲層1930,及金屬(例如TiN)層1925及 1315。亦提供矽化物層1920。在該等替代狀況下,與圖15類似,可使用非晶形碳硬式光罩進行堆疊之蝕刻。對圖19b之狀況而言,使用非晶形碳硬式光罩在單一光微影步驟中蝕穿鎢層1320、氮化鈦層1315、多晶矽層1305、氮化鈦層1300及反熔絲層1910。對圖19c之狀況而言,使用非晶形碳硬式光罩在單一光微影步驟中蝕穿鎢層1320、氮化鈦層1315、反熔絲層1930、氮化鈦層1925、矽化物層1920、多晶矽層1305及氮化鈦層1300。
高k層可具有(例如)大於約8之介電常數k。注意,已研究得出高k介電材料適用於電晶體之閘氧化物,因為其可製成比(例如)二氧化矽之閘氧化物更厚,同時具有相同或更佳之電容。然而,該等閘氧化物在電晶體中起到與在此所述之反熔絲不同的作用。該等閘氧化物不欲在裝置壽命之任何時間點遭受介電質擊穿。原子層沈積(ALD)可用以形成高k材料之介電質擊穿反熔絲。ALD技術之近期進展已允許形成極高品質之高k材料層,其極薄,例如,50、30、20或10埃或10埃以下。該等極薄層具有高品質以使其漏電流在可接受程度上為較低的,且該薄層需要較低擊穿電壓。此外,許多高k介電質可藉由包括ALD之各種沈積方法在相對較低的溫度下形成。一般而言,在製造複雜半導體裝置、最小化摻雜劑擴散、剝落及其他問題中,降低處理溫度往往為有利的。
該材料之介電常數k之值可在(例如)8與50之間,最通常在約8與約25之間。該層可在約10與約200埃之間,例如, 通常在約20與約100埃之間。介電材料可包括HfO2 、Al2 O3 、ZrO2 、TiO2 、La2 O3 、Ta2 O5 、RuO2 、ZrSiOx 、AlSiOx 、HfSiOx 、HfAlOx 、HfSiON、ZrSiAlOx 、HfSiAlOx 、HfSiAlON及ZrSiAlON。在一些實施例中,可摻合兩種或兩種以上該等材料。具有約25之介電常數之氧化鉿HfO2 或具有約9之介電常數之氧化鋁Al2 O3 尤其適合。一般而言,具有較高介電常數之具有相當薄膜品質之材料應比具有較低介電常數之彼等材料厚。
圖20描繪圖19a之層狀結構之俯視圖。注意,導電軌1905橫跨導電軌1200。
接著,形成第二層級之記憶體單元。圖21描繪由圖20之層狀結構藉由移除過量導電材料及提供氮化鈦層2100、多晶矽層2105、氮化鈦層2115、鎢層2120、非晶形碳層2125、氮氧化矽層2130及光阻劑層2135而形成之層狀結構。
圖22描繪由圖21之層狀結構藉由使用光阻劑層2135蝕刻氮氧化矽層1230及使用圖案化氮氧化矽層1230作為硬式光罩蝕刻非晶形碳層2125而形成之層狀結構。
圖23描繪由圖22之層狀結構藉由在單一光微影步驟中,使用圖案化非晶形碳層作為硬式光罩圖案化鎢層2120、氮化鈦層2115及多晶矽層2105而形成之層狀結構。圖24描繪由圖23之層狀結構藉由移除圖案化非晶形碳層2125而形成之層狀結構。圖25描繪由圖24之層狀結構藉由用介電質2500填充空隙而形成之層狀結構。此時,已形成第二層級 之記憶體單元,但尚未形成該層級之上導電軌。使用本文中所述之技術,可形成額外層級之記憶體單元。
舉例而言,圖26描繪在圖25之層狀結構上形成之額外第三層記憶體單元。該額外層包括氮化鈦層2600、鎢層2605、氮化鈦層2610、包括反熔絲層2620之多晶矽層2615、氮化鈦層2625及鎢層2630。第三層記憶體單元係在多晶矽層2615中形成,而第三層之下導電軌係在鎢層2605中形成。
圖27描繪在圖26之層狀結構上形成之額外第四層記憶體單元。該結構包括氮化鈦層2700、鎢層2705、包括反熔絲層2715之多晶矽層2710、氮化鈦層2720、鎢層2725、氮化鈦層2730、鎢層2735及氮化鈦層2740。第四層記憶體單元係在多晶矽層2615中形成。第四層之下導電軌係在鎢層2705中形成,而第四層之上導電軌係在鎢層2735中形成。在該實例中,整個記憶體裝置包括4個層,L0、L1、L2及L3。亦可提供額外氮氧化矽層。各層包括一組具有上導電元件(諸如軌)及下導電元件(諸如軌)之記憶體單元。下導電軌為底部佈線層之部分,該底部佈線層與記憶體單元之底部部分耦合,而上導電軌為上部佈線層之部分,該上部佈線層與記憶體單元之頂部部分耦合。另外,導電軌可為鄰近層所共用。未圖示諸如層級之間的互連之額外細節。
亦可使用本文中提供之技術形成zia,其為金屬化層之間整個貫穿層狀裝置之深通道互連。在一實例實施例中,可使用衰減相移光罩圖案化包括193 nm抗蝕劑且厚度為約 900至2000埃之光阻劑層。使用光阻劑層圖案化具有約220至420埃之起始厚度之氮氧化矽層。使用氮氧化矽層圖案化具有約7200至8800埃之起始厚度之非晶形碳層。使用非晶形碳層圖案化具有約18,000至22,000埃之起始厚度之二氧化矽層。如前文所述,厚度視方法架構之要求而變化。此外,注意,可在zia蝕刻過程中移除除氧化物外之其他材料。舉例而言,可在zia蝕刻過程中移除多晶矽以連接各個層級之金屬化層。
圖28描繪製造3維記憶體裝置之方法。亦參考圖2b。步驟2800包括在第一方向上,例如在L0底部形成第一導電軌。步驟2805包括(例如)在L0中形成第一記憶體單元層級。步驟2810包括在橫跨第一方向之第二方向上,例如在L0之頂部/L1之底部形成第二導電軌。步驟2815包括(例如)在L1中形成第二記憶體單元層級。步驟2820包括在第一方向上,例如在L1之頂部/L2之底部形成第三導電軌。步驟2825包括(例如)在L2中形成第三記憶體單元層級。步驟2830包括在第二方向上,例如在L2之頂部/L3之底部形成第四導電軌。步驟2835包括(例如)在L3中形成第四記憶體單元層級。步驟2840包括在第一方向上,例如在L3之頂部形成第五導電軌。
圖29描繪製造3維記憶體裝置之第一層級之方法。亦參考圖3-17。所述方法為一般概述,並未提供全部細節。步驟2900包括開始建造第一導電軌。步驟2905包括沈積二氧化矽、非晶形碳(C)、氮氧化矽及光阻劑(參見圖3)。步驟 2910包括將光罩之圖案轉移至光阻劑(參見圖4)。步驟2915包括將光阻劑之圖案轉移至氮氧化矽(參見圖5)。步驟2920包括移除光阻劑(參見圖7)。步驟2925包括將氮氧化矽之圖案轉移至非晶形碳(參見圖8)。步驟2930包括移除氮氧化矽(參見圖9)。步驟2935包括將非晶形碳之圖案轉移至二氧化矽以形成槽(參見圖10)。步驟2940包括移除非晶形碳(參見圖11)。步驟2945包括在槽中提供鎢(參見圖12)。步驟2950包括移除過量鎢(參見圖13)。
步驟2955包括開始建造第一層記憶體單元。步驟2960包括提供氮化鈦及多晶矽層(參見圖13)。步驟2965包括在多晶矽中形成反熔絲層。步驟2970包括提供氮化鈦、鎢、C、氮氧化矽及光阻劑層。步驟2975包括執行與步驟2910-2930類似之步驟(參見圖14a)。步驟2980包括將非晶形碳之圖案轉移至鎢、氮化鈦、多晶矽及氮化鈦層(參見圖15)。步驟2985包括移除非晶形碳(參見圖16)。步驟2990包括在空隙中提供介電質(參見圖17)。
圖30描繪製造3維記憶體裝置之第二層級之方法。亦參考圖18-25。所述方法一般概述,並未提供全部細節。步驟3000包括開始建造第二導電軌。步驟3005包括沈積二氧化矽、非晶形碳(C)、氮氧化矽及光阻劑(參見圖18)。步驟3010包括將光罩之圖案轉移至光阻劑。步驟3015包括將光阻劑之圖案轉移至氮氧化矽。步驟3020包括移除光阻劑。步驟3025包括將氮氧化矽之圖案轉移至非晶形碳。步驟3030包括移除氮氧化矽。步驟3035包括將非晶形碳之圖案 轉移至二氧化矽以形成槽。步驟3040包括移除非晶形碳。步驟3045包括在槽中提供氮化鈦及鎢。步驟3050包括移除過量鎢(參見圖19a及20)。
步驟3055包括開始建造第二層記憶體單元。步驟3060包括提供氮化鈦及多晶矽層(參見圖21)。步驟3065包括在多晶矽中形成反熔絲層。步驟3070包括提供氮化鈦、鎢、非晶形碳、氮氧化矽及光阻劑層。步驟3075包括執行與步驟3010-3030類似之步驟(參見圖22)。步驟3080包括將非晶形碳之圖案轉移至鎢、氮化鈦及多晶矽層(參見圖23)。步驟3085包括移除非晶形碳(參見圖24)。步驟3090包括在空隙中提供介電質(參見圖25)。
已出於說明及描述之目的提出本發明之上述實施方式。其不欲為詳盡的或限制本發明於所揭示之精確形式。根據上述教示,許多修改及變化為可能的。選擇所述實施例以最好地解釋本發明之原理及其實際應用,以便進而使熟習此項技術者能在各種實施例中最好地利用本發明以及適於所涵蓋之特定用途之各種修改。本發明之範疇欲由隨附之申請專利範圍來界定。
100‧‧‧頂部導體
105‧‧‧底部導體/底部導電軌
110‧‧‧垂直定向之接面二極體
112‧‧‧重度摻雜半導體層/p+區
114‧‧‧未摻雜層或輕微摻雜半導體材料層/矽區
116‧‧‧重度摻雜半導體層/重度摻雜n型矽層/矽區
118‧‧‧氮化鈦黏著及障壁層
120‧‧‧介電質擊穿反熔絲
200‧‧‧頂部導電軌
205‧‧‧底部導電軌
210‧‧‧記憶體單元
300‧‧‧絶緣層/二氧化矽層
305‧‧‧非晶形碳層
310‧‧‧氮氧化矽層
315‧‧‧光阻劑層
400‧‧‧光罩
1200‧‧‧導電材料
1230‧‧‧氮氧化矽層
1300‧‧‧氮化鈦層
1305‧‧‧多晶矽層
1310‧‧‧介電質擊穿反熔絲層
1315‧‧‧氮化鈦層
1320‧‧‧鎢層
1325‧‧‧非晶形碳層
1330‧‧‧氮氧化矽層
1335‧‧‧光阻劑層
1700‧‧‧介電質
1800‧‧‧二氧化矽層
1805‧‧‧非晶形碳層
1810‧‧‧氮氧化矽層
1815‧‧‧光阻劑層
1900‧‧‧氮化鈦
1905‧‧‧導電材料/導電軌
1910‧‧‧反熔絲層
1915‧‧‧矽化物層
1920‧‧‧矽化物層
1925‧‧‧金屬層
1930‧‧‧反熔絲層
2100‧‧‧氮化鈦層
2105‧‧‧多晶矽層
2115‧‧‧氮化鈦層
2120‧‧‧鎢層
2125‧‧‧非晶形碳層
2130‧‧‧氮氧化矽層
2135‧‧‧光阻劑層
2500‧‧‧介電質
2600‧‧‧氮化鈦層
2605‧‧‧鎢層
2610‧‧‧氮化鈦層
2615‧‧‧多晶矽層
2620‧‧‧反熔絲層
2625‧‧‧氮化鈦層
2630‧‧‧鎢層
2700‧‧‧氮化鈦層
2705‧‧‧鎢層
2710‧‧‧多晶矽層
2715‧‧‧反熔絲層
2720‧‧‧氮化鈦層
2725‧‧‧鎢層
2730‧‧‧氮化鈦層
2735‧‧‧鎢層
2740‧‧‧氮化鈦層
圖1描繪3維記憶體裝置中之記憶體單元。
圖2a描繪3維記憶體裝置之第一層級中之記憶體單元及導電軌。
圖2b描繪4層級3維記憶體裝置中之記憶體單元及導電軌。
圖3描繪形成記憶體單元之層狀結構。
圖4描繪使用光罩圖案化圖3之層狀結構之光阻劑層。
圖5描繪使用由圖4之層狀結構之光阻劑層形成的光罩圖案化氮氧化矽層。
圖6描繪圖5之層狀結構之俯視圖。
圖7描繪在移除光阻劑材料後保留之圖案化氮氧化矽層。
圖8描繪使用圖7之氮氧化矽層作為硬式光罩圖案化非晶形碳層。
圖9描繪在移除氮氧化矽材料後保留之圖案化非晶形碳層。
圖10描繪使用圖9之非晶形碳層作為硬式光罩圖案化二氧化矽層中之平行槽。
圖11描繪移除非晶形碳層後之圖10之圖案化二氧化矽層。
圖12描繪填充至圖11之圖案化二氧化矽層中之平行槽中的導電材料。
圖13描繪由圖12之層狀結構藉由移除過量導電材料及提供氮化鈦(TiN)層、多晶矽層、氮化鈦層、鎢層、非晶形碳層、氮氧化矽層及光阻劑層而形成之層狀結構。
圖14a描繪由圖13之層狀結構藉由使用光阻劑層圖案化氮氧化矽層及使用圖案化氮氧化矽層作為硬式光罩圖案化非晶形碳層而形成之層狀結構。
圖14b描繪圖14a之層狀結構之俯視圖。
圖15描繪由圖14a之層狀結構藉由使用圖案化非晶形碳層作為硬式光罩圖案化鎢層、氮化鈦層、多晶矽層及氮化鈦層而形成之層狀結構。
圖16描繪由圖15之層狀結構藉由移除圖案化非晶形碳層而形成之層狀結構。
圖17描繪由圖16之層狀結構藉由用介電質填充空隙而形成之層狀結構。
圖18描繪由圖17之層狀結構藉由沈積二氧化矽層、非晶形碳層、氮氧化矽層及光阻劑層而形成之層狀結構。
圖19a描繪由圖18之層狀結構藉由圖案化光阻劑,使用圖案化光阻劑圖案化氮氧化矽層,使用圖案化氮氧化矽層圖案化非晶形碳,使用圖案化非晶形碳圖案化二氧化矽層以形成平行槽且將導電材料沈積於平行槽中而形成之層狀結構。
圖19b及19c描繪替代層狀結構。
圖20描繪圖19a之層狀結構之俯視圖。
圖21描繪由圖20之層狀結構藉由移除過量導電材料及沈積氮化鈦層、多晶矽層、氮化鈦層、鎢層、非晶形碳層、氮氧化矽層及光阻劑層而形成之層狀結構。
圖22描繪由圖21之層狀結構藉由使用光阻劑層圖案化氮氧化矽層及使用圖案化氮氧化矽層作為硬式光罩圖案化非晶形碳層而形成之層狀結構。
圖23描繪由圖22之層狀結構藉由使用圖案化非晶形碳層作為硬式光罩圖案化鎢層、氮化鈦層及多晶矽層而形成之 層狀結構。
圖24描繪由圖23之層狀結構藉由移除圖案化非晶形碳層而形成之層狀結構。
圖25描繪由圖24之層狀結構藉由用介電質填充空隙而形成之層狀結構。
圖26描繪在圖25之層狀結構上形成之另一層記憶體單元。
圖27描繪在圖26之層狀結構上形成之另一層記憶體單元。
圖28描繪製造3維記憶體裝置之方法。
圖29描繪製造3維記憶體裝置之第一層級之方法。
圖30描繪製造3維記憶體裝置之第二層級之方法。
(無元件符號說明)

Claims (17)

  1. 一種製造一3維單片記憶體裝置之方法,其包含:將一層狀結構中之一第一氮氧化矽層圖案化以提供一第一圖案化氮氧化矽層,該層狀結構包括在該第一氮氧化矽層下方之一第一非晶形碳層及在該第一非晶形碳層下方之一第一氧化物層;使用該第一圖案化氮氧化矽層圖案化該第一非晶形碳層以提供一第一圖案化非晶形碳層;使用該第一圖案化非晶形碳層圖案化該第一氧化物層以提供一第一圖案化氧化物層;在該第一圖案化氧化物層中形成一第一組導電軌,該第一組導電軌係處於該3維單片記憶體裝置之一特定層級中;在該第一組導電軌上方形成一第一多晶層;將該第一多晶層圖案化以提供第一複數個柱,該等柱自下方與該第一組導電軌電性耦合,該第一複數個柱包含在該3維單片記憶體裝置之該特定層級中之二極體;在該第一複數個柱上方形成一第二氧化物層,在該第二氧化物層上方形成一第二非晶形碳層且在該第二非晶形碳層上方形成一第二氮氧化矽層;將該第二氮氧化矽層圖案化以提供一第二圖案化氮氧化矽層;使用該第二圖案化氮氧化矽層圖案化該第二非晶形碳層以提供一第二圖案化非晶形碳層; 使用該第二圖案化非晶形碳層圖案化該第二氧化物層以提供一第二圖案化氧化物層;及在該第二圖案化氧化物層中形成一第二組導電軌,該第一複數個柱自上方與該第二組導電軌電性耦合。
  2. 如請求項1之方法,其中該第一氧化物層包含二氧化矽。
  3. 如請求項1之方法,其中:利用在該第一氧化物層中形成複數個平行槽以提供該第一圖案化氧化物層;及該第一組導電軌係利用將一導電材料填入該等平行槽而形成於該第一圖案化氧化物層內。
  4. 如請求項1之方法,其中該複數個柱中之各個柱具有一第一導電類型之重度摻雜區域、一未摻雜或輕微摻雜中間區域及一第二導電類型之重度摻雜區域。
  5. 如請求項1之方法,其中:該3維單片記憶體裝置包含二極體之多層級。
  6. 如請求項1之方法,其進而包含:將該第二組導電軌上方之一多晶層圖案化以形成一第二複數個柱,該等柱自下方與該第二組導電軌電性耦合。
  7. 如請求項1之方法,其中該第一組導電軌包含鎢及氮化鋁鈦中之至少一者。
  8. 如請求項1之方法,其中該第一氮氧化矽層具有約220至420埃之起始厚度;且該第一非晶形碳層具有約2700至 3300埃之起始厚度。
  9. 如請求項1之方法,其中使用該第一圖案化非晶形碳層圖案化該第一氧化物層以提供該第一圖案化氧化物層係包括,使用該第一圖案化非晶形碳層圖案化一或多個中間層及使用該一或多個中間層圖案化該第一氧化物層。
  10. 一種製造一3維單片記憶體裝置之方法,其包含:將一層狀結構中之一第一氮氧化矽層圖案化以提供一第一圖案化氮氧化矽層,該層狀結構包括在該第一氮氧化矽層下方之一第一非晶形碳層及在該第一非晶形碳層下方之一第一多晶層;使用該第一圖案化氮氧化矽層圖案化該第一非晶形碳層以提供一第一圖案化非晶形碳層;使用該第一圖案化非晶形碳層圖案化該第一多晶層以提供第一複數個柱,該等第一複數個柱為在該3維單片記憶體裝置之一第一層級中之二極體;在該第一複數個柱上方形成一第二多晶層,在該第二多晶層上方形成一第二非晶形碳層,且在該第二非晶形碳層上方形成一第二氮氧化矽層;將該第二氮氧化矽層圖案化以提供一第二圖案化氮氧化矽層;使用該第二圖案化氮氧化矽層圖案化該第二非晶形碳層以提供一第二圖案化非晶形碳層;及使用該第二圖案化非晶形碳層圖案化該第二多晶層以提供第二複數個柱,該等第二複數個柱為在該3維單片 記憶體裝置之一第二層級中之二極體。
  11. 如請求項10之方法,其中在該第一多晶層之圖案化期間,亦使用該第一圖案化非晶形碳層圖案化提供在該第一多晶層與該第一圖案化非晶形碳層之間的一導電層。
  12. 如請求項11之方法,其中該導電層包含鎢及氮化鋁鈦中之至少一者。
  13. 如請求項10之方法,其中該第一複數個柱自下方與一第一組導電軌電性耦合,該方法進而包含:在該第一複數個柱上方及該第二複數個柱下方形成一第二組導電軌,該第一複數個柱自上方與該第二組導電軌電性耦合,且該第二複數個柱自下方與該第二組導電軌電性耦合。
  14. 如請求項10之方法,其中:該第一氮氧化矽層具有約220至420埃之起始厚度;且該第一非晶形碳層具有約2700至3300埃之起始厚度。
  15. 如請求項10之方法,其中使用該第一圖案化非晶形碳層圖案化該第一多晶層係包括,使用該第一圖案化非晶形碳層圖案化一或多個中間層及使用該一或多個中間層圖案化該第一多晶層。
  16. 一種製造一3維單片記憶體裝置之方法,其包含:(a)在一層狀結構內之該3維單片記憶體裝置之一第一層級中:將一第一共同圖案轉移至一第一氮氧化矽層及在該第一氮氧化矽層下方之一第一非晶形碳層,以一起提供第 一圖案化氮氧化矽層及非晶形碳層;一起使用該第一圖案化氮氧化矽層及非晶形碳層圖案化該第一非晶形碳層下方之一第一氧化物層以提供一第一圖案化氧化物層;在該第一圖案化氧化物層中形成一第一組導電軌;在該第一組導電軌上方形成一第一多晶層;及將該多晶層圖案化以形成複數個柱,該等柱與該第一組導電軌電性耦合,該複數個柱包含二極體;及(b)在該3維單片記憶體裝置之該第一層級上之該3維單片記憶體裝置之一第二層級中:將一第二共同圖案轉移至一第二氮氧化矽層及在該第二氮氧化矽層下方之一第二非晶形碳層,以一起提供第二圖案化氮氧化矽層及非晶形碳層;及一起使用該第二圖案化氮氧化矽層及非晶形碳層以圖案化該第二非晶形碳層下方之一第二氧化物層以提供一第二圖案化氧化物層。
  17. 一種製造一3維單片記憶體裝置之方法,其包含:(a)在一層狀結構內之該3維單片記憶體裝置之一第一層級中:將一共同圖案轉移至一第一氮氧化矽層及在該第一氮氧化矽層下方之一第一非晶形碳層,以一起提供第一圖案化氮氧化矽層及非晶形碳層;及一起使用該第一圖案化氮氧化矽層及非晶形碳層圖案化該第一非晶形碳層下方之一第一多晶層以提供一第一 圖案化多晶層,該第一多晶層之該圖案化形成包含二極體之第一複數個柱;及(b)在該3維單片記憶體裝置之該第一層級上之該3維單片記憶體裝置之一第二層級中:將該共同圖案轉移至一第二氮氧化矽層及在該第二氮氧化矽層下方之一第二非晶形碳層,以一起提供第二圖案化氮氧化矽層及非晶形碳層;及一起使用該第二圖案化氮氧化矽層及非晶形碳層以圖案化該第二非晶形碳層下方之一第二多晶層以提供一第二圖案化多晶層,該第二多晶層之該圖案化形成包含二極體之第二複數個柱。
TW097123944A 2007-06-27 2008-06-26 使用在非晶形碳上之氮氧化矽硬式光罩用以製造3維積體電路之方法 TWI393221B (zh)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US11/769,027 US7718546B2 (en) 2007-06-27 2007-06-27 Method for fabricating a 3-D integrated circuit using a hard mask of silicon-oxynitride on amorphous carbon

Publications (2)

Publication Number Publication Date
TW200915495A TW200915495A (en) 2009-04-01
TWI393221B true TWI393221B (zh) 2013-04-11

Family

ID=40161070

Family Applications (1)

Application Number Title Priority Date Filing Date
TW097123944A TWI393221B (zh) 2007-06-27 2008-06-26 使用在非晶形碳上之氮氧化矽硬式光罩用以製造3維積體電路之方法

Country Status (5)

Country Link
US (2) US7718546B2 (zh)
KR (1) KR20100033415A (zh)
CN (1) CN101743626B (zh)
TW (1) TWI393221B (zh)
WO (1) WO2009003091A1 (zh)

Families Citing this family (22)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7728390B2 (en) * 2005-05-06 2010-06-01 Taiwan Semiconductor Manufacturing Company, Ltd. Multi-level interconnection memory device
US7718546B2 (en) 2007-06-27 2010-05-18 Sandisk 3D Llc Method for fabricating a 3-D integrated circuit using a hard mask of silicon-oxynitride on amorphous carbon
KR20100004772A (ko) * 2008-07-04 2010-01-13 삼성전자주식회사 반도체 장치 및 그 동작 방법
US20110156012A1 (en) * 2009-11-12 2011-06-30 Sony Corporation Double layer hardmask for organic devices
JP5558085B2 (ja) * 2009-12-01 2014-07-23 株式会社東芝 抵抗変化メモリ
US8431492B2 (en) * 2010-02-02 2013-04-30 Sandisk 3D Llc Memory cell that includes a sidewall collar for pillar isolation and methods of forming the same
US20110244683A1 (en) * 2010-04-01 2011-10-06 Michiaki Sano Fabricating Voids Using Slurry Protect Coat Before Chemical-Mechanical Polishing
US9176377B2 (en) 2010-06-01 2015-11-03 Inpria Corporation Patterned inorganic layers, radiation based patterning compositions and corresponding methods
US9281207B2 (en) 2011-02-28 2016-03-08 Inpria Corporation Solution processible hardmasks for high resolution lithography
US9136307B2 (en) * 2012-02-09 2015-09-15 Micron Technology, Inc. Memory cells and memory cell formation methods using sealing material
US9123719B2 (en) * 2012-06-26 2015-09-01 Broadcom Corporation Metal-oxide-metal capacitor
JP2014127566A (ja) * 2012-12-26 2014-07-07 Panasonic Corp 不揮発性記憶装置の製造方法および不揮発性記憶装置
US20140241031A1 (en) * 2013-02-28 2014-08-28 Sandisk 3D Llc Dielectric-based memory cells having multi-level one-time programmable and bi-level rewriteable operating modes and methods of forming the same
US9310684B2 (en) 2013-08-22 2016-04-12 Inpria Corporation Organometallic solution based high resolution patterning compositions
KR20230156842A (ko) 2014-10-23 2023-11-14 인프리아 코포레이션 유기 금속 용액 기반의 고해상도 패터닝 조성물 및 상응하는 방법
US20160293837A1 (en) * 2015-04-01 2016-10-06 Shanghai CiYu Information Technologies Co., LTD Multilayer hard mask patterning for fabricating integrated circuits
JP6805244B2 (ja) 2015-10-13 2020-12-23 インプリア・コーポレイションInpria Corporation 有機スズオキシドヒドロキシドのパターン形成組成物、前駆体およびパターン形成
CN106706173B (zh) * 2015-11-12 2021-04-02 上海丽恒光微电子科技有限公司 压力传感器的制备方法
CN106706172B (zh) * 2015-11-12 2021-04-02 上海丽恒光微电子科技有限公司 压力传感器的制备方法
CN111383913A (zh) * 2020-03-06 2020-07-07 长江存储科技有限责任公司 一种刻蚀方法
TWI786855B (zh) * 2021-09-29 2022-12-11 力晶積成電子製造股份有限公司 反熔絲結構
CN117855036B (zh) * 2024-03-05 2024-05-28 深圳市昇维旭技术有限公司 半导体器件的制作方法

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20050265072A1 (en) * 2004-05-28 2005-12-01 Hart Mark W Indirect switching and sensing of phase change memory cells
US20070082296A1 (en) * 2005-10-11 2007-04-12 Samsung Electronics Co., Ltd. Method of forming micro-patterns using multiple photolithography process
EP1796103A2 (en) * 2005-12-12 2007-06-13 Hitachi Global Storage Technologies Netherlands B.V. Unpolar resistance random access memory (pram) device and vertically stacked architecture

Family Cites Families (102)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4375414A (en) 1971-05-20 1983-03-01 Meir Strahilevitz Immunological methods for removing species from the blood circulatory system and devices therefor
US4646266A (en) * 1984-09-28 1987-02-24 Energy Conversion Devices, Inc. Programmable semiconductor structures and methods for using the same
US6007732A (en) * 1993-03-26 1999-12-28 Fujitsu Limited Reduction of reflection by amorphous carbon
JP3501416B2 (ja) * 1994-04-28 2004-03-02 忠弘 大見 半導体装置
US5751012A (en) * 1995-06-07 1998-05-12 Micron Technology, Inc. Polysilicon pillar diode for use in a non-volatile memory cell
US5869843A (en) * 1995-06-07 1999-02-09 Micron Technology, Inc. Memory array having a multi-state element and method for forming such array or cells thereof
US6653733B1 (en) * 1996-02-23 2003-11-25 Micron Technology, Inc. Conductors in semiconductor devices
US5969380A (en) * 1996-06-07 1999-10-19 Micron Technology, Inc. Three dimensional ferroelectric memory
US5835396A (en) * 1996-10-17 1998-11-10 Zhang; Guobiao Three-dimensional read-only memory
US5915167A (en) 1997-04-04 1999-06-22 Elm Technology Corporation Three dimensional structure memory
NO309500B1 (no) * 1997-08-15 2001-02-05 Thin Film Electronics Asa Ferroelektrisk databehandlingsinnretning, fremgangsmåter til dens fremstilling og utlesing, samt bruk av samme
US6919168B2 (en) 1998-01-13 2005-07-19 Applied Materials, Inc. Masking methods and etching sequences for patterning electrodes of high density RAM capacitors
US6087269A (en) * 1998-04-20 2000-07-11 Advanced Micro Devices, Inc. Method of making an interconnect using a tungsten hard mask
US7157314B2 (en) * 1998-11-16 2007-01-02 Sandisk Corporation Vertically stacked field programmable nonvolatile memory and method of fabrication
US6034882A (en) * 1998-11-16 2000-03-07 Matrix Semiconductor, Inc. Vertically stacked field programmable nonvolatile memory and method of fabrication
US6537902B1 (en) * 2000-01-24 2003-03-25 Oki Electric Industry Co, Ltd. Method of forming a via hole in a semiconductor device
AU2001262953A1 (en) * 2000-04-28 2001-11-12 Matrix Semiconductor, Inc. Three-dimensional memory array and method of fabrication
US6888750B2 (en) * 2000-04-28 2005-05-03 Matrix Semiconductor, Inc. Nonvolatile memory on SOI and compound semiconductor substrates and method of fabrication
JP2002194547A (ja) * 2000-06-08 2002-07-10 Applied Materials Inc アモルファスカーボン層の堆積方法
JP5792918B2 (ja) * 2000-08-14 2015-10-14 サンディスク・スリー・ディ・リミテッド・ライアビリティ・カンパニーSandisk 3D Llc 高集積メモリデバイス
US6515888B2 (en) * 2000-08-14 2003-02-04 Matrix Semiconductor, Inc. Low cost three-dimensional memory array
US6541312B2 (en) * 2000-12-22 2003-04-01 Matrix Semiconductor, Inc. Formation of antifuse structure in a three dimensional memory
US6664639B2 (en) * 2000-12-22 2003-12-16 Matrix Semiconductor, Inc. Contact and via structure and method of fabrication
US6486065B2 (en) * 2000-12-22 2002-11-26 Matrix Semiconductor, Inc. Method of forming nonvolatile memory device utilizing a hard mask
US6897514B2 (en) * 2001-03-28 2005-05-24 Matrix Semiconductor, Inc. Two mask floating gate EEPROM and method of making
US6704235B2 (en) 2001-07-30 2004-03-09 Matrix Semiconductor, Inc. Anti-fuse memory cell with asymmetric breakdown voltage
US6525953B1 (en) * 2001-08-13 2003-02-25 Matrix Semiconductor, Inc. Vertically-stacked, field-programmable, nonvolatile memory and method of fabrication
US6841813B2 (en) * 2001-08-13 2005-01-11 Matrix Semiconductor, Inc. TFT mask ROM and method for making same
US6624485B2 (en) * 2001-11-05 2003-09-23 Matrix Semiconductor, Inc. Three-dimensional, mask-programmed read only memory
US7018878B2 (en) 2001-11-07 2006-03-28 Matrix Semiconductor, Inc. Metal structures for integrated circuits and methods for making the same
JP4343691B2 (ja) * 2001-11-13 2009-10-14 グッドリッチ・ポンプ・アンド・エンジン・コントロール・システムズ・インコーポレーテッド ガスタービン・エンジン用故障管理システム
US6734620B2 (en) 2001-12-12 2004-05-11 Candescent Technologies Corporation Structure, fabrication, and corrective test of electron-emitting device having electrode configured to reduce cross-over capacitance and/or facilitate short-circuit repair
US6534841B1 (en) * 2001-12-14 2003-03-18 Hewlett-Packard Company Continuous antifuse material in memory structure
TWI281748B (en) * 2001-12-18 2007-05-21 Matsushita Electric Ind Co Ltd Non-volatile memory
US6559516B1 (en) * 2002-01-16 2003-05-06 Hewlett-Packard Development Company Antifuse structure and method of making
US6703652B2 (en) * 2002-01-16 2004-03-09 Hewlett-Packard Development Company, L.P. Memory structure and method making
US7038248B2 (en) * 2002-02-15 2006-05-02 Sandisk Corporation Diverse band gap energy level semiconductor device
US6853049B2 (en) * 2002-03-13 2005-02-08 Matrix Semiconductor, Inc. Silicide-silicon oxide-semiconductor antifuse device and method of making
US6643159B2 (en) * 2002-04-02 2003-11-04 Hewlett-Packard Development Company, L.P. Cubic memory array
US7081377B2 (en) * 2002-06-27 2006-07-25 Sandisk 3D Llc Three-dimensional memory
US6737675B2 (en) * 2002-06-27 2004-05-18 Matrix Semiconductor, Inc. High density 3D rail stack arrays
US6952043B2 (en) * 2002-06-27 2005-10-04 Matrix Semiconductor, Inc. Electrically isolated pillars in active devices
US6500756B1 (en) * 2002-06-28 2002-12-31 Advanced Micro Devices, Inc. Method of forming sub-lithographic spaces between polysilicon lines
US6927178B2 (en) 2002-07-11 2005-08-09 Applied Materials, Inc. Nitrogen-free dielectric anti-reflective coating and hardmask
US6764949B2 (en) * 2002-07-31 2004-07-20 Advanced Micro Devices, Inc. Method for reducing pattern deformation and photoresist poisoning in semiconductor device fabrication
US6864556B1 (en) * 2002-07-31 2005-03-08 Advanced Micro Devices, Inc. CVD organic polymer film for advanced gate patterning
US6850432B2 (en) * 2002-08-20 2005-02-01 Macronix International Co., Ltd. Laser programmable electrically readable phase-change memory method and device
US6803313B2 (en) * 2002-09-27 2004-10-12 Advanced Micro Devices, Inc. Method for forming a hardmask employing multiple independently formed layers of a pecvd material to reduce pinholes
US8637366B2 (en) * 2002-12-19 2014-01-28 Sandisk 3D Llc Nonvolatile memory cell without a dielectric antifuse having high- and low-impedance states
US7176064B2 (en) * 2003-12-03 2007-02-13 Sandisk 3D Llc Memory cell comprising a semiconductor junction diode crystallized adjacent to a silicide
US7618850B2 (en) * 2002-12-19 2009-11-17 Sandisk 3D Llc Method of making a diode read/write memory cell in a programmed state
US6946719B2 (en) * 2003-12-03 2005-09-20 Matrix Semiconductor, Inc Semiconductor device including junction diode contacting contact-antifuse unit comprising silicide
US7238607B2 (en) * 2002-12-19 2007-07-03 Sandisk 3D Llc Method to minimize formation of recess at surface planarized by chemical mechanical planarization
WO2004061851A2 (en) * 2002-12-19 2004-07-22 Matrix Semiconductor, Inc An improved method for making high-density nonvolatile memory
US7285464B2 (en) 2002-12-19 2007-10-23 Sandisk 3D Llc Nonvolatile memory cell comprising a reduced height vertical diode
US6849905B2 (en) * 2002-12-23 2005-02-01 Matrix Semiconductor, Inc. Semiconductor device with localized charge storage dielectric and method of making same
US7005350B2 (en) 2002-12-31 2006-02-28 Matrix Semiconductor, Inc. Method for fabricating programmable memory array structures incorporating series-connected transistor strings
US6839263B2 (en) * 2003-02-05 2005-01-04 Hewlett-Packard Development Company, L.P. Memory array with continuous current path through multiple lines
US6914007B2 (en) * 2003-02-13 2005-07-05 Taiwan Semiconductor Manufacturing Company, Ltd. In-situ discharge to avoid arcing during plasma etch processes
US7049153B2 (en) * 2003-04-23 2006-05-23 Micron Technology, Inc. Polymer-based ferroelectric memory
US7511352B2 (en) * 2003-05-19 2009-03-31 Sandisk 3D Llc Rail Schottky device and method of making
US20050006719A1 (en) * 2003-06-24 2005-01-13 Erh-Kun Lai [three-dimensional memory structure and manufacturing method thereof]
US7129180B2 (en) 2003-09-12 2006-10-31 Micron Technology, Inc. Masking structure having multiple layers including an amorphous carbon layer
US6936539B2 (en) 2003-09-24 2005-08-30 Micron Technology, Inc. Antireflective coating for use during the manufacture of a semiconductor device
US7094613B2 (en) * 2003-10-21 2006-08-22 Applied Materials, Inc. Method for controlling accuracy and repeatability of an etch process
KR100510558B1 (ko) * 2003-12-13 2005-08-26 삼성전자주식회사 패턴 형성 방법
US7012021B2 (en) * 2004-01-29 2006-03-14 Taiwan Semiconductor Mfg Method for end point detection polysilicon chemical mechanical polishing in an anti-fuse memory device
US7064078B2 (en) * 2004-01-30 2006-06-20 Applied Materials Techniques for the use of amorphous carbon (APF) for various etch and litho integration scheme
US7410838B2 (en) * 2004-04-29 2008-08-12 Taiwan Semiconductor Manufacturing Co., Ltd. Fabrication methods for memory cells
KR100536043B1 (ko) * 2004-06-25 2005-12-12 삼성전자주식회사 적층형 반도체 장치 및 그 제조 방법
US7307013B2 (en) * 2004-06-30 2007-12-11 Sandisk 3D Llc Nonselective unpatterned etchback to expose buried patterned features
US7033960B1 (en) 2004-08-16 2006-04-25 Advanced Micro Devices, Inc. Multi-chamber deposition of silicon oxynitride film for patterning
US7288784B2 (en) * 2004-08-19 2007-10-30 Micron Technology, Inc. Structure for amorphous carbon based non-volatile memory
US7405465B2 (en) * 2004-09-29 2008-07-29 Sandisk 3D Llc Deposited semiconductor structure to minimize n-type dopant diffusion and method of making
US7300876B2 (en) 2004-12-14 2007-11-27 Sandisk 3D Llc Method for cleaning slurry particles from a surface polished by chemical mechanical polishing
US7259038B2 (en) * 2005-01-19 2007-08-21 Sandisk Corporation Forming nonvolatile phase change memory cell having a reduced thermal contact area
US7517796B2 (en) 2005-02-17 2009-04-14 Sandisk 3D Llc Method for patterning submicron pillars
KR100787352B1 (ko) * 2005-02-23 2007-12-18 주식회사 하이닉스반도체 하드마스크용 조성물 및 이를 이용한 반도체 소자의 패턴형성 방법
US7422985B2 (en) * 2005-03-25 2008-09-09 Sandisk 3D Llc Method for reducing dielectric overetch using a dielectric etch stop at a planar surface
US7553611B2 (en) 2005-03-31 2009-06-30 Sandisk 3D Llc Masking of repeated overlay and alignment marks to allow reuse of photomasks in a vertical structure
US7504680B2 (en) * 2005-04-18 2009-03-17 Kabushiki Kaisha Toshiba Semiconductor device and mask pattern
US7728390B2 (en) * 2005-05-06 2010-06-01 Taiwan Semiconductor Manufacturing Company, Ltd. Multi-level interconnection memory device
US8110863B2 (en) * 2005-06-01 2012-02-07 Sandisk 3D Llc TFT charge storage memory cell having high-mobility corrugated channel
US7426128B2 (en) * 2005-07-11 2008-09-16 Sandisk 3D Llc Switchable resistive memory with opposite polarity write pulses
US7274034B2 (en) * 2005-08-01 2007-09-25 Micron Technology, Inc. Resistance variable memory device with sputtered metal-chalcogenide region and method of fabrication
KR100799119B1 (ko) * 2005-08-29 2008-01-29 주식회사 하이닉스반도체 반도체메모리소자 제조 방법
KR100632651B1 (ko) * 2005-09-15 2006-10-11 주식회사 하이닉스반도체 플래쉬 메모리소자의 제조방법
TWI322485B (en) * 2005-10-12 2010-03-21 Hynix Semiconductor Inc Method for forming contact hole of semiconductor device
KR100801308B1 (ko) * 2005-11-12 2008-02-11 주식회사 하이닉스반도체 고선택비 하드마스크를 이용한 트렌치 형성 방법 및 그를이용한 반도체소자의 소자분리 방법
KR100726150B1 (ko) * 2005-12-29 2007-06-13 주식회사 하이닉스반도체 새들형 핀 트랜지스터 제조방법
KR100875660B1 (ko) * 2006-05-02 2008-12-26 주식회사 하이닉스반도체 반도체 소자의 미세 패턴 형성 방법
US7575984B2 (en) * 2006-05-31 2009-08-18 Sandisk 3D Llc Conductive hard mask to protect patterned features during trench etch
KR100780596B1 (ko) * 2006-06-30 2007-11-29 주식회사 하이닉스반도체 반도체 소자의 콘택플러그 제조 방법
US7785965B2 (en) * 2006-09-08 2010-08-31 Spansion Llc Dual storage node memory devices and methods for fabricating the same
KR100831975B1 (ko) * 2006-11-02 2008-05-26 주식회사 하이닉스반도체 반도체 소자의 패턴 형성방법
CN100576472C (zh) * 2006-12-12 2009-12-30 中芯国际集成电路制造(上海)有限公司 具有非晶硅monos存储单元结构的半导体器件及其制造方法
KR100875655B1 (ko) * 2007-01-04 2008-12-26 주식회사 하이닉스반도체 반도체 소자의 제조방법
KR100822592B1 (ko) * 2007-03-23 2008-04-16 주식회사 하이닉스반도체 반도체 소자의 미세 패턴 형성방법
US7553770B2 (en) * 2007-06-06 2009-06-30 Micron Technology, Inc. Reverse masking profile improvements in high aspect ratio etch
US7718546B2 (en) 2007-06-27 2010-05-18 Sandisk 3D Llc Method for fabricating a 3-D integrated circuit using a hard mask of silicon-oxynitride on amorphous carbon
US7786015B2 (en) * 2008-04-28 2010-08-31 Sandisk 3D Llc Method for fabricating self-aligned complementary pillar structures and wiring
KR100961203B1 (ko) * 2008-04-29 2010-06-09 주식회사 하이닉스반도체 스페이서 패터닝 기술을 이용한 미세 패턴 형성 방법

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20050265072A1 (en) * 2004-05-28 2005-12-01 Hart Mark W Indirect switching and sensing of phase change memory cells
US20070082296A1 (en) * 2005-10-11 2007-04-12 Samsung Electronics Co., Ltd. Method of forming micro-patterns using multiple photolithography process
EP1796103A2 (en) * 2005-12-12 2007-06-13 Hitachi Global Storage Technologies Netherlands B.V. Unpolar resistance random access memory (pram) device and vertically stacked architecture

Also Published As

Publication number Publication date
US20100184259A1 (en) 2010-07-22
CN101743626A (zh) 2010-06-16
US20090004786A1 (en) 2009-01-01
US7718546B2 (en) 2010-05-18
TW200915495A (en) 2009-04-01
KR20100033415A (ko) 2010-03-29
CN101743626B (zh) 2013-01-30
US7994068B2 (en) 2011-08-09
WO2009003091A1 (en) 2008-12-31

Similar Documents

Publication Publication Date Title
TWI393221B (zh) 使用在非晶形碳上之氮氧化矽硬式光罩用以製造3維積體電路之方法
US11825656B2 (en) 3D NAND memory device and method of forming the same
US10355015B2 (en) Three-dimensional NAND memory device with common bit line for multiple NAND strings in each memory block
US8298931B2 (en) Dual damascene with amorphous carbon for 3D deep via/trench application
TWI357638B (en) A method for forming a semiconductor device and a
US7846756B2 (en) Nanoimprint enhanced resist spacer patterning method
US20020096775A1 (en) A method of manufacturing a metal cap layer for preventing damascene conductive lines from oxidation
TWI776362B (zh) 記憶體胞元及其製造方法
US8193074B2 (en) Integration of damascene type diodes and conductive wires for memory device
WO2020068184A1 (en) Three-dimensional memory device including three-dimensional bit line discharge transistors and method of making the same
JP2009516374A (ja) ドーパント拡散を回避または制限するためにアンチモンでドープされた垂直ダイオード
US11935784B2 (en) Three-dimensional memory device containing self-aligned bit line contacts and methods for forming the same
WO2023009193A1 (en) Three-dimensional memory device with a columnar memory opening arrangement and method of making thereof
US8124971B2 (en) Implementation of diffusion barrier in 3D memory
US20210159241A1 (en) Three-dimensional memory device containing a dummy memory film isolation structure and method of making thereof
US11641746B2 (en) Three-dimensional memory device with peripheral circuit located over support pillar array and method of making thereof
US11222844B2 (en) Via structures for use in semiconductor devices
US12029036B2 (en) Three-dimensional memory device with multiple types of support pillar structures and method of forming the same
US11894267B2 (en) Method for fabricating integrated circuit device
WO2018004751A1 (en) Offset backside contact via structures for a three-dimensional memory device
TW202245145A (zh) 半導體結構及其形成方法

Legal Events

Date Code Title Description
MM4A Annulment or lapse of patent due to non-payment of fees