TWI345818B - Dual damascene interconnect structures having different materials for line and via conductors - Google Patents

Dual damascene interconnect structures having different materials for line and via conductors Download PDF

Info

Publication number
TWI345818B
TWI345818B TW093117825A TW93117825A TWI345818B TW I345818 B TWI345818 B TW I345818B TW 093117825 A TW093117825 A TW 093117825A TW 93117825 A TW93117825 A TW 93117825A TW I345818 B TWI345818 B TW I345818B
Authority
TW
Taiwan
Prior art keywords
conductor
layer
dielectric material
opening
dielectric
Prior art date
Application number
TW093117825A
Other languages
English (en)
Other versions
TW200512874A (en
Inventor
Jeffrey Gambino
Edward Cooney Iii
Anthony Stamper
William Thomas Motsiff
Michael Lane
Andrew Simon
Original Assignee
Ibm
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Ibm filed Critical Ibm
Publication of TW200512874A publication Critical patent/TW200512874A/zh
Application granted granted Critical
Publication of TWI345818B publication Critical patent/TWI345818B/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76807Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures
    • H01L21/76811Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures involving multiple stacked pre-patterned masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76807Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76807Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures
    • H01L21/76808Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures involving intermediate temporary filling with material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76807Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures
    • H01L21/76813Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures involving a partial via etch
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric
    • H01L21/76846Layer combinations
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76853Barrier, adhesion or liner layers characterized by particular after-treatment steps
    • H01L21/76865Selective removal of parts of the layer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/53204Conductive materials
    • H01L23/53209Conductive materials based on metals, e.g. alloys, metal silicides
    • H01L23/53228Conductive materials based on metals, e.g. alloys, metal silicides the principal metal being copper
    • H01L23/53238Additional layers associated with copper layers, e.g. adhesion, barrier, cladding layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/5329Insulating materials
    • H01L23/53295Stacked insulating layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/0001Technical content checked by a classifier
    • H01L2924/0002Not covered by any one of groups H01L24/00, H01L24/00 and H01L2224/00

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)

Description

1345818 九、發明說明: 【發明所屬之技術領域】 本發明係關於形成積體電路中之後段製程(BE〇L)互連 、-。構》更具體而言’本發明係關於用以形成雙鑲嵌互連結 構之新穎方法,該等互連結構對於通孔或嵌柱使用與用於 線導體之材料不同的材料。 【先前技術】 半導體工業路線圖要求降低包圍多層晶片之絕緣材料上 的’丨電*數。必須降低介電常數以便減少積體電路之寄生 的電容負載以及減少鄰近互連之間的電容耦合。 減少介電常數常常伴隨出現諸如模數、硬度、導熱性及 斷裂韌度之絕緣體機械性能的減弱。由於熱膨脹與基材及 金屬互連失配,所以在該結構中會產生明顯的應力。在熱 循環期間此等應力可導致銅通孔或嵌柱產生疲勞,從而導 致引起良率或可靠性問題。因此,需要一種能改良在低介 電值材料中製造之通孔的強度的方法。 可使用耐熔金屬而非銅來製造通孔,或藉由增加包圍通 孔之耐熔金屬襯墊的厚度(與線導體相比)來改良通孔之強 度。迄今為止,僅能藉由使用一系列單鑲嵌製造步驟來達 成具有用於通孔及線之不同材料或不同襯墊厚度的互連結 構之形成。具體而言,首先沈積通孔級介電層,接著在介 電材料中形成一通孔開口,且接著以第一導電材料來填充 通孔。藉由(例如)化學機械研磨(CMP)來移除過量之導電材 料以使通孔之頂面與通孔級介電之頂面共面。接下來,沈 93671.doc 1345818 積線級介電,在覆蓋於通孔上的線級介電中形成一渠溝開 口’且以第二導電材料來填充渠溝。必須再次藉由(例如) CMP來移除過量的導電材料以使線導體之頂面與線級介電 之頂面共面。 此系列之單鑲嵌步驟耗時且昂貴。此外,與諸如在典型 雙鑲嵌結構令所發現之單個介電材料層相比,兩個獨立的 介電層(通孔級與線級)展示出低級的電特徵。 因此,在此項技術中需要一種用以形成一個雙鑲嵌 ,構之方法,該雙鑲嵌互連結構具有用於通孔級與線級之 早個介電材料層’但與用於通孔與線的材料或襯塾厚度不 同。 【發明内容】 因此,本發明之-目標係提供—種用以改良在低介電值 介電㈣中所製造之通孔的強度的方法。可藉由使用本發 明之方法來達成此目標及其它目標。在—態樣中,揭示了 一形成於基材上的互連結構。該結構包含:第一 之其中嵌有至少一個第一導體#帛 ’ 的第一層,該第一導體具有 一與介電材料層之頂面共面的頂面;及第二介電材料之第 二層,其覆蓋於第一介電材料層上且其中後有至少一個第 二導體。該第二導體包含至少—個第-部分及至少一個第 二部分’該第二部分由一種不同於第一部分之材料形成, 其中第一部分與第一導體形成電接觸,第二部分覆蓋於第 -部分上且與其形成電接觸’第二邹分具有比第一部分之 橫向範圍更大的橫向範圍’且第二部分具有一與第二介電 93671.doc !345818 材料層之頂面共面的頂面β互連結構可視情况進—, 含:第一導電襯墊,其安置於第一部分與第二介電材^包 間及第一部分與第一導體之間;及第二導電料之 於第二部分與第二介電材料之間及第二部分與一 、 部分 間,第二襯墊由一種不同於第一襯墊之材料形成。 在另一態樣中,互連結構 有至少一個第一導體的第一 材料層之頂面共面的頂面; 蓋於第一介電材料層上,且 第一導電襯墊,其安置於第 第一部分與第一導體之間; 分與第二介電材料之間,該 之厚度。第二導體包含至少 部分,其中第一部分與第一 盖於第一部分上且與其形成 部分之橫向範圍更大的橫向 二介電材料層之頂面共面的 包含.一導電概塾,其安置 間及第二導體與第一導體之 包含:第一介電材料之其中嵌 層,該第一導體具有—與介電 第二介電材料之第二層,其$ 其中嵌有至少一個第二導體; -部分與第二介電材料之間及 及第二襯墊,其安置於第二部 第二襯墊之厚度小於第一襯墊 一個第一部分及至少—個第二 導體形成電接觸,第二部分覆 電接觸,第二部分具有比第一 範圍,且第二部分具有一與第 頂面。互連結構視情況進一步 於第二導體與第二介電材料之 奴·,、一 $作餅叼歹冼。且體 言’揭示了用以在基材上形成互連結構之方法,节基材 含第-介電材料之其中嵌有至少一個第一導體的第二 該第-導體具有-與介電材料層之頂面共面的頂面。^ 態樣中,财法包括以下步驟:在第—介電材料層上沈; 9367i.doc 1345818 第二介電材料之第二層;在第二介電材料層中形成至少一 個第-開口,it第一開口部分地暴露第一導體;以第一導 電材料來填充第-開σ;移除第―導電材料之頂端部分; 在第二介電材料層中形成至少-個第二開π,該第二開口 覆,於第一導電材料上’且第二開口具有比第—開口之橫 向範圍更大的橫向範圍;且以第二導電材料來填充第二開 口,其中第二導電材料不同於第一導電材料,且第二導電 材料具有一與第二介電材料層之頂面共面的頂面。 在第二態樣中,該方法包括以下步驟:在第—介電材料 層上沈積第二介電材料之第二層;在第二介電材料中形成 至少一個第一開口,該第一開口部分地暴露第一導體;在 第一開口之底端及側壁上沈積第一導電襯墊;以一犧牲材 料來填充第一開口;在第二介電材料層中形成至少一個第 二開口,該第二開口覆蓋於第一開口上,且第二開口具有 比第一開口之橫向範圍更大的橫向範圍;移除該犧牲材 料;在第二開口之底端及側壁上以及在第一襯墊上沈積第 二導電襯墊;且以一導電材料來填充第二開口,藉此形成 第二導體,其中第二導體具有一與第二介電材料層之共面 的頂面。 在第三態樣中,該方法包括以下步驟:在第一介電材料 層上沈積第二介電材料之第二層;在第二介電材料層中形 成至少一個第一開口,該第一開口部分地延伸穿過第二介 電材料層但並未暴露第一導體;在第二介電材料層中形成 至少一個第二開口並使第一開口延伸穿過介電材料之剩餘 9367l.doc -9· 1345818 部分’藉此暴露第一導體,笛- 第一開口覆盍於第一開口方且 ’、有比第開口之橫向範圍更大的橫向範圍;在 一開口及第二開σ之底端及㈣上沈積第—導電觀塾; 以第:導電枯料來填充第-開口及第二開口;自第二開口 移除第一導電材料及第— 蛩,在第一開口之底端及側壁 上沈積第二導電襯堅;以第二導電材料來填充第二開口, 其中第二導電材料不同於第一導電材料,且第二導電㈣ 具有-與第二介電材料層之頂面共面的頂面。 在第四態樣中’該方法包括以下步驟:在第一介電材料 層上沈積第二介電材料之第二層;在第二介電材料層中形 成至乂個第帛口’該第-開口部分地延伸穿過第二介 電材料層但並未暴露第一導體;在第二介電材料層令形成 至少-個第二開口且使第一開口延伸穿過介電材料之剩餘 部分,藉此暴露第一導體,第二開口覆蓋於第—開口上且 第二開口具有比第一開口之橫向範圍更大的橫向範圍;在 第一開口及第二開口之底端及側壁上沈積一導電襯墊·以 第-導電材料來填充第一開口及第二開口;自第二開口移 除第-導電材料;且以第二導電材料來填充第二開口,其 中第二導電材料不同於第一導電材料,且第二導電材料具 有一與第二介電材料層之頂面共面的頂面。 【實施方式】 現將參照隨附圖式來描述本發明。在該等圖中,已以簡 化之方式展示並圖解展現了該等結構之各種態樣以更清晰 地來描述並說明本發明。例如,往往並非按比例來繪製該 93671.doc •10- 1345818 等圖。另外,將該等結構之各種態樣的垂直截面說明為矩 形形狀。然而,熟習此項技術者應瞭解,藉由實際結構, 此等態樣很可能併入更為傾斜的特徵。此外,本發明並不 限於任何特殊形狀之構造。 在本發明之互連結構中,可藉由對通孔導體使用—不同 材料,或對通孔襯墊使用一不同材料,或使用一更厚之通 孔襯墊,或使用此等特徵之組合,來使通孔更堅固。在一 較佳實施例令,在通孔中使用耐熔金屬而在渠溝中使用 銅。耐熔金屬在機械方面比銅更堅固,且因此能承受通常 存在於熱循環期間的應力。
本發明之第一實施例展示於圖丨中,其包含第一介電層 10。至少一個第一導體13嵌入於第一介電層1〇中且其具有 一與層10之頂面共面的頂面❶視情況,可在介電層上沈 積硬掩模層11 ,在此情況下,導體13具有一與硬掩模層U 之頂面共面的頂面。亦可視情況在導體13與介電層10之間 安置導電襯墊12。 第二介電層15覆蓋於第一介電層1〇上。視情況,頂蓋層 14將第二介電層15與第一介電層1〇及第一導體13隔開。第 二介電層15中嵌有至少一個第二導體。該第二導體包含至 少一個第一部分19、通孔或嵌柱、及至少一個第二部分21、 線導體《第一部分19覆蓋於第一導體13上並與其形成電接 觸。第二部分21覆蓋於第一部分19上並具有比其橫向範圍 更大的橫向範圍,且第二部分21具有一與第二介電層丨5之 頂面共面的頂面。可視情況在第二介電層15上沈積硬掩模 93671.doc • 11 · 1345818 層16,在此情況下,第二部分21具有一與硬掩模層16之頂 面共面的頂面。視情況,襯墊18可包圍第一部分19之底端 及側壁,且視情況,襯墊20可包圍第二部分21之底端及側 壁。 較佳地,將鶴或某種其它对溶金屬用於第二導體之第一 部分19,意即用於通孔或嵌柱。耐熔金屬在機械方面比銅 更堅固,且因此可承受在熱循環期間可能出現之應力。銅 可用於第一導體13且可用於第二導體之第二部分21,意即 用於線導體。包圍第一部分19之底端及側壁的襯墊18所使 用之材料較佳為氡化鈦、鈕、氮化鈕或嫁、。襯墊12及20可 由钽及/或氮化鈕形成。
介電層10及15可由任何合適之介電材料形成,唯低介電 值介電材料較佳。合適之介電材料包括:摻.碳二氧化矽材 料;氟化的矽酸鹽玻璃(FSG);有機聚合熱固性材料;碳氧 化矽(3出(:〇11〇?^(^1:1)1心);5丨(:011介電質;摻氟二氧化矽; 旋塗式玻璃;倍半矽氧烷’包括含氫倍半矽氧烷(HSQ)、甲 基倍半矽氧烷(MSQ)以及HSQ與MSQ之混合物或共聚物;基 於環丁烯苯(BCB)之聚合介電質,及任何含矽之低介電值介 電°具有使用倍半矽氧烷化學之SiCOH類組合物的旋塗式 低介電值薄膜之實例包括HOSPaE3(獲自Honeywell)、JSR 5109及 5108(獲自 Japan Synthetic Rubber)、Zirkona^(獲自 Shipley Microelectronics,Rohm and Haas之分部門)及多孔 低介電值(ELk)材料(獲自Applied Materials)。摻碳二氧化石夕 材料或有機矽烷之實例包括Black Diamond^邙(獲自 93671.doc 12· 1345818
Applied Materials)及 CoraiaE5(獲自 Novellus) » HSQ材料之 實例為F0x§5(獲自ljow Corning)。對於此實施例而言,較 佳介電材料為有機聚合熱固性材料,其基本上由碳、氧及 氫組成。較佳介電材料包括通稱為SiLKS 5 (獲自Dow Chemical Company)之低介電值聚次芳基醚聚合材料及通 稱為FLARESEJ(獲自Honey well)之低介電值聚合材料。硬掩 模層11及16可由碳化石夕、氮化石夕及/或二氧化石夕形成。 第二導體之第二部分21(意即,線導體)較佳具有約1〇〇至 5 000 nm,更佳約3〇〇 nm之總厚度。第二導體之第一部分 19(意即,通孔)較佳具有約100至5〇〇〇 nm,更佳約3〇〇 nm 之高度。通孔襯墊18較佳具有約2至50 nm,更佳約2〇 nm之 厚度’且渠溝襯墊20較佳具有約2至50 nm,更佳約1〇 nm2 厚度。 ^本發明之第二實施例展示於圖2中,且其與圖丨令所示之 實施例的不同之處在於第二導體完全由相同材料(較佳為 銅)形成。換言之,通孔或嵌柱並非由耐熔金屬形成。然而, 將通孔或嵌柱包圍於底端及侧壁上之襯墊比包圍線導體之 襯墊更厚。具體而言,通孔或嵌柱由包含第一襯墊18及第 二襯墊20之襯墊包圍,而線導體則僅由第二襯墊2〇包圍。 第一襯墊18可由與第二襯墊20相同之材料形成,或第一襯 塾18可由一種不同於第二襯墊2〇之材料形成。 對於圖2中所示之實施例而言,可將相同材料用於就圖i 所示之實施例來論述之每一部件。第二導體之第二部分21 (意即,線導體)較佳具有約U)0至5000 nm,更佳約3〇〇 nm 93671.doc -13- 1345818 之總厚度。第二導體之第一部分19(意即,通孔)較佳具有約 100至5000 nm,更佳約300 nm之高度。通孔中之襯墊18及 20較佳具有約2至50 nm,更佳約30 nm之組合厚度,且渠溝 襯墊20較佳具有約2至50 nm,更佳約1 〇 nm之厚度。 本發明之第三實施例展示於圖3中,且其與圖1中所示之 實施例的不同之處在於第二導體之第一部分19與第二部分 21兩者皆由襯墊18包園。換言之,第一部分19與第二部分 21並不具有如圖1中所示之實施例中之獨立襯墊。相反,單 個襯墊18同時包圍了第一部分19與第二部分21之底端及側 壁。 對於圖3中所示之實施例而言,可將相同材料用於就圖i 所示之實施例來論述之每一部件。第二導體之第二部分 21(意即,線導體)較佳具有約1〇〇至5〇〇〇nm,更佳約3〇〇打爪 之總厚度。第二導體之第一部分19(意即,通孔)較佳具有約 100至5000 nm,更佳約3〇〇 nm之高度。通孔及渠溝中之襯 墊18較佳具有約2至50 nm,更佳約20 nm之厚度。 可使用圖4(aM(f)中所說明之方法來形成圖丨中所示之實 施例。該方法之起點為一包含其中嵌有至少一個第一導體 13之第一介電層1〇的基材。第一導體13具有一與介電層 之頂面共面的頂面。如前所述’視情況,可在介電層1〇上 沈積硬掩权層11 ’在此情況下,導體13具有—與硬掩模居
11之頂面共面的頂面。視情況,在導體13與介電層1〇之; 安置導電襯墊12。 S 如圖4⑷中所示,該方法之第_步為沈積第二介電層^ 93671.doc -14- 1345818 及視情況沈積硬掩模層16與17 ^硬掩模層16與17可由碳化 矽(SiC)、氮化矽(SiN)及/或二氧化矽(Si〇2)形成。如圖4(b) 中所示,在介電層15及硬掩模層16與17中形成至少一個第 一開口 19·’藉此暴露第一導體13。可藉由包括習知之微影 法及反應性離子蝕刻(RIE)之任何合適的技術來形成開口 19,。 如圖4(c)中所示,接著以第一導電材料19來填充開口 19·。視情況,可在以導電材料19來填充開口 19,之前,在開 口 19'之底端及側壁上沈積導電襯墊18。導電材料19較佳為 諸如鎢之耐熔金屬,且可藉由化學氣相沈積(CVD)而得以沈 積。當導電材料為鎢時,六羰基鎢前驅體優於WF6,其能 將氟腐蝕低介電值介電材料15之危險性降至最低。 可藉由包括化學氣相沈積(CVD)之任何合適的技術或藉 由離子化金屬物理氣相沈積(I-PVD)來沈積耐熔金屬19。對 於W或TiN沈積而言,較佳方法為CVE^對於Ta*TaN沈積 而言,較佳方法為I-PVD。 I-PVD過程使用沈積與部分回蝕之交替循環來以金屬填 充通孔。使用I-PVD過程,諸如通孔之小部件便可幾乎完全 被Ta(或TaN)填充’而同時在渠溝底端中留下一薄層(約5至 25 nm)之Ta。因為當部件尺寸縮減時沈積與回蝕之比率便 增大,所以上述情況係可能的。 例如,為在雙鑲嵌結構中填充具有約〇.2微米之直徑及約 0.4微米之高度的通孔’可使用Ta沈積加上回蝕。首先,在 約2至60千瓦之磁控管功率、約1至1〇毫米汞柱之壓力及零 93671.doc .15- 1345818 至約200瓦特之晶圓偏壓下來沈積約5至30 nm之丁3層。接下 來’在約2至30千瓦之磁控管功率、約1至1〇毫米汞柱之壓 力及約200至1200瓦特之晶圓偏壓下,來進行一回蝕過程 (較佳在相同腔室中進行)。在回蝕步驟中,自通孔底端將金 屬錢鍍至側壁上且因此使側壁增厚。可使用額外沈積及回 钱循環以進一步增加通孔之側壁上金屬之厚度,直至通孔 完全(或近乎完全)由金屬填充。 襯墊18較佳由氮化鈦、鈕、氮化钽或鎢形成,且可藉由 物理氣相沈積(PVD)或藉由CVD而得以沈積。沈積襯墊材料 18及導電材料丨9之後,較佳藉由CMp或濺錄回敍來移除過 量的材料,以使導電材料19之頂面與介電材料15之頂面共 面。 接下來,如圖4(d)中所示,移除第一導電材料19及襯墊 18之頂端部分。例如’可藉由使用基於i之化學的乾式触 刻' 使用過氧化氫(H2〇2)之濕式蝕刻或電蝕刻來回蝕鎢19 及襯墊18。 回蝕導電材料19及襯墊18之後,如圖4(e)中所示,在介電 材料15及硬掩模層16與17中形成至少—個第二開〇21·。第 二開口 2Γ覆蓋於第一導電材料19上,且其具有比第一開口 19’之橫向範圍更大之橫向範圍。可藉由包括習知之微影法 及RIE之任何合適的技術來形成第二開口 。 敢後,如圖4(f)中所示 ^ 7 %刊可叶2 i采填充開 21,。視情況,在以導電材料21來填充開口 η,之前,'可名 口 21之底端及側壁上沈積第二導電襯墊2〇。導電材料2 93671.doc _ 16- 1345818 佳為銅,且其可错由銅錢法而得以沈積,該銅鍍法通常+ 及以下步驟:藉由PVD來沈積銅晶種、鍍銅且接著進行 CMP。 可或者使用圖6(a)-6(f)中所說明之方法來形成圖丨中所示 之實施例。此方法與圖4(a)_4(f)中所示之方法的不同之處在 於通孔與渠溝開口 19,與21,兩者皆在以第一導電材料19來 填充之前形成。該方法之起點再次為一包含其中嵌有至少 一個第一導體13之第一介電層10的基材。第一導體13具有 與”電層10之頂面共面的頂面。如前所述,可視情況在 "電層10上沈積硬掩模層丨丨,在此情況下,導體具有一 與硬掩模層11之頂面共面的頂面。視情況,可在導體13與 介電層10之間安置導電襯墊12。 如圖6(a)中所示,該方法之第一步為沈積第二介電層15 及硬掩模層16與17。在硬掩模層17中形成至少一個第一開 口 21·。接著,如圖6(b)中所示,在硬掩模層16中形成至少 一個第二開口 19·且其部分延伸進入介電層15中。開口 形成於開口21’之下方’且其具冑比開口 21,之橫向範圍更小 之橫向範圍。可藉由包括習知之微影法及RIE的任何合適之 技術來形成開口 19,及2 Γ » 接下來,如圖6(c)中所示,使開口19,及21,延伸進入介電 層15中。可使用(例如)RIE來形成渠溝21,及通孔丨開口 21’部分地延伸進入介電層15中,且開口19,完全延伸穿過介 電層15,藉此暴露導體13。 接著如圖6(d)中所不,以第一導電材料19來填充開口 ΐ9· 93671.doc -17· 1345818
及21'。視情況,在以導雷姑M 在㈣„ *導電材科19來填充此等開口之前,可 之底端及側壁上沈積導電襯墊18。導電材料19 二圭,如鷄之耐炼金屬,且其可藉由cvd而得以沈積。 料為料,則六幾基鶴前驅體優於哪,其能將 鼠腐钱低介電值介電材料15之危險性降至最低。概塾⑽ {由氮化鈦、叙、氮化组或鎢形成,且可藉由或藉由 ㈣而得以沈積°沈積襯塾材料18及導電材料19之後,較 佳藉由CMP錢㈣料移除過㈣材料,錢導電材料 19之頂面與介電材料15之頂面共面。 接下來,如圖6(e)中所示,移除第一導電材料19及襯墊18 之頂端部分,藉此形成開口21"。例如,可藉由使用基於氟 之化學的乾式蝕刻、使用H2〇2之濕式蝕刻或電蝕刻來回蝕 鎢19及襯塾18。 最後,如圖6(f)中所示,以第二導電材料21來填充開口 21”。視情況,在以導電材料21填充開口21 "之前,可在開 口 21 ”之底端及侧壁上沈積第二導電襯墊2〇。導電材料21較 佳為銅,且其可藉由銅鍍法而得以沈積。 可使用圖5(a)-5(f)中所說明之方法來形成圖2中所示之實 施例。再次’該方法之起點為一包含其中嵌有至少一個第 一導體13之第一介電層1〇的基材。第一導體13具有一與介 電層10之頂面共面的頂面。如前所述,可視情況在介電層 10上沈積硬掩模層11,在此情況下,導體13具有一與硬掩 模層11之頂面共面的頂面。視情況’可在導體13與介電層 10之間安置導電襯墊12。 93671.doc -18 · 1345818 如圖5(a)中所示, ’該方法之第一 一步為沈積第二介電層15
刻(RIE)之任何合適的技術來形成開口 19
接下來如圖5(d)中所示,以諸如抗反射塗層(ARC)之犧 牲材料22來填充開口 19 中來沈積一層ARC 22, '。例如,可在襯墊18上及在開口 ! 接著可在ARC 22上沈積一層光致抗 蝕劑23。接著在光致抗蝕劑23及ARC 22中形成開口 21,,且 將此開口轉移至襯墊18及硬掩模層丨7。 如圖5(e)中所示,接著自基材之表面來剝離光致抗蝕劑 及ARC 22,且自開口 19,來移除ARC 22。在剝離抗蝕劑期 間,耐炼金屬襯墊18能保護低介電值介電材料15。接著自 基材表面來回蝕襯墊18,且將開口 21,轉移至硬掩模層16及 介電層15。 最後’如圖5(f)中所示,在開口 19,及21'之底端及側壁上 沈積第二襯墊2〇 ’且接著以導電材料21來填充此等開口。 沈積襯墊材料20及導電材料21之後,使用(例如)CMP來使導 體21之頂面與介電層15或硬掩模層16之頂面共面。應注 意’將第二導體之通孔或嵌柱部分包圍於底端及側壁上之 93671.doc -19· 1345818 襯墊比包圍線導體之襯墊更厚。換言之,通孔或嵌柱呷八 由-包括第-襯墊18及第二襯墊20之雙厚度襯墊所包圍: 而線導體則僅由第二概墊2〇包圍β 可使用圖7⑷-7⑴中所說明之方法來形成圖3中所示之實 施例。如圖7⑷中所示,此方法與圖6⑷,中所示之方法 的不同之處在於在移除第—導電材料19之頂端部分的步鄉 期間並未移㈣1Μ8。再次,該方法之㈣為—包含其中 欣有至少一個第一導體13之第一介電層1〇的基材。第一導 體13具有一與介電層1〇之頂面共面的頂面。如前所述,可 視情況在介電層10上沈積硬掩模層u,在此情況下,導體 13具有一與硬掩模層U之頂面共面的頂面。可視情況在導 體13與介電層10之間安置導電襯墊12。 如圖7(a)中所示,該方法之第一步為沈積第二介電層。 及視情況沈積硬掩模層16與17。在硬掩模層17中形成至少 一個第一開口 21 ’。接著,如圖7(b)中所示,至少一個第二 開口 19’形成於硬掩模層16中且部分地延伸進入介電層15 中。開口 19’形成於開口 21,下方,且其具有比開口 21,之橫向 範圍更小的橫向範圍。可藉由包括習知之微影法及RIE之任 何合適的技術來形成開口 19'及2 Γ。 接下來,如圖7(c)中所示’使開口 19,及211延伸進入介電 層1 5中。可使用(例如)RIE來形成渠溝21,及通孔19,。開口 21'部分地延伸進入介電層15中,且開口 19,完全延伸穿過介 電層15,藉此暴露導體13。 如圖7(d)中所示’接著以第一導電材料19來填充開口 19, 9367l.doc •20· 1345818 及21’。視情況,在以導電材料19來填充此等開口之前,可 在開口之底端及側壁上沈積導電襯墊18。導電材料19較佳 為諸如鎢之耐熔金屬,且其可藉由CVD而得以沈積。當導 電材料為鎢時,則六羰基鎢前驅體優於WF6,其能將氟腐 银低η電值介電材料15之危險性降至最低。襯墊18較佳由 氮化鈦组、氮化组或鎢形成,且其可藉由pVD或藉由C VD 而得以沈積。沈積襯墊材料18及導電材料19之後,較佳藉 由CMP或濺鍍回蝕來移除過量的材料以使導電材料μ之頂 面與介電材料15之頂面共面。 接下來,如圖6(e)t所示,移除第一導電材料19之頂端部 分,但並未移除襯墊18,藉此形成開口 21„。例如,可藉由 使用基於氟之化學的乾式蝕刻、使用h2〇2之濕式蝕刻或電 蝕刻來回蝕鎢19。在鎢回蝕期間耐熔襯墊18能保護低介電 值介電材料15。
最後,如圖7(f)中所示,以第二導電材料21來填充開口 21'對於此實施例而言,在沈積導電材料21之前無需在開 21之底蚝及側壁上沈積第二導電襯墊。然而,可在沈積 導電材料21之前在襯墊18及導電材料19上沈積第二導電襯 墊(未圖示)》第二導電襯墊較佳由兔化钽及/或钽形成,且 將具有約1至10 nm之厚度 可在沈積第二襯墊之前,使用 激射清除以自f-襯塾18之表面移除污染物。導電材料21 較佳為銅,且其可藉由銅鍍法而得以沈積。 應注意,本發明之方法存在兩個基本實施例。在圖 4(a)-4(f)及5(a)-5(f)中以兩種變體展示了第一實施例。在此 93671.doc -21 - 1345818 第一實施例中,首先形成通孔開口,且以諸如耐熔金屬之 第一導電材料來填充通孔,而後形成渠溝開口。在圖 6(a)-6(f)及7(a)_7(f)中以兩種變體展示了第二實施例。在此 第二實施例中,首先形成通孔與渠溝,且接著以諸如耐熔 金屬之第一導電材料來填充此等開口。所示之此第二實施 例的兩種變體包括首先形成通孔開口且接著形成渠溝開 口’但亦可藉由首先形成渠溝開口且接著形成通孔開口來 實踐第二實施例。
在本發明中,較佳在通孔中使用一厚耐熔金屬以改良機 械強度❿在七溝中則僅使用—帛耐溶金屬卩提供低電 阻例如,可在圖1及3中藉由將耐熔金屬用於第一導電材
來達成此目的。在圖2中,可藉由將耐炫金屬用於襯墊 18與20兩者藉此形成—用於通孔或嵌柱之厚魏金屬概塾 及用於線導體之薄耐炫金屬襯塾來達成此目的。在如圖 5(e)中之光致抗㈣|剝離期間及在如圖7(e)中之鎢回敍期 間’該耐溶金屬襯塾亦能保護低介電值介電材料Η。 儘管已結合特定較佳實施例及其它替代實施例來對本發 了特殊描述’但疋顯然,熟習此項技術者可鑒於以上 描述來明白諸多替代物、修正及變體。因此,意欲使附加 之申請專㈣圍包含屬於本發明之實際㈣及精神内的所 有此等替代物、修正及變體。 【圖式簡單說明】 其中通 導體及 圖1說明了根據本發明之第-實施例的互連結掮 孔由-種與線導體之材料不同的材料形成,且通 9367I.doc •22· 1345818 線導體每一個均被獨立襯墊包圍於底端及侧壁上; 圖2說明了根據本發明之第二實施例的互連結構,其中包 圍通孔之襯墊的厚度大於包圍線導體之襯墊的厚度; 圖3說明了根據本發明之第三實施例的互連結構,其中通 孔由一種與線導體之材料不同的材料形成,且通孔導體及 線導體被一襯墊包圍於底端及侧壁上,但襯墊並未將通孔 與線導體隔開; 圖4(a)-4(f)說明了一種用以形成圖1中所示之互連結構的 方法; 圖5(a)-5(f)說明了一種用以形成圖2中所示之互連結構的 方法; 圖6(a)-6(f)說明了 一種用以形成圖!中所示之互連結構的 方法;且 圖7(a)-7(f)說明了一種用以形成圖3中所示之五$仏 吓不之互連結構的 方法® 【主要元件符號說明】 10 第一介電層 11 硬掩模層 12 導電襯墊 13 第一導體 14 頂蓋層 15 第二介電層 16 硬掩模層 17 硬掩模層 93671.doc •23- 1345818 18 第一導電襯墊 19 第一部分 19' 第一開口 20 第二導電襯墊 21 第二部分 21' 第二開口 21"開口 22 犧牲材料 23 光致抗蝕劑 93671.doc

Claims (1)

1345818 . 第093117825號專利申請案 '二 中文申請專利範圍替換本(| 〇〇’ ¥ f月7: | 十、申請專利範圍—tT'"W, 1. 一種形成於基材上之互連結構,該結構包含: 第一介電材料之其中嵌有 層,該第一導體具有與該介 面; 至少一種第一導體的第一‘ 電材料層之頂面共面的頂 第二介電材料之第二層,其覆蓋於該第—介電材料層 上且其中嵌有至少一種第二導體,該第二導體包含至少 -個第-部分及至少一個第二部分,其中該第一部分與 該第一導體形成電接觸,該第二部分覆蓋於該第一部分 上且與其形成電接觸’該第二部分具有比該第一部分之 棱向範圍更大的橫向範圍,且該第二部分具有與該第二 介電材料層之頂面共面的頂面; 第一導電襯墊’其僅安置於該第二導體的該第一部分 之側壁及底面之上;及 第二導電襯墊,其安置於該第二導體的該第—部分及 S玄苐一部分之側壁及底面之上。 2 ·如請求項1之互連結構,其另外包含覆蓋於該第二介電 材料層上之硬掩模層,且其中該第二導體之第二部分具 有與該硬掩模層之頂面共面的頂面。 3·如請求項1之互連結構,其中該第二介電材料與該第一 介電材料相同。 4.如請求項1之互連結構,其中該第二介電材料不同於該 第一介電材料。 5 ·如請求項1之互連結構,其中該第二部分由鋼形成且該 93671-1000105.doc ^45818 第—部分由銅形成。 6.如請求項〗之互連結構,其中該第一 ' A , 導电襯墊由一種選 上下各物組成之群的材料形成·氣 ^ 巩化鈦、鈕、氮化 成之群的材料形成 及鎢,且該第二導電襯墊由一種選自 占夕救 由氮化鈕及鈕組 7. 如請求項1之互連結構,立中嗜篦-道 第-道· 一導電襯墊具有比該 弟 ¥電襯墊之厚度更小的厚度。 8. 如請求項1之互連結構,其另外包含.+ ^ ^ 〜安置於該第二 /、6亥弟二介電材料之間及該第-道_ _ 门及这第一導體與該第一導體 之間的導電襯墊。 1::項8之互連結構,其另外包含覆蓋於該第二介電 's上之硬掩模層’且其t該第二導體之第二部分具 有/、該硬掩模層之一頂面共面的頂面。 八:求項8之互連結構,其中該第二介電材料與該第一 μ電材料相同。 項8之互連結構,其中該第二介電材料不同於該 弟一介電材料。 。月求項8之互連結構,其中該襯墊包含一種選自由以 下各物組成之群的材料:氮化鈦、鈕、氮化钽及鎢。 93671-1000105.doc
TW093117825A 2003-06-23 2004-06-18 Dual damascene interconnect structures having different materials for line and via conductors TWI345818B (en)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US10/604,026 US6958540B2 (en) 2003-06-23 2003-06-23 Dual damascene interconnect structures having different materials for line and via conductors

Publications (2)

Publication Number Publication Date
TW200512874A TW200512874A (en) 2005-04-01
TWI345818B true TWI345818B (en) 2011-07-21

Family

ID=33539856

Family Applications (2)

Application Number Title Priority Date Filing Date
TW098105172A TW200931593A (en) 2003-06-23 2004-06-18 Dual damascene interconnect structures having different materials for line and via conductors
TW093117825A TWI345818B (en) 2003-06-23 2004-06-18 Dual damascene interconnect structures having different materials for line and via conductors

Family Applications Before (1)

Application Number Title Priority Date Filing Date
TW098105172A TW200931593A (en) 2003-06-23 2004-06-18 Dual damascene interconnect structures having different materials for line and via conductors

Country Status (7)

Country Link
US (3) US6958540B2 (zh)
EP (1) EP1649510A2 (zh)
JP (1) JP2009514186A (zh)
KR (1) KR100772602B1 (zh)
CN (1) CN100405574C (zh)
TW (2) TW200931593A (zh)
WO (1) WO2004114395A2 (zh)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI625991B (zh) * 2016-10-17 2018-06-01 南亞電路板股份有限公司 電路板結構與其製造方法

Families Citing this family (30)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI242835B (en) * 2003-08-29 2005-11-01 Nanya Technology Corp Structure of interconnects and fabricating method thereof
US7169698B2 (en) * 2004-01-14 2007-01-30 International Business Machines Corporation Sacrificial inorganic polymer intermetal dielectric damascene wire and via liner
KR100621630B1 (ko) * 2004-08-25 2006-09-19 삼성전자주식회사 이종 금속을 이용하는 다마신 공정
US7352064B2 (en) * 2004-11-04 2008-04-01 International Business Machines Corporation Multiple layer resist scheme implementing etch recipe particular to each layer
US7651942B2 (en) * 2005-08-15 2010-01-26 Infineon Technologies Ag Metal interconnect structure and method
US7488679B2 (en) * 2006-07-31 2009-02-10 International Business Machines Corporation Interconnect structure and process of making the same
US7749894B2 (en) * 2006-11-09 2010-07-06 Chartered Semiconductor Manufacturing Ltd. Integrated circuit processing system
JP4506767B2 (ja) * 2007-02-28 2010-07-21 カシオ計算機株式会社 半導体装置の製造方法
US20080230907A1 (en) * 2007-03-22 2008-09-25 Chartered Semiconductor Manufacturing Ltd. Integrated circuit system with carbon enhancement
US7812461B2 (en) * 2007-03-27 2010-10-12 Micron Technology, Inc. Method and apparatus providing integrated circuit having redistribution layer with recessed connectors
US7615484B2 (en) * 2007-04-24 2009-11-10 Chartered Semiconductor Manufacturing Ltd. Integrated circuit manufacturing method using hard mask
US7951414B2 (en) * 2008-03-20 2011-05-31 Micron Technology, Inc. Methods of forming electrically conductive structures
DE102011002769B4 (de) * 2011-01-17 2013-03-21 Globalfoundries Dresden Module One Limited Liability Company & Co. Kg Halbleiterbauelement und Verfahren zur Herstellung einer Hybridkontaktstruktur mit Kontakten mit kleinem Aspektverhältnis in einem Halbleiterbauelement
CN102437097A (zh) * 2011-09-08 2012-05-02 上海华力微电子有限公司 一种新的接触孔的制造方法
CN102437098A (zh) * 2011-09-08 2012-05-02 上海华力微电子有限公司 一种降低接触孔电阻的接触孔形成方法
CN102437099A (zh) * 2011-09-08 2012-05-02 上海华力微电子有限公司 一种降低接触孔电阻的接触孔结构形成方法
US9252050B2 (en) 2012-09-11 2016-02-02 International Business Machines Corporation Method to improve semiconductor surfaces and polishing
US9312203B2 (en) * 2013-01-02 2016-04-12 Globalfoundries Inc. Dual damascene structure with liner
US9716035B2 (en) 2014-06-20 2017-07-25 Taiwan Semiconductor Manufacturing Company, Ltd. Combination interconnect structure and methods of forming same
US10727122B2 (en) 2014-12-08 2020-07-28 International Business Machines Corporation Self-aligned via interconnect structures
US9837309B2 (en) * 2015-11-19 2017-12-05 International Business Machines Corporation Semiconductor via structure with lower electrical resistance
US9735051B2 (en) * 2015-12-14 2017-08-15 International Business Machines Corporation Semiconductor device interconnect structures formed by metal reflow process
SG11201806578XA (en) * 2016-02-02 2018-09-27 Tokyo Electron Ltd Self-alignment of metal and via using selective deposition
KR20170110332A (ko) * 2016-03-23 2017-10-11 삼성전자주식회사 반도체 장치 및 그 제조 방법
US10211153B2 (en) * 2016-08-30 2019-02-19 International Business Machines Corporation Low aspect ratio interconnect
US10236206B2 (en) * 2017-07-03 2019-03-19 Globalfoundries Inc. Interconnects with hybrid metallization
CN107946332B (zh) * 2017-11-22 2021-07-23 德淮半导体有限公司 半导体结构、cmos图像传感器及其制备方法
US10395986B1 (en) 2018-05-30 2019-08-27 International Business Machines Corporation Fully aligned via employing selective metal deposition
US11652044B2 (en) * 2021-02-26 2023-05-16 Taiwan Semiconductor Manufacturing Company, Ltd. Contact structure and method of making
US20230015307A1 (en) * 2021-07-19 2023-01-19 Changxin Memory Technologies, Inc. Semiconductor structure fabrication method, semiconductor structure and memory

Family Cites Families (23)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH04162452A (ja) * 1990-10-24 1992-06-05 Nec Kyushu Ltd 半導体装置の製造方法
US5739579A (en) * 1992-06-29 1998-04-14 Intel Corporation Method for forming interconnections for semiconductor fabrication and semiconductor device having such interconnections
US5453575A (en) * 1993-02-01 1995-09-26 Endosonics Corporation Apparatus and method for detecting blood flow in intravascular ultrasonic imaging
US6285082B1 (en) * 1995-01-03 2001-09-04 International Business Machines Corporation Soft metal conductor
US5614765A (en) * 1995-06-07 1997-03-25 Advanced Micro Devices, Inc. Self aligned via dual damascene
KR100215846B1 (ko) * 1996-05-16 1999-08-16 구본준 반도체장치의 배선형성방법
JP3228181B2 (ja) * 1997-05-12 2001-11-12 ヤマハ株式会社 平坦配線形成法
US6069068A (en) * 1997-05-30 2000-05-30 International Business Machines Corporation Sub-quarter-micron copper interconnections with improved electromigration resistance and reduced defect sensitivity
US5821168A (en) * 1997-07-16 1998-10-13 Motorola, Inc. Process for forming a semiconductor device
US6127258A (en) 1998-06-25 2000-10-03 Motorola Inc. Method for forming a semiconductor device
JP2001007200A (ja) * 1999-06-21 2001-01-12 Matsushita Electronics Industry Corp 配線の形成方法
JP2001015510A (ja) * 1999-06-28 2001-01-19 Hitachi Ltd 半導体装置およびその製造方法
US6297149B1 (en) * 1999-10-05 2001-10-02 International Business Machines Corporation Methods for forming metal interconnects
US6383821B1 (en) * 1999-10-29 2002-05-07 Conexant Systems, Inc. Semiconductor device and process
JP2001319928A (ja) 2000-05-08 2001-11-16 Hitachi Ltd 半導体集積回路装置およびその製造方法
US6403456B1 (en) * 2000-08-22 2002-06-11 Advanced Micro Devices, Inc. T or T/Y gate formation using trim etch processing
US20020086519A1 (en) * 2000-12-29 2002-07-04 Houston Theodore W. Stacked vias and method
JP2002368081A (ja) * 2001-06-06 2002-12-20 Sony Corp 半導体装置の製造方法
US6537913B2 (en) * 2001-06-29 2003-03-25 Intel Corporation Method of making a semiconductor device with aluminum capped copper interconnect pads
TW522479B (en) 2001-12-07 2003-03-01 Taiwan Semiconductor Mfg Method of forming dual-damascene
US20040108217A1 (en) * 2002-12-05 2004-06-10 Dubin Valery M. Methods for forming copper interconnect structures by co-plating of noble metals and structures formed thereby
US7060619B2 (en) * 2003-03-04 2006-06-13 Infineon Technologies Ag Reduction of the shear stress in copper via's in organic interlayer dielectric material
US20040222527A1 (en) * 2003-05-06 2004-11-11 Dostalik William W. Dual damascene pattern liner

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI625991B (zh) * 2016-10-17 2018-06-01 南亞電路板股份有限公司 電路板結構與其製造方法

Also Published As

Publication number Publication date
US20040262764A1 (en) 2004-12-30
WO2004114395A3 (en) 2005-02-10
US6958540B2 (en) 2005-10-25
EP1649510A2 (en) 2006-04-26
US20080026566A1 (en) 2008-01-31
US7300867B2 (en) 2007-11-27
US7704876B2 (en) 2010-04-27
KR100772602B1 (ko) 2007-11-02
TW200931593A (en) 2009-07-16
KR20060025149A (ko) 2006-03-20
WO2004114395A2 (en) 2004-12-29
JP2009514186A (ja) 2009-04-02
CN1799137A (zh) 2006-07-05
US20050245068A1 (en) 2005-11-03
TW200512874A (en) 2005-04-01
CN100405574C (zh) 2008-07-23

Similar Documents

Publication Publication Date Title
TWI345818B (en) Dual damascene interconnect structures having different materials for line and via conductors
JP5089575B2 (ja) 相互接続構造体及びその製造方法
JP4162241B2 (ja) 犠牲無機ポリマ金属間誘電体を用いたダマシン配線およびビア・ライナ
US8264086B2 (en) Via structure with improved reliability
TWI362087B (en) Methods for forming dual damascene wiring for semiconductor devices using protective via capping layer
US6380084B1 (en) Method to form high performance copper damascene interconnects by de-coupling via and metal line filling
US7514354B2 (en) Methods for forming damascene wiring structures having line and plug conductors formed from different materials
US7833893B2 (en) Method for forming conductive structures
JP2004006748A (ja) 銅配線の信頼性を向上させるための銅遷移層
TWI377618B (en) Dry etchback of interconnect contacts
US20090020883A1 (en) Semiconductor device and method for fabricating semiconductor device
US6495448B1 (en) Dual damascene process
JP2008294040A (ja) 半導体装置
US8129842B2 (en) Enhanced interconnect structure
US7176141B2 (en) Plasma treatment to improve barrier layer performance over porous low-K insulating dielectrics
US20010007374A1 (en) Effective diffusion barrier process and device manufactured thereby
US20030170978A1 (en) Method of fabricating a dual damascene structure on a semiconductor substrate
TWI269403B (en) Sacrificial metal liner for copper
US7238606B2 (en) Semiconductor devices and method for fabricating the same
JP2000232106A (ja) 半導体装置および半導体装置の製造方法
US20040256729A1 (en) Structure and method for eliminating time dependent dielectric breakdown failure of low-k material
KR100781422B1 (ko) 듀얼 다마신 패턴 형성 방법
JP2006005010A (ja) 半導体装置及びその製造方法

Legal Events

Date Code Title Description
MM4A Annulment or lapse of patent due to non-payment of fees