JP2009514186A - ライン及びビア導体のための異なる材料を有するデュアル・ダマシン相互接続構造体 - Google Patents

ライン及びビア導体のための異なる材料を有するデュアル・ダマシン相互接続構造体 Download PDF

Info

Publication number
JP2009514186A
JP2009514186A JP2006516136A JP2006516136A JP2009514186A JP 2009514186 A JP2009514186 A JP 2009514186A JP 2006516136 A JP2006516136 A JP 2006516136A JP 2006516136 A JP2006516136 A JP 2006516136A JP 2009514186 A JP2009514186 A JP 2009514186A
Authority
JP
Japan
Prior art keywords
dielectric material
layer
opening
conductor
liner
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
JP2006516136A
Other languages
English (en)
Inventor
ガンビーノ、ジェフリー
クーニー、エドワード、サード
スタンパー、アンソニー
モトシフ、トーマス
レーン、マイケル
サイモン、アンドリュー
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
International Business Machines Corp
Original Assignee
International Business Machines Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by International Business Machines Corp filed Critical International Business Machines Corp
Publication of JP2009514186A publication Critical patent/JP2009514186A/ja
Pending legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76807Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures
    • H01L21/76811Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures involving multiple stacked pre-patterned masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76807Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76807Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures
    • H01L21/76808Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures involving intermediate temporary filling with material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76807Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures
    • H01L21/76813Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures involving a partial via etch
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric
    • H01L21/76846Layer combinations
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76853Barrier, adhesion or liner layers characterized by particular after-treatment steps
    • H01L21/76865Selective removal of parts of the layer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/53204Conductive materials
    • H01L23/53209Conductive materials based on metals, e.g. alloys, metal silicides
    • H01L23/53228Conductive materials based on metals, e.g. alloys, metal silicides the principal metal being copper
    • H01L23/53238Additional layers associated with copper layers, e.g. adhesion, barrier, cladding layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/5329Insulating materials
    • H01L23/53295Stacked insulating layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/0001Technical content checked by a classifier
    • H01L2924/0002Not covered by any one of groups H01L24/00, H01L24/00 and H01L2224/00

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)

Abstract

【課題】 ライン導体のために用いられるものと異なる、ビア又はスタッドのための材料を用いて、デュアル・ダマシン相互接続構造体を形成する方法を提供すること。
【解決手段】 ライン導体に用いられるものとは異なる、ビア又はスタッドのための材料を用いるか、又はトレンチ・ライナに用いられるものとは異なる、ビア・ライナのための材料を用いるか、或いは該トレンチ・ライナのものと異なるビア・ライナ厚を有する、デュアル・ダマシンのバックエンド・オブ・ライン(back−end−of−line:BEOL)相互接続構造体を形成する方法が開示される。改善された機械的強度のために、ビアに厚い超硬合金を用いる一方で、トレンチに薄い超硬合金だけを用い、抵抗を低くすることが好ましい。
【選択図】 図1

Description

本発明は、集積回路におけるバック・エンド・オブ・ライン(BEOL)相互接続構造体の形成に関する。より具体的には、本発明は、ライン導体のために用いられるものと異なる、ビア又はスタッドのための材料を用いてデュアル・ダマシン(dual damascene)相互接続構造体を形成する新規な方法に関する。
半導体産業のロードマップは、多層のオン・チップ相互接続部を囲む絶縁体における誘電率の低減を必要としている。集積回路に対する寄生容量性負荷を減少させ、隣接する相互接続部間の静電結合を減少させるために、誘電率を下げる必要がある。
誘電率を減少させることが、モジュラス、硬度、熱伝導率、及び破壊靱性のような絶縁体の機械的特性の低減を伴うことが多い。基板及び金属相互接続部との熱膨張の不一致のために、構造体内に著しい応力が発生することがある。これらの応力は、熱サイクル中に銅製ビア又はスタッドの疲労を生じさせ、歩留まり又は信頼性の問題をもたらすことがある。したがって、低k(low−k)材料で製造されるビアの強度を改善する方法が必要とされている。
ビアのために、銅の代わりに超硬合金を用いて、又はビアを囲む超硬合金ライナの厚さをライン導体より厚くすることによって、ビアの強度を改善することができる。これまで、ビア及びラインのために異なる材料又は異なるライナ厚を有する相互接続構造体を形成することは、一連の単一のダマシン製造ステップを用いることによってのみ達成できるものであった。具体的には、最初にビア・レベルの誘電体層を堆積させ、次に、誘電体材料内にビア開口部を形成し、該ビアを第1の導電性材料で充填する。ビアの上面をビア・レベルの誘電体の上面と同一平面にするために、例えば化学機械研磨(CMP)によって、余分な導電性材料を除去する。次に、ライン・レベルの誘電体を堆積させ、ビアの上にあるライン・レベルの誘電体内にトレンチ開口部を形成し、トレンチを第2の導電性材料で充填する。同様に、ライン導体の上面をライン・レベルの誘電体の上面と同一平面にするように、例えばCMPによって余分な導電性材料を除去しなければならない。
この一連の単一のダマシン・ステップは、時間と費用がかかるものである。さらに、誘電体の2つの別個の層(ビア・レベル及びライン・レベル)は、典型的なデュアル・ダマシン構造体に見出されるもののような単層の誘電体材料と比べて低い電気的特性を示す。
したがって、ビア・レベル及びライン・レベルのために単層の導電性材料を有するが、ビア及びラインのために異なる材料又は異なるライナ厚を有する、デュアル・ダマシン相互接続構造体を形成する方法に対する、当該技術分野における必要性がある。
したがって、本発明の目的は、低k(low−k)の誘電体材料で製造されるビアの強度を向上させる方法を提供することである。この目的及び他の目的が、本発明の方法を用いて達成される。一側面において、基板上に形成される相互接続構造体が開示される。この構造体は、上面が誘電体材料の層の上面と同一平面にあるように少なくとも1つの第1の導体が埋め込まれた第1の誘電体材料の第1の層と、誘電体材料の第1の層の上に重なる、誘電体材料の層内に少なくとも1つの第2の導体が埋め込まれた第2の誘電体材料の第2の層とを含む。第2の導体は、少なくとも1つの第1の部分と第1の部分とは異なる材料で形成された少なくとも第2の部分とを含み、該第1の部分が第1の導体と電気的に接触しており、第2の部分が第1の部分の上に重なって該第1の部分と電気的に接触しており、第2の部分は第1の部分のものより大きい横方向範囲を有し、第2の部分の上面は、誘電体材料の第2の層の上面と同一平面にある。任意に、相互接続構造体はさらに、第1の部分と第2の誘電体材料との間及び該第1の部分と第1の導体との間に配置された第1の導電性ライナと、第2の部分と第2の誘電体材料との間及び該第2の部分と第1の部分との間に配置された第2の導電性ライナとをさらに含み、第2のライナは第1のライナとは異なる材料で形成される。
別の側面において、相互接続構造体は、上面が誘電体材料の層の上面と同一平面にあるように少なくとも1つの第1の導体が埋め込まれた第1の誘電体材料の第1の層と、誘電体材料の該第1の層の上に重なり、少なくとも1つの第2の導体が埋め込まれた第2の誘電体材料の第2の層と、第1の部分と第2の誘電体材料との間及び該第1の部分と第1の導体との間に配置された第1の導電性ライナと、第2の部分と第2の誘電体材料との間に配置され、厚さが該第1のライナの厚さより薄い第2のライナとを含む。第2の導体は、少なくとも1つの第1の部分と少なくとも1つの第2の部分とを含み、該第1の部分は第1の導体と電気的に接触しており、該第2の部分は該第1の部分の上に重なって該第1の部分と電気的に接触しており、該第2の部分は該第1の部分のものより大きい横方向範囲を有し、該第2の部分の上面は、誘電体材料の第2の層の上面と同一平面にある。任意に、相互接続構造体は、第2の導体と第2の誘電体材料との間及び該第2の導体と第1の導体との間に配置された導電性ライナをさらに含む。
本発明の相互接続構造体を形成する方法も開示される。具体的には、上面が誘電体材料の層の上面と同一平面にあるように少なくとも1つの第1の導体が埋め込まれた第1の誘電体材料の第1の層を含む基板上に相互接続構造体を形成する方法が開示される。一側面において、この方法は、誘電体材料の第1の層上に第2の誘電体材料の第2の層を堆積させるステップと、誘電体材料の第2の層内に少なくとも1つの第1の開口部を形成して第1の導体を部分的に露出させるステップと、第1の開口部を第1の導電性材料で充填するステップと、該第1の導電性材料の上部を除去するステップと、誘電体材料の第2の層内に、第1の導電性材料の上に重なり、第1の開口部のものより大きい横方向範囲を有する少なくとも1つの第2の開口部を形成するステップと、第2の開口部を、第1の導電性材料とは異なる第2の導電性材料により、第2の導電性材料の上面が誘電体材料の該第2の層の上面に対し同一平面にあるように充填するステップとを含む。
第2の側面において、この方法は、誘電体材料の第1の層上に第2の誘電体材料の第2の層を堆積させるステップと、誘電体材料の第2の層内に少なくとも1つの第1の開口部を形成して第1の導体を部分的に露出させるステップと、第1の開口部の底部及び側壁上に第1の導電性ライナを堆積させるステップと、第1の開口部を犠牲材料で充填するステップと、誘電体材料の第2の層内に、第1の開口部の上に重なり、第1の開口部のものより大きい横方向範囲を有する少なくとも1つの第2の開口部を形成するステップと、犠牲材料を除去するステップと、第2の開口部の底部及び側壁上及び第1のライナ上に第2の導電性ライナを堆積させるステップと、第2の開口部を、第1の導電性材料とは異なる導電性材料により、第2の導体の上面が誘電体材料の該第2の層の上面に対し同一平面にあるように充填するステップとを含む。
第3の側面において、この方法は、誘電体材料の第1の層上に第2の誘電体材料の第2の層を堆積させるステップと、誘電体材料の第2の層内に、誘電体材料の第2の層を部分的に通って延びるが第1の導体を露出させない少なくとも1つの第1の開口部を形成するステップと、誘電体材料の第2の層内に、第1の開口部の上に重なり、第1の開口部のものより大きい横方向範囲を有する少なくとも1つの第2の開口部を形成し、誘電体材料の残りを通して第1の開口部を延ばして第1の導体を露出させるステップと、第1及び第2の開口部の底部及び側壁上に第1の導電性ライナを堆積させるステップと、第1及び第2の開口部を第1の導電性材料で充填するステップと、第2の開口部から第1の導電性材料及び第1のライナを除去するステップと、第2の開口部の底部及び側壁上に第2の導電性ライナを堆積させるステップと、第2の開口部を、第1の導電性材料とは異なる第2の導電性材料により、第2の導電性材料の上面が誘電体材料の第2の層の上面に対し同一平面にあるように充填するステップとを含む。
第4の側面において、この方法は、誘電体材料の第1の層上に第2の誘電体材料の第2の層を堆積させるステップと、誘電体材料の第2の層内に、誘電体材料の該第2の層を部分的に通って延びるが第1の導体を露出させない少なくとも1つの第1の開口部を形成するステップと、誘電体材料の該第2の層内に、第1の開口部の上に重なり、第1の開口部のものより大きい横方向範囲を有する少なくとも1つの第2の開口部を形成し、誘電体材料の残りを通して第1の開口部を延ばして第1の導体を露出させるステップと、第1及び第2の開口部の底部及び側壁上に導電性ライナを堆積させるステップと、第1及び第2の開口部を第1の導電性材料で充填するステップと、第2の開口部から第1の導電性材料を除去するステップと、第2の開口部を、第1の導電性材料とは異なる第2の導電性材料により、第2の導電性材料の上面が誘電体材料の第2の層の上面に対し同一平面にあるように充填するステップとを含む。
新規であると考えられる本発明の特徴及び本発明の特性を示す要素が、添付の特許請求の範囲に具体的に述べられる。図面は、単なる説明目的のものであって、縮尺に合わせて描かれてはいない。さらに、図面において、同様の番号は同様の特徴を示す。しかしながら、本発明自体は、その構成についても動作方法についても、添付の図面と合わせて以下の詳細な説明を参照することによって、最も良く理解することができる。
ここで、添付の図面を参照して本発明を説明する。図面において、本発明をより明確に説明し、示すように、構造体の種々の側面が示され、簡略化された方法で概略的に表された。例えば、図面は、縮尺どおりに描かれるように意図されていない。さらに、構造体の種々の側面の垂直方向の断面が、矩形形状として示される。しかしながら、当業者であれば、実際の構造体を用いる場合、これらの側面がよりテーパ状の形態を組み込む可能性が高いことを理解するであろう。さらに、本発明は、いずれかの特定形状の構成に制限されるものではない。
本発明の相互接続構造体において、ビア導体(via conductor)のための異なる材料、或いはビア・ライナ(via liner)又はより厚いビア・ライナのための異なる材料、或いはこれらの特性の組み合わせを用いて、ビア(via)をより強いものにする。好ましい実施形態において、ビアに超硬合金が用いられ、トレンチに銅が用いられる。超硬合金は、銅より機械的に強く、したがって、熱サイクル中にしばしば現れる応力に耐えることができる。
第1の誘電体層10を含む本発明の第1の実施形態が、図1に示される。少なくとも1つの第1の導体13が、上面が層10の上面と同一平面にあるように、第1の誘電体層10内に埋め込まれている。任意に、ハードマスク層11を誘電体層10上に堆積させることができ、その場合、導体13の上面は、ハードマスク層11の上面と同一平面にある。任意に、導体13と誘電体層10との間に導電性ライナ12を配置することもできる。
第2の誘電体層15が、第1の誘電体層10の上に重ねられる。任意に、キャップ層14が、第2の誘電体層15を第1の誘電体層10及び第1の導体13から分離する。第2の誘電体層15内に、少なくとも1つの第2の導体が埋め込まれている。第2の導体は、少なくとも1つの第1の部分19(ビア(via)又はスタッド(stud))、及び少なくとも1つの第2の部分21(ライン導体(line conductor)を含む。第1の部分19は、第1の導体13の上に重なり、該第1の導体と電気的に接触している。第2の部分21は、第1の部分19の上に重なり、該第1の部分19のものより大きい横方向範囲を有し、第2の部分21の上面は、第2の誘電体層15の上面と同一平面にある。任意に、ハードマスク層16を第2の誘電体層15上に堆積させることができ、その場合、第2の部分21の上面は、ハードマスク層16の上面と同一平面にある。ライナ18が、任意に第1の部分19の底部及び側壁を囲み、ライナ20が、任意に第2の部分21の底部及び側壁を囲む。
第2の導体の第1の部分19すなわちビア又はスタッドのために、タングステン又は他の何らかの超硬合金を用いることが好ましい。超硬合金は、銅より機械的に強く、よって熱サイクル中に生じる応力に耐えることができる。第1の導体13、及び第2の導体の第2の部分すなわちライン導体のために、銅を用いることができる。第1の部分19の底部及び側壁を囲むライナ18のために用いられる材料は、窒化チタン、タンタル、窒化タンタル、又はタングステンであることが好ましい。ライナ18及び20は、タンタル及び/又は窒化タンタルで形成することができる。
誘電体層10及び15は、何らかの適切な誘電体材料で形成することができるが、低k(low−k)の誘電体材料が好ましい。適切な誘電体材料には、炭素をドープした二酸化シリコン材、フッ素化ケイ酸塩ガラス(FSG)、有機ポリマーの熱硬化性材料、シリコン・オキシカーバイド、SiCOH誘電体、フッ素をドープした酸化シリコン、スピンオンガラス、水素シスセスキオサン(HSQ)、メチルシスセスキオサン(MSQ)、及びHSQ及びMSQの混合物又はコポリマーを含むシスセスキオサン、ベンゾシクロブテン(BCB)ベースのポリマー誘電体、及び低k(low−k)誘電体を含有する任意のシリコンが含まれる。シスセスキオサン化学剤を用いるSiCOH型組成を有するスピンオン低k(low−k)膜の例には、HOSP(登録商標)(Honeywell社から入手可能)、JSR5109及び5108(Japan Synthetic Rubber社から入手可能)、Zirkon(登録商標)(Rohm and Haas社の部門であるShipley Microelectronicsから入手可能)、及び多孔性の低k(low−k)(ELK)材料(Applied Materials社から入手可能)が含まれる。炭素をドープした二酸化シリコン材又は有機シランの例には、Black Diamond(登録商標)(Applied Materials社から入手可能)及びCoral(登録商標)(Novellus社から入手可能)が含まれる。HSQ材料の例は、FOx(登録商標)(Dow Corning社から入手可能)を含む。この実施形態については、好ましい誘電体材料は、本質的に炭素、酸素、及び水素からなる有機ポリマーの熱硬化性材料である。好ましい誘電体材料は、SiLK(登録商標)(Dow Chemical Company社から入手可能)として知られる、低k(low−k)のポリアリーレン・エーテル、ポリマー材料、及びFLARE(登録商標)(Honeywell社から入手可能)として知られる低k(low−k)のポリマー材料を含む。ハードマスク層11及び16は、炭化シリコン、窒化シリコン、及び/又は二酸化シリコンで形成することができる。
第2の導体の第2の部分21すなわちライン導体は、好ましくは約100nmから5000nmまで、より好ましくは約300nmの全厚を有する。第2の導体の第1の部分19すなわちビアは、好ましくは約100nmから5000nmまで、より好ましくは約300nmの高さを有する。ビア・ライナ18は、好ましくは約2nmから50nmまで、より好ましくは約20nmの厚さを有し、トレンチ・ライナ20は、好ましくは約2nmから50nmまで、より好ましくは約10nmの厚さを有する。
本発明の第2の実施形態が図2に示され、この第2の実施形態は、第2の導体が全体的に同じ材料、好ましくは銅で形成されるという点で、図1に示される実施形態とは異なる。言い換えれば、ビア又はスタッドは、超硬合金で形成されていない。しかしながら、ビア又はスタッドの底部及び側壁は、ライン導体を囲むライナより厚いライナで囲まれている。具体的には、ビア又はスタッドは、第1のライナ18及び第2のライナ20を含むライナで、ライナ導体は、第2のライナだけで囲まれている。第1のライナ18は、第2のライナ20と同じ材料で形成することができ、或いは、第1のライナ18は、第2のライナ20とは異なる材料で形成することができる。
図2に示される実施形態の場合には、図1に示される実施形態について説明された特徴の各々のために、同じ材料を用いることができる。第2の導体の第2の部分21すなわちライン導体は、好ましくは約100nmから5000nmまで、より好ましくは約300nmの全厚を有する。第2の導体の第1の部分19すなわちビアは、好ましくは約100nmから5000nmまで、より好ましくは約300nmの高さを有する。ビア内のライナ18及び20は、好ましくは約2nmから50nmまで、より好ましくは約30nmの結合された厚さを有し、トレンチ・ライナ20は、好ましくは約2nmから50nmまで、より好ましくは約10nmの厚さを有する。
本発明の第3の実施形態が図3に示されており、この第3の実施形態は、第2の導体の第1の部分19及び第2の部分21の両方がライナ18で囲まれているという点で、図1に示される実施形態とは異なる。言い換えれば、第1の部分19及び第2の部分21は、図1に示される実施形態におけるように別個のライナを有していない。寧ろ、単一のライナ18が、第1の部分19及び第2の部分21の両方の底部及び側壁を囲んでいる。
図3に示される実施形態の場合、図1に示される実施形態について説明された特徴の各々のために、同じ材料を用いることができる。第2の導体の第2の部分21すなわちライン導体は、好ましくは約100nmから5000nmまで、より好ましくは約300nmの全厚を有する。第2の導体の第1の部分19すなわちビアは、好ましくは約100nmから5000nmまで、より好ましくは約300nmの高さを有する。ビア内のライナ18及びトレンチは、好ましくは約2nmから50nmまで、より好ましくは約20nmの厚さを有する。
図4(a)乃至図4(f)に示される方法を用いて、図1に示される実施形態を形成することができる。この方法の開始点は、少なくとも1つの第1の導体13が内部に埋め込まれた第1の誘電体層10を含む基板である。第1の導体13の上面は、誘電体層10の上面と同一平面にある。既に説明されたように、任意に、ハードマスク層11を誘電体層10上に堆積させることができ、その場合、導体13の上面は、ハードマスク層11の上面と同一平面にある。任意に、導体13と誘電体層10との間に導電性ライナ12を配置することができる。
図4(a)に示されるように、この方法は、第2の誘電体層15、任意にハードマスク層16及び17を堆積させることで開始する。ハードマスク層16及び17は、炭化シリコン(SiC)、窒化シリコン(SiN)、及び/又は二酸化シリコン(SiO)で形成することができる。図4(b)に示されるように、誘電体層15並びにハードマスク層16及び17内に少なくとも1つの第1の開口部19´が形成され、これにより第1の導体13が露出される。従来のリソグラフィ及び反応性イオン・エッチング(RIE)等のいずれかの適切な技術によって、開口部19´を形成することができる。
次に、図4(c)に示されるように、開口部19´が、第1の導電性材料19で充填される。任意に、開口部19´を導電性材料19で充填する前に、開口部19´の底部及び側壁上に導電性ライナ18を堆積させることができる。導電性材料19は、タングステンのような超硬合金であることが好ましく、化学気相成長法(CVD)によって堆積させることができる。導電性材料がタングステンであるとき、フッ素が低k(low−k)の誘電体材料15を侵す危険を最小にするように、タングステン・ヘキサカルボニル前駆体がWFを覆っていることが好ましい。
化学気相成長法(CVD)又はイオン化金属物理的気相成長法(I−PVD)等のいずれかの適切な技術によって、超硬合金19を堆積させることができる。W又はTiNの堆積の場合は、好ましい方法はCVDである。Ta又はTaNの堆積の場合は、好ましい方法はI−PVDである。
I−PVDプロセスは、ビアを金属で充填するために、代替的な堆積の周期及び部分的なエッチバックを用いる。I−PVDプロセスを用いるとき、ビアのような小さい形態の大半をTa(又はTaN)で充填し、同時に、トレンチの底部にあるTaの薄い層(約5nmから25nmまで)を残す。形態のサイズが減るにつれて、堆積対エッチバックの比が増大するので、このことが可能になる。
例えば、デュアル・ダマシン構造体において、約0.2ミクロンの直径及び約0.4ミクロンの高さを有するビアを充填するために、Taの堆積に加えてエッチバックを用いることもできる。第1に、約5nmから30nmまでのTa層が、約2キロワットから60キロワットまでのマグネトロン・パワー、約1ミリトールから10ミリトールまでの圧力、及びゼロワットから約200ワットまでのウェハ・バイアスで堆積される。次に、エッチバック・プロセスが、約2キロワットから30キロワットまでのマグネトロン・パワー、約1ミリトールから10ミリトールまでの圧力、及び約200ワットから1200ワットまでのウェハ・バイアスを用いて、(好ましくは同じチャンバ内で)行われる。エッチバック・ステップにおいて、金属が、ビアの底部から側壁上にスパッタされ、よって側壁が厚くなる。ビアが完全に(又はほぼ完全に)金属で充填されるまで、付加的な堆積及びエッチバック・サイクルを用いて、ビアの側壁上の金属の厚さをさらに増大させることができる。
ライナ18は、窒化チタン、タンタル、窒化タンタル、又はタングステンで形成されることが好ましく、物理的気相成長法(PVD)又はCVDによって堆積させることができる。ライナ材料18及び導電性材料19の堆積に続いて、CMP又はスパッタ・エッチバックによって余分な材料を除去し、導電性材料19の上面を誘電体材料15の上面と同一平面にすることが好ましい。
次に、図4(d)に示されるように、第1の導電性材料19及びライナ18の上部が除去される。例えば、フッ素ベースの化学剤を用いる乾式エッチング、過酸化水素(H)を用いる湿式エッチング、又は電子エッチングによって、タングステン19及びライナ18をエッチバックすることができる。
図4(e)に示されるように、導電性材料19及びライナ18のエッチバックに続いて、誘電体材料15並びにハードマスク層16及び17内に少なくとも1つの第2の開口部21´が形成される。第2の開口部21´は、第1の導電性材料19の上に重なり、第1の開口部19´のものより大きい横方向範囲を有する。第2の開口部21´は、従来のリソグラフィ及びRIE等のいずれかの適切な技術によって形成することができる。
最後に、図4(f)として示されるように、開口部21´が、第2の導電性材料21で充填される。任意に、開口部21´を導電性材料21で充填する前に、開口部21´の底部及び側壁上に、第2の導電性ライナ20を堆積させることができる。導電性材料21は、銅であることが好ましく、一般に、PVDによる銅シード堆積、銅めっき、及びCMPを伴う銅めっきによって堆積させることができる。
図6(a)乃至図6(f)に示される方法を用いて、図1に示される実施形態を代替的に形成することができる。この方法は、第1の導電性材料19で充填する前に、ビア19´及びトレンチ開口部21´の両方を形成するという点で、図4(a)乃至図4(f)に示される方法とは異なる。また、この方法の開始点も、少なくとも1つの第1の導体13が内部に埋め込まれた第1の誘電体層10を含む基板である。第1の導体13の上面は、誘電体層10の上面と同一平面にある。前に説明されたように、任意に、ハードマスク層11を誘電体層10上に堆積させることができ、その場合、導体13の上面は、ハードマスク層11の上面と同一平面にある。任意に、導体13と誘電体層10との間に導電性ライナ12が配置される。
図6(a)に示されるように、この方法は、第2の誘電体層15、ハードマスク層16及び17の堆積で始まる。ハードマスク層17内に少なくとも1つの第1の開口部21´が形成される。次に、図6(b)に示されるように、少なくとも1つの第2の開口部19´が、ハードマスク層16内及び部分的に誘電体層15内に形成される。開口部19´は、開口部21´の下方に形成され、該開口部21´のものより少ない横方向範囲を有する。開口部19´及び21´は、従来のリソグラフィ及びRIE等のいずれかの適切な技術によって形成することができる。
次に、図6(c)に示されるように、開口部19´及び21´が、誘電体層15内に拡張される。例えばRIEを用いて、トレンチ21´及びビア19´を形成することができる。開口部21´は、誘電体層15内に部分的に延び、開口部19´は、誘電体層15を貫通して延び、これにより導電性13が露出される。
次に、図6(d)に示されるように、開口部19´及び21´が、第1の導電性材料19で充填される。任意に、これらの開口部を導電性材料19で充填する前に、該開口部の底部及び側壁上に導電性ライナ18を堆積させることもできる。導電性材料19は、タングステンのような超硬合金であることが好ましく、CVDによって堆積させることができる。導電性材料がタングステンである場合、フッ素が低k(low−k)の誘電体材料15を侵す危険を最小にするように、タングステン・ヘキサカルボニル前駆体がWFを覆っていることが好ましい。ライナ18は、窒化チタン、タンタル、窒化タンタル、又はタングステンで形成されることが好ましく、PVD又はCVDによって堆積させることができる。ライナ材料18及び導電性材料19の堆積に続いて、CMP又はスパッタ・エッチバックによって余分な材料を除去し、導電性材料19の上面を誘電体材料15の上面と同一平面にすることが好ましい。
次に、図6(e)に示されるように、第1の導電性材料19及びライナ18の上部が除去され、これにより開口部21´´が形成される。例えば、フッ素ベースの化学剤を用いる乾式エッチング、Hを用いる湿式エッチング、又は電子エッチングによって、タングステン19及びライナ18をエッチバックすることができる。
最後に、図6(f)に示されるように、開口部21´´が、第2の導電性材料21で充填される。任意に、開口部21´´を導電性材料21で充填する前に、開口部21´´の底部及び側壁上に第2の導電性ライナ20を堆積させることができる。導電性材料21は銅であることが好ましく、銅めっきによって堆積させることができる。
図5(a)乃至図5(f)に示される方法を用いて、図2に示される実施形態を形成することができる。また、この方法の開始点も、少なくとも1つの第1の導体13が内部に埋め込まれた第1の誘電体層10を含む基板である。第1の導体13の上面は、誘電体層10の上面と同一平面にある。前に説明されたように、任意に、ハードマスク層11を誘電体層10上に堆積させることができ、その場合、導体13の上面は、ハードマスク層11の上面と同一平面にある。任意に、導体13と誘電体層10との間に導電性ライナ12が配置される。
図5(a)に示されるように、この方法は、第2の誘電体層15、任意にハードマスク層16及び17の堆積で始まる。図5(b)に示されるように、ハードマスク層16及び17内に少なくとも1つの第1の開口部19´が形成され、これにより第1の導体13が露出される。開口部19´は、従来のリソグラフィ及び反応性イオン・エッチング(RIE)等のいずれかの適切な技術によって形成することができる。
次に、図5(c)に示されるように、ライナ18が、開口部19´の底部及び側壁上に堆積される。ライナ18は、ハードマスク層17上に堆積させることもできる。ライナ18は、窒化チタン、タンタル、窒化タンタル、又はタングステンで形成されることが好ましく、約5nmから100nmまでの厚さを有することが好ましい。
次に、図5(d)に示されるように、開口部19´が、反射防止コーティング(ARC)のような犠牲材料22で充填される。例えば、ARC22の層をライナ18上に及び開口部19´内に堆積させることができ、次に、フォトレジスト23の層をARC22上に堆積させることができる。次に、フォトレジスト23及びARC22内に開口部21´が形成され、この開口部は、ライナ18及びハードマスク層17に転写される。
図5(e)において、フォトレジスト23及びARC22が、基板の表面から剥離され、ARC22が開口部19´から除去される。レジストの剥離中、超硬合金ライナ18が、低k(low−k)の誘電体材料15を保護する。次に、ライナ18が、基板の表面からエッチバックされ、開口部21´は、ハードマスク層16及び誘電体層15に転写される。
最後に、図5(f)に示されるように、第2のライナ20が、開口部19´及び21´の底部及び側壁上に堆積され、これらの開口部は、導電性材料21で充填される。ライナ材料20及び導電性材料21の堆積に続いて、例えばCMPを用いて、導体21の上面が、誘電体層15又はハードマスク層16の上面と同一平面にされる。第2の導体のビア又はスタッド部分の底部及び側壁が、ライン導体を囲むライナより厚いライナで囲まれていることに注意されたい。言い換えれば、ビア又はスタッド部分は、第1のライナ18及び第2のライナ20を含む二重の厚いライナで囲まれており、ライン導体は、第2のライナ20だけで囲まれている。
図7(a)乃至図7(f)に示される方法を用いて、図3に示される実施形態を形成することができる。図7(e)に示されるように、この方法は、第1の導電性材料19の上部を除去するステップの際にライナ18が除去されないという点で、図6(a)乃至図6(f)に示される方法と異なる。また、この方法の開始点は、少なくとも1つの第1の導体13が内部に埋め込まれた第1の誘電体層10を含む基板である。第1の導体13の上面は、誘電体層10の上面と同一平面にある。前に説明されたように、任意に、ハードマスク層11を誘電体層10上に堆積させることができ、その場合、導体13の上面は、ハードマスク層11の上面と同一平面にある。任意に、導体13と誘電体層10との間に導電性ライナ12が配置される。
図7(a)に示されるように、この方法は、第2の誘電体層15、任意にハードマスク層16及び17の堆積で始まる。ハードマスク層17内に少なくとも1つの開口部21´が形成される。次に、図7(b)に示されるように、少なくとも1つの第2の開口部19´が、ハードマスク層16内及び部分的に誘電体層15内に形成される。開口部19´は、開口部21´の下方に形成され、該開口部21´のものより少ない横方向範囲を有する。開口部19´及び21´は、従来のリソグラフィ及びRIE等のいずれかの適切な技術によって形成することができる。
次に、図7(c)に示されるように、開口部19´及び21´が、誘電体層15内に拡張される。例えばRIEを用いて、トレンチ21´及びビア19´を形成することができる。開口部21´は、誘電体層15内に部分的に延び、開口部19´は、誘電体層15を貫通して延び、これにより導電性13が露出される。
次に、図7(d)に示されるように、開口部19´及び21´が、第1の導電性材料19で充填される。任意に、これらの開口部を導電性材料19で充填する前に、該開口部の底部及び側壁上に導電性ライナ18を堆積させることもできる。導電性材料19は、タングステンのような超硬合金であることが好ましく、CVDによって堆積させることができる。導電性材料がタングステンであるとき、フッ素が低k(low−k)の誘電体材料15を侵す危険を最小にするように、タングステン・ヘキサカルボニル前駆体がWFを覆っていることが好ましい。ライナ18は、窒化チタン、タンタル、窒化タンタル、又はタングステンで形成されることが好ましく、PVD又はCVDによって堆積させることができる。ライナ材料18及び導電性材料19の堆積に続いて、CMP又はスパッタ・エッチバックによって余分な材料を除去し、導電性材料19の上面を誘電体材料15の上面と同一平面にすることが好ましい。
次に、図7(e)に示されるように、第1の導電性材料19の上部が除去されるが、ライナ18は除去されず、これにより開口部21´´が形成される。例えば、フッ素ベースの化学剤を用いる乾式エッチング、Hを用いる湿式エッチング、又は電子エッチングによって、タングステン19をエッチバックすることができる。タングステンのエッチング中、超硬合金ライナ18が、低k(low−k)の誘電体材料15を保護する。
最後に、図7(f)に示されるように、開口部21´´が、第2の導電性材料21で充填される。この実施形態を用いる場合、導電性材料21を堆積させる前に、開口部21´´の底部及び側壁上に第2の導電性ライナを堆積させる必要はない。しかしながら、導電性材料21を堆積させる前に、第2の導電性ライナ(図示せず)をライナ18及び導電性材料19の上に堆積させることができる。第2の導電性ライナは、窒化タンタル及び/又はタンタルで形成することが好ましく、約1nmから10nmまでの厚さを有する。第2のライナを堆積させる前に、スパッタ清浄を用いて、第1のライナ18の表面から汚れを取り除くことができる。導電性材料21は、銅であることが好ましく、銅めっきによって堆積させることができる。
本発明の方法には、2つの基本的な実施形態があることに注意されたい。第1の実施形態が、図4(a)乃至図4(f)及び図5(a)乃至図5(f)において2つの変形で示される。この第1の実施形態においては、ビア開口部が最初に形成され、該ビアは、トレンチ開口部を形成する前に、超硬合金のような第1の導電性材料で充填される。第2の実施形態が、図6(a)乃至図6(f)及び図7(a)乃至図7(f)において2つの変形で示される。この第2の実施形態においては、ビア開口部及びトレンチ開口部の両方が最初に形成され、次に、これらの開口部は、超硬合金のような第1の導電性材料で充填される。この第2の実施形態について示される2つの変形は、最初にビア開口部を形成し、次にトレンチ開口部を形成するステップを含むが、第2の実施形態は、最初にトレンチ開口部を形成し、次にビア開口部を形成することによって実施することもできる。
本発明においては、トレンチに薄い超硬合金だけを用いながら、改善された機械的強度を得るために、ビアに厚い超硬合金を用いることが好ましい。このことは、例えば、第1の導電性材料19のために超硬合金を用いることによって、図1及び図3において達成される。図2において、このことは、両ライナ18及び20のために超硬合金を用いることによって達成され、これによりビア又はスタッドのための厚い超硬合金ライナ、及びライン導体のための薄い超硬合金ライナが形成される。図5(e)におけるようなフォトレジストの剥離中、及び図7(e)におけるようなタングステンのエッチバック中、超硬合金ライナは、低k(low−k)の誘電体材料15を保護することもできる。
本発明は、特定の好ましい実施形態及び他の代替的な実施形態と共に具体的に説明されたが、当業者には、上記の説明に照らして、多数の代替、修正、及び変形が明らかであることは明白である。したがって、添付の特許請求の範囲は、本発明の真の範囲及び精神を含むものとして、全ての代替、修正、及び変形を含むことが意図される。
ビアがライン導体のものと異なる材料で形成され、ビア及びライン導体の底部及び側壁が各々別個のライナで完全に囲まれている、本発明の第1の実施形態による相互接続構造体を示す。 ライン導体を囲むライナより厚い厚さを有するライナでビアが囲まれている、本発明の第2の実施形態による相互接続構造体である。 ライン導体を囲むライナより厚い厚さを有するライナでビアが囲まれており、ビア及びライン導体の底部及び側壁がライナで囲まれているが、該ライナはビアを該ライン導体から分離していない、本発明の第3の実施形態による相互接続構造体である。 (a)図1に示される相互接続構造体を形成する方法を示す。 (b)図1に示される相互接続構造体を形成する方法を示す。 (c)図1に示される相互接続構造体を形成する方法を示す。 (d)図1に示される相互接続構造体を形成する方法を示す。 (e)図1に示される相互接続構造体を形成する方法を示す。 (f)図1に示される相互接続構造体を形成する方法を示す。 (a)図2に示される相互接続構造体を形成する方法を示す。 (b)図2に示される相互接続構造体を形成する方法を示す。 (c)図2に示される相互接続構造体を形成する方法を示す。 (d)図2に示される相互接続構造体を形成する方法を示す。 (e)図2に示される相互接続構造体を形成する方法を示す。 (f)図2に示される相互接続構造体を形成する方法を示す。 (a)図1に示される相互接続構造体を形成する方法を示す。 (b)図1に示される相互接続構造体を形成する方法を示す。 (c)図1に示される相互接続構造体を形成する方法を示す。 (d)図1に示される相互接続構造体を形成する方法を示す。 (e)図1に示される相互接続構造体を形成する方法を示す。 (f)図1に示される相互接続構造体を形成する方法を示す。 (a)図3に示される相互接続構造体を形成する方法を示す。 (b)図3に示される相互接続構造体を形成する方法を示す。 (c)図3に示される相互接続構造体を形成する方法を示す。 (d)図3に示される相互接続構造体を形成する方法を示す。 (e)図3に示される相互接続構造体を形成する方法を示す。 (f)図3に示される相互接続構造体を形成する方法を示す。
符号の説明
10、15:誘電体層
13:導体
16、17:ハードマスク層
18、20:ライナ
19:第1の部分(ビア又はスタッド)
19´19´´、21´、21´´:開口部
21:第2の部分(ライン導体)

Claims (24)

  1. 基板上に形成された相互接続構造体であって、前記構造体が、上面が誘電体材料の層の上面と同一平面にあるように少なくとも1つの第1の導体が埋め込まれた第1の誘電体材料の第1の層と、誘電体材料の前記第1の層の上に重なり、前記誘電体材料の層内に、上面が該誘電体材料の層の上面と同一平面にあるように少なくとも1つの第2の導体が埋め込まれた第2の誘電体材料の第2の層とを含み、前記第2の導体は少なくとも1つの第1の部分と前記第1の部分とは異なる材料で形成された少なくとも1つの第2の部分とを含み、該第1の部分が前記第1の導体と電気的に接触しており、前記第2の部分が該第1の部分の上に重なって該第1の部分と電気的に接触しており、該第2の部分は該第1の部分のものより大きい横方向範囲を有し、前記第2の部分の上面は、誘電体材料の前記第2の層の上面と同一平面にある、相互接続構造体。
  2. 前記第1の部分と前記第2の誘電体材料との間及び該第1の部分と前記第1の導体との間に配置された第1の導電性ライナと、前記第2の部分と該第2の誘電体材料との間及び該第2の部分と該第1の部分との間に配置された第2の導電性ライナとをさらに含み、前記第2のライナは前記第1のライナとは異なる材料で形成される、請求項1に記載の相互接続構造体。
  3. 誘電体材料の前記第2の層の上に重なるハードマスク層をさらに含み、前記第2の導体の前記第2の部分の上面は、前記ハードマスク層の上面と同一平面にある、請求項1又は請求項2に記載の相互接続構造体。
  4. 前記第2の誘電体材料が前記第1の誘電体材料と同じものである、請求項1、請求項2、又は請求項3に記載の相互接続構造体。
  5. 前記第2の誘電体材料が前記第1の誘電体材料とは異なるものである、請求項1乃至請求項4のいずれか1項に記載の相互接続構造体。
  6. 前記第2の部分が銅で形成され、前記第1の部分がタングステンで形成される、請求項1乃至請求項5のいずれか1項に記載の相互接続構造体。
  7. 前記第1のライナが、窒化チタン、タンタル、窒化タンタル、及びタングステンからなる群から選択される材料で形成され、前記第2のライナが、窒化タンタル及びタンタルからなる群から選択される材料で形成される、請求項2に記載の相互接続構造体。
  8. 前記第2のライナの厚さが前記第1のライナの厚さより薄い、請求項2に記載の相互接続構造体。
  9. 基板上に形成された相互接続構造体であって、前記構造体が、上面が誘電体材料の層の上面と同一平面にあるように少なくとも1つの第1の導体が埋め込まれた第1の誘電体材料の第1の層と、誘電体材料の前記第1の層の上に重なり、前記誘電体材料の層内に、上面が該誘電体材料の層の上面と同一平面にあるように少なくとも1つの第2の導体が埋め込まれた第2の誘電体材料の第2の層とを含み、前記第2の導体は少なくとも1つの第1の部分と少なくとも1つの第2の部分とを含み、前記第1の部分は前記第1の導体と電気的に接触しており、前記第2の部分が該第1の部分の上に重なって該第1の部分と電気的に接触しており、該第2の部分は該第1の部分のものより大きい横方向範囲を有し、前記第2の部分の上面は、誘電体材料の前記第2の層の上面と同一平面にあり、第1の導電性ライナが、該第1の部分と前記第2の誘電体材料との間及び該第1の部分と該第1の導体との間に配置され、厚さが前記第1のライナより薄い第2のライナが、該第2の部分と該第2の誘電体材料との間に配置される、相互接続構造体。
  10. 前記誘電体材料の第2の層の上に重なるハードマスク層をさらに含み、前記第2の導体の前記第2の部分の上面は、前記ハードマスク層の上面と同一平面にある、請求項9に記載の相互接続構造体。
  11. 前記第2の誘電体材料が前記第1の誘電体材料と同じものである、請求項9又は請求項10に記載の相互接続構造体。
  12. 前記第2の誘電体材料が前記第1の誘電体材料とは異なるものである、請求項9、請求項10、又は請求項11に記載の相互接続構造体。
  13. 前記第2の部分が銅で形成される、請求項9乃至請求項12のいずれか1項に記載の相互接続構造体。
  14. 前記第1のライナが、窒化チタン、タンタル、窒化タンタル、及びタングステンからなる群から選択される材料で構成され、前記第2のライナが、窒化タンタル及びタンタルからなる群から選択される材料で構成される、請求項9乃至請求項13のいずれか1項に記載の相互接続構造体。
  15. 前記第2の導体と前記第2の誘電体材料との間及び該第2の導体と前記第1の導体との間に配置される導電性ライナをさらに含む、請求項9乃至請求項14のいずれか1項に記載の相互接続構造体。
  16. 誘電体材料の前記第2の層の上に重なるハードマスク層をさらに含み、前記第2の導体の前記第2の部分の上面は、前記ハードマスク層の上面と同一平面にある上面を有する、請求項15に記載の相互接続構造体。
  17. 前記第2の誘電体材料が前記第1の誘電体材料と同じものである、請求項15に記載の相互接続構造体。
  18. 前記第2の誘電体材料が前記第1の誘電体材料とは異なるものである、請求項15に記載の相互接続構造体。
  19. 前記ライナが、窒化チタン、タンタル、窒化タンタル、及びタングステンからなる群から選択される材料から構成される、請求項15乃至請求項18のいずれか1項に記載の相互接続構造体。
  20. 上面が誘電体材料の層の上面と同一平面にあるように少なくとも1つの第1の導体が埋め込まれた第1の誘電体材料の第1の層を含む基板上に相互接続構造体を形成する方法であって、
    前記方法が、誘電体材料の前記第1の層上に第2の誘電体材料の第2の層を堆積させるステップと、
    誘電体材料の前記第2の層内に少なくとも1つの第1の開口部を形成して前記第1の導体を部分的に露出させるステップと、
    前記第1の開口部を第1の導電性材料で充填するステップと、
    前記第1の導電性材料の上部を除去するステップと、
    誘電体材料の該第2の層内に、該第1の導電性材料の上に重なり、該第1の開口部のものより大きい横方向範囲を有する少なくとも1つの第2の開口部を形成するステップと、
    前記第2の開口部を、前記第1の導電性材料とは異なる第2の導電性材料により、該第2の導電性材料の上面が誘電体材料の該第2の層の上面に対し同一平面にあるように充填するステップとを含む方法。
  21. 前記第1の開口部を前記第1の導電性材料で充填する前に、該第1の開口部の底部及び側壁上に第1の導電性ライナを堆積させるステップと、
    前記第2の開口部を前記第2の導電性材料で充填する前に、該第2の開口部の底部及び側壁上に第2の導電性ライナを堆積させるステップとをさらに含む、請求項20に記載の方法。
  22. 上面が誘電体材料の層の上面と同一平面にあるように少なくとも1つの第1の導体が埋め込まれた第1の誘電体材料の第1の層を含む基板上に相互接続構造体を形成する方法であって、
    前記方法が、誘電体材料の前記第1の層上に第2の誘電体材料の第2の層を堆積させるステップと、
    誘電体材料の前記第2の層内に少なくとも1つの第1の開口部を形成し、前記第1の導体を部分的に露出させるステップと、
    前記第1の開口部の底部及び側壁上に第1の導電性ライナを堆積させるステップと、
    前記第1の開口部を犠牲材料で充填するステップと、
    誘電体材料の該第2の層内に、該第1の開口部の上に重なり、該第1の開口部のものより大きい横方向範囲を有する少なくとも1つの第2の開口部を形成するステップと、
    前記犠牲材料を除去するステップと、
    前記第2の開口部の底部及び側壁上及び前記第1のライナ上に第2の導電性ライナを堆積させるステップと、
    前記第2の開口部を、導電性材料により、前記第2の導体の上面が誘電体材料の該第2の層の上面に対し同一平面にあるように充填するステップとを含む方法。
  23. 上面が誘電体材料の層の上面と同一平面にあるように少なくとも1つの第1の導体が埋め込まれた第1の誘電体材料の第1の層を含む基板上に相互接続構造体を形成する方法であって、
    前記方法が、誘電体材料の前記第1の層上に第2の誘電体材料の第2の層を堆積させるステップと、
    誘電体材料の前記第2の層内に、誘電体材料の該第2の層を部分的に通って延びるが前記第1の導体を露出させない少なくとも1つの第1の開口部を形成するステップと、
    誘電体材料の該第2の層内に、前記第1の開口部の上に重なり、該第1の開口部のものより大きい横方向範囲を有する少なくとも1つの第2の開口部を形成し、誘電体材料の残りを通して該第1の開口部を延ばして該第1の導体を露出させるステップと、
    前記第1及び第2の開口部の底部及び側壁上に第1の導電性ライナを堆積させるステップと、該第1及び第2の開口部を第1の導電性材料で充填するステップと、
    前記第2の開口部から前記第1の導電性材料及び前記第1のライナを除去するステップと、
    前記第2の開口部の底部及び側壁上に第2の導電性ライナを堆積させるステップと、
    前記第2の開口部を、前記第1の導電性材料とは異なる第2の導電性材料により、該第2の導電性材料の上面が誘電体材料の該第2の層の上面に対し同一平面にあるように充填するステップとを含む方法。
  24. 上面が誘電体材料の層の上面と同一平面にあるように少なくとも1つの第1の導体が埋め込まれた第1の誘電体材料の第1の層を含む基板上に相互接続構造体を形成する方法であって、
    前記方法が、誘電体材料の前記第1の層上に第2の誘電体材料の第2の層を堆積させるステップと、
    誘電体材料の前記第2の層内に、誘電体材料の該第2の層を部分的に通って延びるが前記第1の導体を露出させない少なくとも1つの第1の開口部を形成するステップと、
    誘電体材料の該第2の層内に、前記第1の開口部の上に重なり、該第1の開口部のものより大きい横方向範囲を有する少なくとも1つの第2の開口部を形成し、誘電体材料の残りを通して該第1の開口部を延ばし、該第1の導体を露出させるステップと、
    前記第1及び第2の開口部の底部及び側壁上に導電性ライナを堆積させるステップと、
    前記第1及び第2の開口部を第1の導電性材料で充填するステップと、
    前記第2の開口部から前記第1の導電性材料を除去するステップと、
    前記第2の開口部を、該第1の導電性材料とは異なる第2の導電性材料により、該第2の導電性材料の上面が誘電体材料の該第2の層の上面に対し同一平面にあるように充填するステップとを含む方法。
JP2006516136A 2003-06-23 2004-06-14 ライン及びビア導体のための異なる材料を有するデュアル・ダマシン相互接続構造体 Pending JP2009514186A (ja)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US10/604,026 US6958540B2 (en) 2003-06-23 2003-06-23 Dual damascene interconnect structures having different materials for line and via conductors
PCT/EP2004/051046 WO2004114395A2 (en) 2003-06-23 2004-06-14 Dual damascene interconnect structures having different materials for line and via conductors

Publications (1)

Publication Number Publication Date
JP2009514186A true JP2009514186A (ja) 2009-04-02

Family

ID=33539856

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2006516136A Pending JP2009514186A (ja) 2003-06-23 2004-06-14 ライン及びビア導体のための異なる材料を有するデュアル・ダマシン相互接続構造体

Country Status (7)

Country Link
US (3) US6958540B2 (ja)
EP (1) EP1649510A2 (ja)
JP (1) JP2009514186A (ja)
KR (1) KR100772602B1 (ja)
CN (1) CN100405574C (ja)
TW (2) TWI345818B (ja)
WO (1) WO2004114395A2 (ja)

Families Citing this family (29)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI242835B (en) * 2003-08-29 2005-11-01 Nanya Technology Corp Structure of interconnects and fabricating method thereof
US7169698B2 (en) * 2004-01-14 2007-01-30 International Business Machines Corporation Sacrificial inorganic polymer intermetal dielectric damascene wire and via liner
KR100621630B1 (ko) * 2004-08-25 2006-09-19 삼성전자주식회사 이종 금속을 이용하는 다마신 공정
US7352064B2 (en) * 2004-11-04 2008-04-01 International Business Machines Corporation Multiple layer resist scheme implementing etch recipe particular to each layer
US7651942B2 (en) * 2005-08-15 2010-01-26 Infineon Technologies Ag Metal interconnect structure and method
US7488679B2 (en) * 2006-07-31 2009-02-10 International Business Machines Corporation Interconnect structure and process of making the same
US7749894B2 (en) * 2006-11-09 2010-07-06 Chartered Semiconductor Manufacturing Ltd. Integrated circuit processing system
JP4506767B2 (ja) * 2007-02-28 2010-07-21 カシオ計算機株式会社 半導体装置の製造方法
US20080230907A1 (en) * 2007-03-22 2008-09-25 Chartered Semiconductor Manufacturing Ltd. Integrated circuit system with carbon enhancement
US7812461B2 (en) 2007-03-27 2010-10-12 Micron Technology, Inc. Method and apparatus providing integrated circuit having redistribution layer with recessed connectors
US7615484B2 (en) * 2007-04-24 2009-11-10 Chartered Semiconductor Manufacturing Ltd. Integrated circuit manufacturing method using hard mask
US7951414B2 (en) * 2008-03-20 2011-05-31 Micron Technology, Inc. Methods of forming electrically conductive structures
DE102011002769B4 (de) * 2011-01-17 2013-03-21 Globalfoundries Dresden Module One Limited Liability Company & Co. Kg Halbleiterbauelement und Verfahren zur Herstellung einer Hybridkontaktstruktur mit Kontakten mit kleinem Aspektverhältnis in einem Halbleiterbauelement
CN102437098A (zh) * 2011-09-08 2012-05-02 上海华力微电子有限公司 一种降低接触孔电阻的接触孔形成方法
CN102437097A (zh) * 2011-09-08 2012-05-02 上海华力微电子有限公司 一种新的接触孔的制造方法
CN102437099A (zh) * 2011-09-08 2012-05-02 上海华力微电子有限公司 一种降低接触孔电阻的接触孔结构形成方法
US9252050B2 (en) 2012-09-11 2016-02-02 International Business Machines Corporation Method to improve semiconductor surfaces and polishing
US9312203B2 (en) * 2013-01-02 2016-04-12 Globalfoundries Inc. Dual damascene structure with liner
US9716035B2 (en) 2014-06-20 2017-07-25 Taiwan Semiconductor Manufacturing Company, Ltd. Combination interconnect structure and methods of forming same
US10727122B2 (en) 2014-12-08 2020-07-28 International Business Machines Corporation Self-aligned via interconnect structures
US9837309B2 (en) 2015-11-19 2017-12-05 International Business Machines Corporation Semiconductor via structure with lower electrical resistance
US9735051B2 (en) * 2015-12-14 2017-08-15 International Business Machines Corporation Semiconductor device interconnect structures formed by metal reflow process
KR102142795B1 (ko) * 2016-02-02 2020-09-14 도쿄엘렉트론가부시키가이샤 선택적 증착을 이용한 금속 및 비아의 자기 정렬
KR20170110332A (ko) * 2016-03-23 2017-10-11 삼성전자주식회사 반도체 장치 및 그 제조 방법
US10211153B2 (en) 2016-08-30 2019-02-19 International Business Machines Corporation Low aspect ratio interconnect
TWI625991B (zh) * 2016-10-17 2018-06-01 南亞電路板股份有限公司 電路板結構與其製造方法
US10236206B2 (en) * 2017-07-03 2019-03-19 Globalfoundries Inc. Interconnects with hybrid metallization
CN107946332B (zh) * 2017-11-22 2021-07-23 德淮半导体有限公司 半导体结构、cmos图像传感器及其制备方法
US10395986B1 (en) 2018-05-30 2019-08-27 International Business Machines Corporation Fully aligned via employing selective metal deposition

Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH04162452A (ja) * 1990-10-24 1992-06-05 Nec Kyushu Ltd 半導体装置の製造方法
JPH09306994A (ja) * 1996-05-16 1997-11-28 Lg Semicon Co Ltd 半導体装置の配線形成方法
JP2001007200A (ja) * 1999-06-21 2001-01-12 Matsushita Electronics Industry Corp 配線の形成方法
JP2001015510A (ja) * 1999-06-28 2001-01-19 Hitachi Ltd 半導体装置およびその製造方法
JP2002368081A (ja) * 2001-06-06 2002-12-20 Sony Corp 半導体装置の製造方法

Family Cites Families (18)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5739579A (en) * 1992-06-29 1998-04-14 Intel Corporation Method for forming interconnections for semiconductor fabrication and semiconductor device having such interconnections
US5453575A (en) 1993-02-01 1995-09-26 Endosonics Corporation Apparatus and method for detecting blood flow in intravascular ultrasonic imaging
US6285082B1 (en) 1995-01-03 2001-09-04 International Business Machines Corporation Soft metal conductor
US5614765A (en) 1995-06-07 1997-03-25 Advanced Micro Devices, Inc. Self aligned via dual damascene
JP3228181B2 (ja) * 1997-05-12 2001-11-12 ヤマハ株式会社 平坦配線形成法
US6069068A (en) * 1997-05-30 2000-05-30 International Business Machines Corporation Sub-quarter-micron copper interconnections with improved electromigration resistance and reduced defect sensitivity
US5821168A (en) * 1997-07-16 1998-10-13 Motorola, Inc. Process for forming a semiconductor device
US6127258A (en) 1998-06-25 2000-10-03 Motorola Inc. Method for forming a semiconductor device
US6297149B1 (en) * 1999-10-05 2001-10-02 International Business Machines Corporation Methods for forming metal interconnects
US6383821B1 (en) 1999-10-29 2002-05-07 Conexant Systems, Inc. Semiconductor device and process
JP2001319928A (ja) 2000-05-08 2001-11-16 Hitachi Ltd 半導体集積回路装置およびその製造方法
US6403456B1 (en) * 2000-08-22 2002-06-11 Advanced Micro Devices, Inc. T or T/Y gate formation using trim etch processing
US20020086519A1 (en) * 2000-12-29 2002-07-04 Houston Theodore W. Stacked vias and method
US6537913B2 (en) * 2001-06-29 2003-03-25 Intel Corporation Method of making a semiconductor device with aluminum capped copper interconnect pads
TW522479B (en) 2001-12-07 2003-03-01 Taiwan Semiconductor Mfg Method of forming dual-damascene
US20040108217A1 (en) * 2002-12-05 2004-06-10 Dubin Valery M. Methods for forming copper interconnect structures by co-plating of noble metals and structures formed thereby
US7060619B2 (en) * 2003-03-04 2006-06-13 Infineon Technologies Ag Reduction of the shear stress in copper via's in organic interlayer dielectric material
US20040222527A1 (en) * 2003-05-06 2004-11-11 Dostalik William W. Dual damascene pattern liner

Patent Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH04162452A (ja) * 1990-10-24 1992-06-05 Nec Kyushu Ltd 半導体装置の製造方法
JPH09306994A (ja) * 1996-05-16 1997-11-28 Lg Semicon Co Ltd 半導体装置の配線形成方法
JP2001007200A (ja) * 1999-06-21 2001-01-12 Matsushita Electronics Industry Corp 配線の形成方法
JP2001015510A (ja) * 1999-06-28 2001-01-19 Hitachi Ltd 半導体装置およびその製造方法
JP2002368081A (ja) * 2001-06-06 2002-12-20 Sony Corp 半導体装置の製造方法

Also Published As

Publication number Publication date
KR20060025149A (ko) 2006-03-20
US20040262764A1 (en) 2004-12-30
US20080026566A1 (en) 2008-01-31
WO2004114395A2 (en) 2004-12-29
TW200512874A (en) 2005-04-01
CN100405574C (zh) 2008-07-23
EP1649510A2 (en) 2006-04-26
US7704876B2 (en) 2010-04-27
KR100772602B1 (ko) 2007-11-02
TWI345818B (en) 2011-07-21
US20050245068A1 (en) 2005-11-03
US6958540B2 (en) 2005-10-25
WO2004114395A3 (en) 2005-02-10
US7300867B2 (en) 2007-11-27
TW200931593A (en) 2009-07-16
CN1799137A (zh) 2006-07-05

Similar Documents

Publication Publication Date Title
US7704876B2 (en) Dual damascene interconnect structures having different materials for line and via conductors
US6838355B1 (en) Damascene interconnect structures including etchback for low-k dielectric materials
JP4162241B2 (ja) 犠牲無機ポリマ金属間誘電体を用いたダマシン配線およびビア・ライナ
KR100389174B1 (ko) 금속 캐패시터 및 이의 형성 방법
US6924228B2 (en) Method of forming a via contact structure using a dual damascene technique
US8138082B2 (en) Method for forming metal interconnects in a dielectric material
KR100702549B1 (ko) 반도체 인터커넥트 구조 상의 금속층 증착 방법
JP3870031B2 (ja) キャパシタ構造およびその製造方法
US7662722B2 (en) Air gap under on-chip passive device
US6011311A (en) Multilevel interconnect structure for integrated circuits
US20080203579A1 (en) Sacrificial metal spacer dual damascene
US20020064941A1 (en) Composite silicon-metal nitride barrier to prevent formation of metal fluorides in copper damascene
US20070155165A1 (en) Methods for forming damascene wiring structures having line and plug conductors formed from different materials
JP4084513B2 (ja) デュアルダマシン(dualdamascene)の製造方法
US7071100B2 (en) Method of forming barrier layer with reduced resistivity and improved reliability in copper damascene process
US20120142188A1 (en) Anchored damascene structures
JP2009224816A (ja) 半導体装置のマスク層および二重ダマシーン相互接続構造
JP2008004939A (ja) デバイス、方法(mimキャパシタおよびその製造方法)
US6406992B1 (en) Fabrication method for a dual damascene structure
US6660619B1 (en) Dual damascene metal interconnect structure with dielectric studs
US20030170978A1 (en) Method of fabricating a dual damascene structure on a semiconductor substrate
US6403471B1 (en) Method of forming a dual damascene structure including smoothing the top part of a via
US6417106B1 (en) Underlayer liner for copper damascene in low k dielectric
US7662711B2 (en) Method of forming dual damascene pattern
US8048799B2 (en) Method for forming copper wiring in semiconductor device

Legal Events

Date Code Title Description
A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20100427

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20110322

A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20110406

Free format text: JAPANESE INTERMEDIATE CODE: A821

Effective date: 20110406

RD12 Notification of acceptance of power of sub attorney

Free format text: JAPANESE INTERMEDIATE CODE: A7432

Effective date: 20110406

A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A821

Effective date: 20110408

A02 Decision of refusal

Free format text: JAPANESE INTERMEDIATE CODE: A02

Effective date: 20110526

A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20110915

Free format text: JAPANESE INTERMEDIATE CODE: A821

Effective date: 20110915

A911 Transfer to examiner for re-examination before appeal (zenchi)

Free format text: JAPANESE INTERMEDIATE CODE: A911

Effective date: 20110922

A912 Re-examination (zenchi) completed and case transferred to appeal board

Free format text: JAPANESE INTERMEDIATE CODE: A912

Effective date: 20111028

A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A821

Effective date: 20120702

A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A821

Effective date: 20121113

RD14 Notification of resignation of power of sub attorney

Free format text: JAPANESE INTERMEDIATE CODE: A7434

Effective date: 20121113