CN100405574C - 对线路和通孔导体使用不同材料的双重镶嵌互连结构 - Google Patents

对线路和通孔导体使用不同材料的双重镶嵌互连结构 Download PDF

Info

Publication number
CN100405574C
CN100405574C CNB2004800149580A CN200480014958A CN100405574C CN 100405574 C CN100405574 C CN 100405574C CN B2004800149580 A CNB2004800149580 A CN B2004800149580A CN 200480014958 A CN200480014958 A CN 200480014958A CN 100405574 C CN100405574 C CN 100405574C
Authority
CN
China
Prior art keywords
electric conducting
conducting material
lining
layer
conductor
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Fee Related
Application number
CNB2004800149580A
Other languages
English (en)
Other versions
CN1799137A (zh
Inventor
杰弗里·冈比诺
艾德华·考尼三世
安托尼·斯塔姆贝尔
托马斯·莫特西夫
迈克尔·莱恩
安德鲁·西蒙
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
International Business Machines Corp
Original Assignee
International Business Machines Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by International Business Machines Corp filed Critical International Business Machines Corp
Publication of CN1799137A publication Critical patent/CN1799137A/zh
Application granted granted Critical
Publication of CN100405574C publication Critical patent/CN100405574C/zh
Anticipated expiration legal-status Critical
Expired - Fee Related legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76807Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures
    • H01L21/76811Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures involving multiple stacked pre-patterned masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76807Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76807Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures
    • H01L21/76808Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures involving intermediate temporary filling with material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76807Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures
    • H01L21/76813Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures involving a partial via etch
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric
    • H01L21/76846Layer combinations
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76853Barrier, adhesion or liner layers characterized by particular after-treatment steps
    • H01L21/76865Selective removal of parts of the layer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/53204Conductive materials
    • H01L23/53209Conductive materials based on metals, e.g. alloys, metal silicides
    • H01L23/53228Conductive materials based on metals, e.g. alloys, metal silicides the principal metal being copper
    • H01L23/53238Additional layers associated with copper layers, e.g. adhesion, barrier, cladding layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/5329Insulating materials
    • H01L23/53295Stacked insulating layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/0001Technical content checked by a classifier
    • H01L2924/0002Not covered by any one of groups H01L24/00, H01L24/00 and H01L2224/00

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)

Abstract

本发明公开形成双重镶嵌后端线(BEOL)互连结构的方法,用于线路导体的材料和用于通孔或接线柱的材料不同,或者用于通孔衬套的材料和用于沟槽衬套的材料不同,或者通孔衬套的厚度和沟槽衬套的厚度不同,优选地,厚的难熔金属用于通孔中以机械强度,而在沟槽中仅使用薄的难熔金属以提供低电阻。

Description

对线路和通孔导体使用不同材料的双重镶嵌互连结构
技术领域
本发明涉及集成电路中后端线(BEOL)互连结构的形成。更具体地,本发明涉及使用通孔或接线柱材料来形成双重镶嵌互连结构的新方法,其中通孔或接线柱的材料不同于用于线路导体的材料。
背景技术
半导体工业发展规划要求降低多电平芯片内互连周围绝缘材料的介电常数。介电常数必须降低从而减少集成电路中的寄生电容负载,同时减少相邻互连之间的电容耦合。
介电常数的减少通常伴随着绝缘体机械性能的降低,例如,模数,硬度,导热性以及断裂韧度。由于与衬底和金属互连的热膨胀不匹配,在结构中可以形成相当大的应力。这些应力可以在热循环期间引起铜制通孔或接线柱的疲劳,从而在流量或可靠性方面产生问题。因此就需要一种方法来改进用低k材料制作的通孔的强度。
通孔的强度可以通过下列途径得到改进,即,使用难熔金属来取代铜制作通孔,或与线路导体相比较,增加通孔周围的难熔金属衬套的厚度。迄今为止,通孔和线路使用不同材料或具有不同衬套厚度的互连结构的形成只能通过使用一系列单一镶嵌的制作步骤来完成。更具体地,首先沉积通孔层(via-level)介电层,然后在介电材料中形成通孔的通道口,接着在通孔中填充第一导电材料。多余的导电材料通过例如,化学机械抛光(CMP)来移除,从而使得通孔顶面与通孔层电介质的顶面共面。接着沉积线路层(line-level)电介质,在覆盖在通孔上面的线路层电介质中形成沟槽通道口,然后在沟槽中填充第二导电材料。同样,多余的导电材料必须利用例如,CMP来移除,使得线路导体的顶面与线路层电介质的顶面共面。
这种一系列的单一镶嵌步骤不仅耗时而且造价昂贵。此外,电介质的两个隔离层(通孔层和线路层),与典型双重镶嵌结构中的单一介电材料层相比较,表现出较差的电气特性。
因此,在本领域中需要有形成这种双重镶嵌互连结构的方法,该互连结构中通孔和线路层具有单层介电材料,但是通孔和线路的材料或衬套厚度不同。
发明内容
因此,本发明的目标是提供一种改进用低k介电材料制作的通孔强度的方法。这个目标以及其它的目标都是通过本发明中的方法的使用来实现的。一方面公开了在衬底上形成的互连结构。该结构包含:第一介电材料形成的第一层,其中嵌有至少一个第一导体,该第一导体具有与介电材料层的顶面共面的顶面;以及第二介电材料形成的第二层,覆盖在第一层介电材料的上面,并且其中嵌有至少一个第二导体。第二导体包含至少一个第一部分和至少一个第二部分,形成第二部分与第一部分的材料是不同的,其中第一部分与第一导体电接触,第二部分覆盖在第一部分上面,并且与其电接触,第二部分的横向范围要大于第一部分的横向范围,并且第二部分具有与第二介电材料层的顶面共面的顶面。可选地,互连结构还包含:第一导电衬套,放置在第一部分和第二介电材料,以及第一部分和第一导体之间;第二导电衬套,放置在第二部分和第二介电材料,以及第二部分和第一部分之间,形成第一衬套和第二衬套的材料是不同的。
在另一方面,互连结构包含:第一介电材料形成的第一层,其中嵌有至少一个第一导体,第一导体具有与介电材料层的顶面共面的顶面;第二介电材料形成的第二层,覆盖在第一介电材料层的上面,并且其中嵌有至少一个第二导体;第一导电衬套,放置在第一部分和第二介电材料,以及第一部分和第一导体之间;第二衬套,放置在第二部分和第二介电材料之间,第二衬套的厚度要小于第一衬套的厚度。第二导体包含至少一个第一部分和至少一个第二部分,其中第一部分与第一导体电接触,第二部分覆盖在第一部分上面,并且与其电接触,第二部分的横向范围要大于第一部分的横向范围,并且第二部分具有与第二介电材料层的顶面共面的顶面。可选地,互连结构还包含:导电衬套,放置在第二导体和第二介电材料,以及第二导体和第一导体之间。
还公开了形成本发明互连结构的方法。更具体地,公开了一种在衬底上形成互连结构的方法,所述衬底包括第一介电材料形成的第一层,其中嵌有至少一个第一导体,所述第一导体具有与所述介电材料层的顶面共面的顶面,该方法包含下列步骤:在所述第一层上沉积第二介电材料形成的第二层;在所述第二层中形成至少一个第一通道口,所述第一通道口使所述第一导体部分地暴露;在第一通道口中填充第一导电材料;移除所述第一导电材料的顶部;在所述第二介电材料层中形成至少一个第二通道口,所述第二通道口叠加在所述第一导电材料的上面,并且所述第二通道口的横向范围大于所述第一通道口的横向范围;以及在所述第二通道口中填充第二导电材料,其中所述第二导电材料不同于所述第一导电材料,并且所述第二导电材料具有被做成与所述第二介电材料层的顶面共面的顶面,
其中,在所述第一通道口中填充所述第一导电材料之前,在所述第一通道口的底部和侧壁沉积第一导电衬套;并且在所述第二通道口中填充所述第二导电材料之前,在所述第二通道口的底部和侧壁沉积第二导电衬套。
根据本发明的上述方法,还包括在形成第二层之后,形成第一通道口之前在所述第二介电材料上沉积至少一个硬掩膜层的步骤。
根据本发明的上述方法,其中所述第二介电材料与所述第一介电材料相同。
根据本发明的上述方法,其中所述第二介电材料与所述第一介电材料不同。
根据本发明的上述方法,其中所述第二导电材料是铜,而所述第一导电材料是钨。
根据本发明的上述方法,其中所述第一导电衬套由从包括氮化钛,钽,氮化钽以及钨的组中选择的材料形成,而所述第二导电衬套由从包括氮化钽和钽的组中选择的材料形成。
根据本发明的上述方法,其中所述第二导电衬套的厚度小于所述第一导电衬套的厚度。
根据本发明的上述方法,其中所述第一和第二通道口是利用光刻术和反应离子蚀刻形成。
根据本发明的上述方法,其中所述第一通道口中通过化学气相沉积而填充有所述第一导电材料。
根据本发明的上述方法,其中所述第一和第二导电衬套利用物理气相沉积或化学气相沉积的方法沉积而成。
根据本发明的上述方法,其中第二导电材料的顶面通过化学机械抛光的方法而共面。
根据本发明的上述方法,其中所述第一导电材料的顶部通过利用氟基化学性质的干蚀刻,以及利用过氧化氢(H2O2)的湿蚀刻,或者电蚀刻的方法移除。
在另一个方面,该方法包含下列步骤:在第一介电材料层上沉积第二介电材料形成的第二层;在第二介电材料层中形成至少一个第一通道口,第一通道口使第一导体部分暴露;在第一通道口的底部和侧壁上沉积第一导电衬套;在第一通道口中填充牺牲材料(sacrificialmaterial);在第二层介电材料中形成至少一个第二通道口,第二通道口叠加在第一通道口的上面,并且第二通道口的横向范围大于第一通道口的横向范围;移除牺牲材料;在第二通道口的底部和侧壁以及第一衬套上沉积第二导电衬套;以及在第二通道口中填充导电材料,从而形成第二导体,其中第二导体具有与第二介电材料层的顶面共面的顶面。
在第三个方面,该方法包含下列步骤:在第一介电材料层上沉积第二介电材料形成的第二层;在介电材料第二层中形成至少一个第一通道口,第一通道口延伸部分地通过第二层介电材料,但是并不使第一导体暴露;在第二介电材料层中形成至少一个第二通道口,并且延伸第一通道口通过剩余的介电材料,从而使第一导体暴露,第二通道口叠加在第一通道口的上面,并且第二通道口的横向范围大于第一通道口的横向范围;在第一和第二通道口的底部和侧壁沉积第一导电衬套;在第一和第二通道口中填充第一导电材料;从第二通道口中移除第一导电材料和第一衬套;在第二通道口的底部和侧壁沉积第二导电衬套;在第二通道口中填充第二导电材料,其中第二导电材料不同于第一导电材料,并且第二导电材料具有与第二介电材料层的顶面共面的顶面。
在第四个方面,该方法包含下列步骤:在第一介电材料层上沉积第二介电材料形成的第二层;在第二介电材料层中形成至少一个第一通道口,第一通道口延伸,部分地通过第二介电材料层,但是并不使第一导体暴露;在第二介电材料层中形成至少一个第二通道口,并且延伸第一通道口通过剩余的介电材料,从而使第一导体暴露,第二通道口叠加在第一通道口的上面,并且第二通道口的横向范围大于第一通道口的横向范围;在第一和第二通道口的底部和侧壁沉积导电衬套;在第一和第二通道口中填充第一导电材料;从第二通道口中移除第一导电材料;并且在第二通道口中充第二导电材料,其中第二导电材料不同于第一导电材料,而且第二导电材料具有与第二介电材料层的顶面共面的顶面。
附图说明
所附权利要求阐明了本发明的被认为是新颖的特征以及本发明特有的要素。附图仅仅是用于说明的目的,并不是按比例绘制的。此外,相同的数字表示附图中相同的结构元件。但是,对于发明本身的构造和操作方法,根据下面结合附图做出的详细说明才能很好地理解,在附图中:
图1示出根据本发明第一实施例的互连结构,其中通孔是由不同于线路导体的材料形成的,并且通孔导体和线路导体在底部和侧壁各自被分开的衬套完全环绕;
图2示出根据本发明第二实施例的互连结构,其中环绕在通孔上的衬套的厚度要大于环绕在线路导体上的衬套的厚度;
图3示出根据本发明第三实施例的互连结构,其中通孔是由不同于线路导体的材料制成,并且通孔导体和线路导体在底部和侧壁被衬套环绕,但是衬套并没有将通孔和线路导体隔开。
图4(a)-4(f)示出图1中所示的互连结构的形成方法;
图5(a)-5(f)示出图2中所示的互连结构的形成方法;
图6(a)-6(f)示出图1中所示的互连结构的形成方法;并且
图7(a)-7(f)示出图3中所示的互连结构的形成方法。
具体实施方式
现在根据附图对本发明进行说明。在图中显示出了结构的各个侧面,并且通过一种简化的方式示意性地表示这些侧面,从而对本发明进行更清楚的描述和说明。例如,这些附图并不是按比例绘制。另外,结构不同侧面的垂直横截面是被看作矩形形状进行图解的。尽管如此,本领域中的技术人员会意识到对于实际的结构,这些侧面很有可能结合更多的锥形部件。此外,本发明并不局限于任何特定形状的结构。
在本发明的互连结构中,通过使用不同材料形成通孔导体,或使用不同材料形成通孔衬套,或使用较厚的通孔衬套,或这些特征的结合,就能够使通孔更加坚固。在优选的实施例中,通孔中使用难熔金属,而沟槽中使用铜。难熔金属的机械强度要大于铜,因此可以承受热循环中经常出现的应力。
图1中示出了本发明第一实施例,该实施例包含第一介电层10。至少一个第一导体13被嵌入到第一介电层10中,并且具有与介电层10的顶面共面的顶面。硬掩膜(hardmask)层11可以可选地在介电层10的上面沉积,在这种情况下,导体13具有与硬掩膜层11的顶面共面的顶面。导电衬套12同样可选地放置在导体13和介电层10之间。
第二介电层15覆盖在第一介电层10的上面。可选地,帽层14将第二介电层15与第一介电层10和第一导体13隔离。第二介电层15中嵌有至少一个第二导体。第二导体包含至少一个第一部分19,通孔或接线柱,以及至少一个第二部分21,即线路导体。第一部分19覆盖在第一导体13上面,并且与其电接触。第二部分21覆盖在第一部分19上面,并且其横向范围大于第一部分19的横向范围,第二部分21具有与第二介电层15的顶面共面的顶面。硬掩膜层16可以可选地沉积在第二介电层15的上面,在这种情况下,第二部分21具有与硬掩膜层16的顶面共面的顶面。衬套18可选地环绕在第一部分19的底部和侧壁,并且衬套20可选地环绕在第二部分21的底部和侧壁。
优选地,钨或一些其它的难熔金属被用在第二导体的第一部分19,即,通孔或接线柱。难熔金属的机械强度大于铜,因此可以承受热循环中出现的应力。铜可以用于第一导体13和第二导体的第二部分21,即线路导体。第一部分19的底部和侧壁周围的衬套18所使用的材料优选为氮化钛,钽,氮化钽或钨。衬套12和20可以由钽和/或氮化钽形成。
虽然低k介电材料是优选的,介电层10和帽层14可以由任何合适的介电材料形成。合适的介电材料包括含碳的二氧化硅材料;氟硅玻璃(FSG);有机聚合热固材料;硅碳氧化物;SiCOH电介质;含氟的二氧化硅;旋涂(spin-on)玻璃;硅氧烷,包括含硅倍半环氧乙烷(HSQ),含甲基的硅酸盐(MSQ)以及HSQ和MSQ的混合物或共聚物;苯并环丁烯(BCB)-基聚合物电介质,以及任何含硅低k电介质。利用硅氧烷化学性质,带有SiCOH型成分的旋涂低k薄膜的实例包括HOSPTM(Honeywell公司可以提供),JSR5109和5108(日本合成橡胶公司可以提供),ZirkonTM(Rohm和Haas的一个部门,ShipleyMicroelectronics,可以提供),以及多孔低k(Elk)材料(AppliedMaterials公司可以提供)。含碳二氧化硅材料,或有机硅烷的实例包括黑钻石(Applied Materials公司可以提供)以及CoralTM(Novellus公司可以提供)。HSQ材料的一个实例为FoxTM(Dow Corning公司可以提供)。对于该实施例,优选的介电材料为聚合热固性材料,基本上由碳,氧和氢组成。优选的介电材料包括称作SiLKTM的低k芳香醚聚合材料(Dow化学公司可以提供),以及低k聚合材料称作FLARETM的(Honeywell公司可以提供)。硬掩膜层11和16可以由碳化硅,氮化硅和/或二氧化硅形成。
第二导体的第二部分21,即线路导体的总厚度优选为大约100到5000nm,更优选的是大约300nm的厚度。第二导体的第一部分19,即通孔的高度优选为大约100到5000nm,更优选的是大约300nm。通孔衬套18优选地具有大约2到50nm的厚度,更优选的是大约20nm的厚度,而且沟槽衬套20优选地具有大约2到50nm的厚度,更优选的是大约10nm的厚度。
图2中示出本发明的第二实施例,该第二实施例与图1中示出的实施例的不同之处在于第二导体完全由相同的材料形成,材料优选为铜。换句话说,通孔或接线柱不是由难熔金属形成的。然而,通孔或接线柱在底部和侧壁被衬套环绕,该衬套的厚度大于环绕在导体上的衬套的厚度。更具体地,环绕着通孔或接线柱的衬套包含第一衬套18和第二衬套20,而线路导体只是被第二衬套20环绕。第一衬套18可以由与第二衬套20相同的材料形成,或者第一衬套18可以由与第二衬套20不同的材料形成。
对于图2中示出的实施例,根据图1中所示的实施例所讨论的每个部件都可以使用相同的材料。第二导体的第二部分21,即线路导体,优选地具有大约100到5000nm的总厚度,更优选的是大约300nm的厚度。第二导体的第一部分19,即通孔,优选地具有大约100到5000nm的高度,更优选的是大约300nm的高度。通孔的衬套18和20优选地具有大约2到50nm的组合厚度,更优选的是大约30nm的厚度,而且沟槽衬套20优选地具有大约2到50nm的厚度,更优选的是大约10nm的厚度。
图3中示出本发明的第三实施例,该第三实施例与图1中示出的实施例的不同之处在于第二导体的第一部分19和第二部分21都被衬套18环绕着。换句话说,和图1中示出的实施例一样,第一部分19和第二部分21没有分开的衬套。相反地,一个单一的衬套18环绕着第一部分19和第二部分21的底部和侧壁。
对于图3中示出的实施例,根据图1中所示的实施例所讨论的每个部件都可以使用相同的材料。第二导体的第二部分21,即线路导体,优选地具有大约100到5000nm的总厚度,更优选的是大约300nm的厚度。第二导体的第一部分19,即通孔,优选地具有大约100到5000nm的高度,更优选的是大约300nm的高度。通孔和沟槽中的衬套18优选地具有大约2到50nm的厚度,更优选的是大约20nm的厚度。
图1中示出的实施例可以通过图4(a)-4(f)中图解的方法形成。该方法的起始点是包含第一介电层10的衬底,该第一介电层中嵌有至少一个第一导体13。第一导体13具有与介电层10的顶面共面的顶面。如前面所讨论的,硬掩膜层11可以被可选地沉积在介电层10上,在这种情况下,导体13具有与硬掩膜层11的顶面共面的顶面。导电衬套12可选地布置在导体13和介电层10之间。
如图4(a)所示,该方法首先沉积第二介电层15,以及可选的硬掩膜层16和17。硬掩膜层16和17可以由碳化硅(SiC),氮化硅(SiN),和/或二氧化硅(SiO2)形成。在介电层15以及硬掩膜层16和17中形成至少一个第一通道口19’,从而使第一导体13暴露,如图4(b)中所示。通道口19’可以通过任何合适的技术形成,包括常规光刻术以及反应离子蚀刻(RIE)。
然后在通道口19’中填充第一导电材料19,如图4(c)中所示。在通道口19’中填充导电材料19以前,导电衬套18可以可选地沉积在通道口19’的底部和侧壁上。导电材料19优选为难熔金属,例如钨,并且可以通过化学气相沉积(CVD)来对其进行沉积。当导电材料是钨时,优选为六羰基钨前驱物质(tungsten hexacarbonyl precursor),而不使用WF6,以使氟蚀刻低k介电材料的风险最小化。
难熔金属19可以通过任何合适的技术进行沉积,包括化学气相沉积(CVD),或离子化金属物理气相沉积(I-PVD)。对于W或TiN的沉积,优选的方法为CVD。对于Ta或TaN的沉积,优选的方法为I-PVD。
I-PVD工艺使用沉积和部分内腐蚀的交替循环,从而在通孔中填充金属。使用I-PVD工艺,可以使小的部件,例如通孔中几乎完全充满Ta(或TaN),与此同时在沟槽的底部留下薄层(大约5到25nm厚)的Ta。因为部件尺寸缩短时,沉积相对于内腐蚀(etchback)的比率增加,所以这是有可能的。
例如,为了填充双重镶嵌结构中直径大约为0.2微米,高度大约为0.4微米的通孔,可以使用Ta的沉积和内腐蚀。首先,大约5到30nm厚的Ta层以大约2到60千瓦的磁控功率,大约1到10微米汞柱的压力,以及0到大约200瓦特的晶片偏功率(wafer bias),进行沉积。其次,内腐蚀工艺以2到30千瓦的磁控功率,大约1到10微米汞柱的压力,以及大约200到大约1200瓦特的晶片偏功率进行(优选在相同的容器内)。在内腐蚀步骤中,金属从通孔的底部喷射到侧壁上,因而使侧壁变厚。可以用补充沉积和内腐蚀循环来进一步增加通孔侧壁上金属的厚度,直到使通孔完全(或差不多完全)充满金属。
衬套18优选地由氮化钛,钽,氮化钽或钨形成,并且可以通过物理气相沉积(PVD)或CVD来进行沉积。在衬套材料18和导电材料19的沉积以后,多余的材料优选地通过CMP或喷射内腐蚀来移除,从而使得导电材料19的顶面与介电材料15的顶面共面。
接着,如图4(d)所示,第一导电材料19和衬套18的顶部被移除。钨19和衬套18可以通过例如,利用氟基化学性质的干蚀刻,以及利用过氧化氢(H2O2)的湿蚀刻,或者电蚀刻,来进行内腐蚀。
在导电材料19和衬套18的内腐蚀以后,在介电材料15,以及硬掩膜层16和17中形成至少一个第二通道口21’,如图4(e)所示。第二通道口21’叠加在第一导电材料19的上面,并且其横向范围大于第一通道口19’的横向范围。第二通道口21’可以通过任何合适的技术形成,包括常规光刻以及RIE。
最后,在通道口21’中填充第二导电材料21,如图4(f)所示。在通道口21’中填充导电材料21之前,可选地,第二导电衬套20可以在通道口21’的底部和侧壁上沉积。导电材料21优选为铜,并且可以通过镀铜来进行沉积,其过程典型地包括通过PVD进行的铜晶种沉积,镀铜,然后是CMP。
图1中所示的实施例可以选择使用图6(a)-6(f)中图解的方法来形成。该方法与图4(a)-4(f)所示的方法的不同之处在于通孔和沟槽的通道口19’,21’都是在填充第一导电材料19以前形成。该方法的起始点也是衬底,其包含第一介电层10,其中嵌有至少一个第一导体13。第一导体13具有与介电层10的顶面共面的顶面。如前面所讨论的,硬掩膜层11可以可选地在介电层10上沉积,在这种情况下,导体13具有与硬掩膜层11的顶面共面的顶面。可选地,导电衬套12被布置在导体13和介电层10之间。
该方法从第二介电层15,以及硬掩膜层16和17的沉积开始,如图6(a)所示。在硬掩膜层17中形成至少一个第一通道口21’。然后,在硬掩膜层16中以及部分位于介电层15中形成至少一个第二通道口19’,如图6(b)所示。通道口19’在通道口21’下方形成,并且其横向范围小于通道口21’的横向范围。通道口19’和21’可以通过任何合适的技术形成,包括常规光刻以及RIE。
接着,如图6(c)所示,通道口19’和21’被延伸到介电层15中。沟槽21’和通道19’可以利用例如RIE,来形成。通道口21’部分地延伸到介电层15中,而通道口19’通过延伸完全穿过了介电层15,从而使导体13暴露。
然后在通道口19’和21’中填充第一导电材料19,如图6(d)所示。在这些通道口中填充导电材料19之前,导电衬套18可以可选地在通道口的底部和侧壁沉积。导电材料19优选为难熔金属,例如钨,并且可以通过CVD进行沉积。当导电材料是钨时,优选使用六羰基钨前驱物质,而不用WF6,以使氟蚀刻低k介电材料的风险最小化。衬套18优选地由氮化钛,钽,氮化钽或钨形成,并且可以通过PVD或CVD来进行沉积。在衬套材料18和导电材料19的沉积以后,多余的材料优选地通过CMP或喷射内腐蚀来移除,从而使得导电材料19的顶面与介电材料15的顶面共面。
接着,如图6(e)所示,第一导电材料19和衬套18的顶部被移除,因而形成通道口21”。钨19和衬套18可以通过例如,利用氟基化学性质的干蚀刻,以及利用过氧化氢(H2O2)的湿蚀刻,或者电蚀刻,来进行内腐蚀。
最后,在通道口21”中填充第二导电材料21,如图6(f)所示。在通道口21”中填充导电材料21之前,第二导电衬套20可以可选地在通道口21”的底部和侧壁上沉积。导电材料21优选为铜,并且可以通过镀铜来进行沉积。
图2所示的实施例可以通过使用图5(a)-5(f)中示出的方法来形成。该方法的起始点也是衬底,其包含第一介电层10,其中嵌有至少一个第一导体13。第一导体13具有与介电层10的顶面共面的顶面。如前面所讨论的,硬掩膜层11可以可选地在介电层10上沉积,在这种情况下,导体13具有与硬掩膜层11的顶面共面的顶面。可选地,导电衬套12被布置在导体13和介电层10之间。
该方法从第二介电层15,以及可选地,硬掩膜层16和17的沉积开始,如图5(a)所示。在介电层15以及硬掩膜层16和17中形成至少一个通道口19’,因而使第一导体13暴露,如图5(b)所示。通道口19’可以通过任何合适的技术形成,包括常规光刻以及反应离子蚀刻(RIE)。
然后衬套18在通道口19’的底部和侧壁沉积,如图5(c)所示。衬套18同样可以在硬掩膜层17上沉积。衬套18优选地由氮化钛,钽,氮化钽或钨形成,并且优选地具有大约5到100nm的厚度。
接着,在通道口19’中填充牺牲材料22,例如增透膜(ARC),如图5(d)所示。例如,ARC22的层可以在衬套18上和通道口19’中沉积,然后感光性树脂23的层可以在ARC22上沉积。接着在感光性树脂23和ARC22中形成通道口21’,并且该通道口被转移到衬套18和硬掩膜层17中。
在图5(c)中,从衬底的表面上去除了感光性树脂23和ARC22,并且从通道口19’中移除了ARC22。难熔金属衬套18在抗蚀剂剥离期间对低k介电材料起到保护作用。然后衬套18从衬底的表面进行内腐蚀,并且通道口21’被转移到硬掩膜层16和介电层15中。
最后,第二衬套20在通道口19’和21’的底部和侧壁沉积,然后在这些通道口中填充导电材料21,如图5(f)所示。在衬套材料20和导电材料21的沉积以后,通过利用例如,CMP,使导体21的顶面与介电层15或硬掩膜层16的顶面共面。要注意的是,第二导体的通孔或接线柱部分在底部和侧壁被衬套环绕,该衬套的厚度要大于环绕线路导体的衬套的厚度。换句话说,通孔或接线柱部分被包含第一衬套18和第二衬套20的双重厚度的衬套所环绕,而线路导体仅仅是被第二衬套20环绕。
图3中所示的实施例可以使用图7(a)-7(f)中示出的方法来形成。该方法与图6(a)-6(f)所示的方法的不同之处在于在移除第一导电材料19顶部的步骤中并不移除衬套18,如图7(e)所示。该方法的起始点也是衬底,其包含第一介电层10,其中嵌有至少一个第一导体13。第一导体13具有与介电层10的顶面共面的顶面。如前面所讨论的,硬掩膜层11可以可选地在介电层10上沉积,在这种情况下,导体13具有与硬掩膜层11的顶面共面的顶面。可选地,导电衬套12被布置在导体13和介电层10之间。
该方法从第二介电层15,以及可选地,硬掩膜层16和17的沉积开始,如图7(a)所示。在硬掩膜层17中形成至少一个第一通道口21’。然后,在硬掩膜层16中以及部分位于介电层15之中形成至少一个第二通道口19’,如图7(b)所示。通道口19’在通道口21’下方形成,并且其横向范围小于通道口21’的横向范围。通道口19’和21’可以通过任何合适的技术形成,包括常规光刻以及RIE。
接着,如图7(c)所示,通道口19’和21’被延伸到介电层15中。沟槽21’和通孔19’可以利用例如RIE,来形成。通道口21’部分地延伸到介电层15中,而通道口19’通过延伸完全穿过了介电层15,因而使导体13暴露。
然后,在通道口19’和21’中填充第一导电材料19,如图7(d)所示。在这些通道口中填充导电材料19之前,导电衬套18可以可选地在通道口的底部和侧壁沉积。导电材料19优选为难熔金属,例如钨,并且可以通过CVD进行沉积。当导电材料是钨时,优选使用六羰基钨前驱物质,而不用WF6,以使氟蚀刻低k介电材料的风险最小化。衬套18优选地由氮化钛,钽,氮化钽或钨形成,并且可以通过PVD或CVD来进行沉积。在衬套材料18和导电材料19的沉积以后,多余的材料优选地通过CMP或喷射内腐蚀来移除,从而使得导电材料19的顶面与介电材料15的顶面共面。
接着,第一导电材料19的顶部被移除,但是衬套18没有被移除,如图6(e)所示,因而形成通道口21”。钨19可以通过例如,利用氟基化学性质的干蚀刻,以及利用过氧化氢(H2O2)的湿蚀刻,或者电蚀刻,来进行内腐蚀。在钨内腐蚀期间,难熔金属衬套18对低k节电材料15起到防护作用。
最后,在通道口21”中填充第二导电材料21,如图7(f)所示。对于本实施例,在导电材料21沉积之前,第二导电衬套不需要在通道口21”的底部和侧壁上沉积。但是,在导电材料21沉积之前,第二导电衬套(未示出)可以在衬套18和导电材料19上沉积。第二导电衬套优选由氮化钽和/或钽形成,并且具有大约1到10nm的厚度。在第二衬套沉积之前,可以使用喷射清洗来从第一衬套18的表面上清除污染物质。导电材料21优选为铜,并且可以通过镀铜来进行沉积。
应当注意的是这里有本发明的方法的两个基本实施例。图4(a)-4(f)和5(a)-5(f)示出第一实施例的两个变化。在该第一实施例中,首先形成通孔的通道口,然后在形成沟槽通道口之前,在通孔中填充第一导电材料,例如难熔金属。图6(a)-6(f)和7(a)-7(f)示出第二实施例的两个变化。在该第二实施例中,通孔和沟槽的通道口都是首先形成,然后在这些通道口中填充第一导电材料,例如难熔金属。所示出的第二实施例的两处变化包含首先形成通孔的通道口,然后形成沟槽通道口,但是第二实施例同样可以通过首先形成沟槽通道口,然后形成通孔的通道口来进行。
在本发明中,优选在通孔中使用厚的难熔金属以增强机械强度,而在沟槽中只是使用薄的难熔金属从而提供低阻抗。这在图1和图3中是通过使用难熔金属作为第一导电材料19来实现。而在图2中是通过使用难熔金属同时作为衬套18和20来实现,因而形成了通孔或接线柱的厚难熔金属衬套,以及导体的薄难熔金属衬套。在图5(e)中的感光性树脂剥离期间,以及图7(e)中的钨内腐蚀期间,难熔金属衬套还对低k介电材料15起到保护作用。
虽然本发明已经结合特定的优选实施例以及其它可选的实施例做出了具体地描述,但是根据前面的说明,许多选择方案,修改和变化对于本领域中的技术人员,很明显是显而易见的。因此附加的权利要求的意图是要包含属于本发明的真正范围和精神之内的所有的这些选择方案,修改和变化。

Claims (12)

1.一种在衬底上形成互连结构的方法,所述衬底包括第一介电材料形成的第一层,其中嵌有至少一个第一导体,所述第一导体具有与所述第一层的顶面共面的顶面,该方法包含下列步骤:在所述第一层上沉积第二介电材料形成的第二层;在所述第二层中形成至少一个第一通道口,所述第一通道口使所述第一导体部分地暴露;在第一通道口中填充第一导电材料;移除所述第一导电材料的顶部;在所述第二层中形成至少一个第二通道口,所述第二通道口叠加在所述第一导电材料的上面,并且所述第二通道口的横向范围大于所述第一通道口的横向范围;以及在所述第二通道口中填充第二导电材料,其中所述第二导电材料不同于所述第一导电材料,并且所述第二导电材料具有被做成与所述第二层的顶面共面的顶面,
其中,在所述第一通道口中填充所述第一导电材料之前,在所述第一通道口的底部和侧壁沉积第一导电衬套;并且在所述第二通道口中填充所述第二导电材料之前,在所述第二通道口的底部和侧壁沉积第二导电衬套。
2.根据权利要求1的方法,还包括在形成第二层之后,形成第一通道口之前在所述第二介电材料上沉积至少一个硬掩膜层的步骤。
3.根据权利要求1的方法,其中所述第二介电材料与所述第一介电材料相同。
4.根据权利要求1的方法,其中所述第二介电材料与所述第一介电材料不同。
5.根据权利要求1的方法,其中所述第二导电材料是铜,而所述第一导电材料是钨。
6.根据权利要求1的方法,其中所述第一导电衬套由从包括氮化钛,钽,氮化钽以及钨的组中选择的材料形成,而所述第二导电衬套由从包括氮化钽和钽的组中选择的材料形成。
7.根据权利要求1的方法,其中所述第二导电衬套的厚度小于所述第一导电衬套的厚度。
8.根据权利要求1的方法,其中所述第一和第二通道口是利用光刻和反应离子蚀刻形成。
9.根据权利要求1的方法,其中所述第一通道口中通过化学气相沉积而填充有所述第一导电材料。
10.根据权利要求1的方法,其中所述第一和第二导电衬套利用物理气相沉积或化学气相沉积的方法沉积而成。
11.根据权利要求1的方法,其中第二导电材料的顶面通过化学机械抛光的方法而共面。
12.根据权利要求1的方法,其中所述第一导电材料的顶部通过利用氟基化学性质的干蚀刻,或利用过氧化氢的湿蚀刻,或者电蚀刻的方法移除。
CNB2004800149580A 2003-06-23 2004-06-14 对线路和通孔导体使用不同材料的双重镶嵌互连结构 Expired - Fee Related CN100405574C (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US10/604,026 2003-06-23
US10/604,026 US6958540B2 (en) 2003-06-23 2003-06-23 Dual damascene interconnect structures having different materials for line and via conductors

Publications (2)

Publication Number Publication Date
CN1799137A CN1799137A (zh) 2006-07-05
CN100405574C true CN100405574C (zh) 2008-07-23

Family

ID=33539856

Family Applications (1)

Application Number Title Priority Date Filing Date
CNB2004800149580A Expired - Fee Related CN100405574C (zh) 2003-06-23 2004-06-14 对线路和通孔导体使用不同材料的双重镶嵌互连结构

Country Status (7)

Country Link
US (3) US6958540B2 (zh)
EP (1) EP1649510A2 (zh)
JP (1) JP2009514186A (zh)
KR (1) KR100772602B1 (zh)
CN (1) CN100405574C (zh)
TW (2) TW200931593A (zh)
WO (1) WO2004114395A2 (zh)

Families Citing this family (30)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI242835B (en) * 2003-08-29 2005-11-01 Nanya Technology Corp Structure of interconnects and fabricating method thereof
US7169698B2 (en) * 2004-01-14 2007-01-30 International Business Machines Corporation Sacrificial inorganic polymer intermetal dielectric damascene wire and via liner
KR100621630B1 (ko) * 2004-08-25 2006-09-19 삼성전자주식회사 이종 금속을 이용하는 다마신 공정
US7352064B2 (en) * 2004-11-04 2008-04-01 International Business Machines Corporation Multiple layer resist scheme implementing etch recipe particular to each layer
US7651942B2 (en) * 2005-08-15 2010-01-26 Infineon Technologies Ag Metal interconnect structure and method
US7488679B2 (en) * 2006-07-31 2009-02-10 International Business Machines Corporation Interconnect structure and process of making the same
US7749894B2 (en) * 2006-11-09 2010-07-06 Chartered Semiconductor Manufacturing Ltd. Integrated circuit processing system
JP4506767B2 (ja) * 2007-02-28 2010-07-21 カシオ計算機株式会社 半導体装置の製造方法
US20080230907A1 (en) * 2007-03-22 2008-09-25 Chartered Semiconductor Manufacturing Ltd. Integrated circuit system with carbon enhancement
US7812461B2 (en) 2007-03-27 2010-10-12 Micron Technology, Inc. Method and apparatus providing integrated circuit having redistribution layer with recessed connectors
US7615484B2 (en) * 2007-04-24 2009-11-10 Chartered Semiconductor Manufacturing Ltd. Integrated circuit manufacturing method using hard mask
US7951414B2 (en) * 2008-03-20 2011-05-31 Micron Technology, Inc. Methods of forming electrically conductive structures
DE102011002769B4 (de) * 2011-01-17 2013-03-21 Globalfoundries Dresden Module One Limited Liability Company & Co. Kg Halbleiterbauelement und Verfahren zur Herstellung einer Hybridkontaktstruktur mit Kontakten mit kleinem Aspektverhältnis in einem Halbleiterbauelement
CN102437098A (zh) * 2011-09-08 2012-05-02 上海华力微电子有限公司 一种降低接触孔电阻的接触孔形成方法
CN102437097A (zh) * 2011-09-08 2012-05-02 上海华力微电子有限公司 一种新的接触孔的制造方法
CN102437099A (zh) * 2011-09-08 2012-05-02 上海华力微电子有限公司 一种降低接触孔电阻的接触孔结构形成方法
US9252050B2 (en) 2012-09-11 2016-02-02 International Business Machines Corporation Method to improve semiconductor surfaces and polishing
US9312203B2 (en) 2013-01-02 2016-04-12 Globalfoundries Inc. Dual damascene structure with liner
US9716035B2 (en) 2014-06-20 2017-07-25 Taiwan Semiconductor Manufacturing Company, Ltd. Combination interconnect structure and methods of forming same
US10727122B2 (en) 2014-12-08 2020-07-28 International Business Machines Corporation Self-aligned via interconnect structures
US9837309B2 (en) 2015-11-19 2017-12-05 International Business Machines Corporation Semiconductor via structure with lower electrical resistance
US9735051B2 (en) * 2015-12-14 2017-08-15 International Business Machines Corporation Semiconductor device interconnect structures formed by metal reflow process
US9837314B2 (en) * 2016-02-02 2017-12-05 Tokyo Electron Limited Self-alignment of metal and via using selective deposition
KR20170110332A (ko) * 2016-03-23 2017-10-11 삼성전자주식회사 반도체 장치 및 그 제조 방법
US10211153B2 (en) 2016-08-30 2019-02-19 International Business Machines Corporation Low aspect ratio interconnect
TWI625991B (zh) * 2016-10-17 2018-06-01 南亞電路板股份有限公司 電路板結構與其製造方法
US10236206B2 (en) * 2017-07-03 2019-03-19 Globalfoundries Inc. Interconnects with hybrid metallization
CN107946332B (zh) * 2017-11-22 2021-07-23 德淮半导体有限公司 半导体结构、cmos图像传感器及其制备方法
US10395986B1 (en) 2018-05-30 2019-08-27 International Business Machines Corporation Fully aligned via employing selective metal deposition
US11652044B2 (en) * 2021-02-26 2023-05-16 Taiwan Semiconductor Manufacturing Company, Ltd. Contact structure and method of making

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5897369A (en) * 1996-05-16 1999-04-27 Lg Semicon Co., Ltd. Method for forming interconnection of a semiconductor device
US6383821B1 (en) * 1999-10-29 2002-05-07 Conexant Systems, Inc. Semiconductor device and process
US6403456B1 (en) * 2000-08-22 2002-06-11 Advanced Micro Devices, Inc. T or T/Y gate formation using trim etch processing

Family Cites Families (20)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH04162452A (ja) * 1990-10-24 1992-06-05 Nec Kyushu Ltd 半導体装置の製造方法
US5739579A (en) * 1992-06-29 1998-04-14 Intel Corporation Method for forming interconnections for semiconductor fabrication and semiconductor device having such interconnections
US5453575A (en) 1993-02-01 1995-09-26 Endosonics Corporation Apparatus and method for detecting blood flow in intravascular ultrasonic imaging
US6285082B1 (en) 1995-01-03 2001-09-04 International Business Machines Corporation Soft metal conductor
US5614765A (en) 1995-06-07 1997-03-25 Advanced Micro Devices, Inc. Self aligned via dual damascene
JP3228181B2 (ja) * 1997-05-12 2001-11-12 ヤマハ株式会社 平坦配線形成法
US6069068A (en) * 1997-05-30 2000-05-30 International Business Machines Corporation Sub-quarter-micron copper interconnections with improved electromigration resistance and reduced defect sensitivity
US5821168A (en) * 1997-07-16 1998-10-13 Motorola, Inc. Process for forming a semiconductor device
US6127258A (en) 1998-06-25 2000-10-03 Motorola Inc. Method for forming a semiconductor device
JP2001007200A (ja) * 1999-06-21 2001-01-12 Matsushita Electronics Industry Corp 配線の形成方法
JP2001015510A (ja) * 1999-06-28 2001-01-19 Hitachi Ltd 半導体装置およびその製造方法
US6297149B1 (en) * 1999-10-05 2001-10-02 International Business Machines Corporation Methods for forming metal interconnects
JP2001319928A (ja) 2000-05-08 2001-11-16 Hitachi Ltd 半導体集積回路装置およびその製造方法
US20020086519A1 (en) * 2000-12-29 2002-07-04 Houston Theodore W. Stacked vias and method
JP2002368081A (ja) * 2001-06-06 2002-12-20 Sony Corp 半導体装置の製造方法
US6537913B2 (en) * 2001-06-29 2003-03-25 Intel Corporation Method of making a semiconductor device with aluminum capped copper interconnect pads
TW522479B (en) 2001-12-07 2003-03-01 Taiwan Semiconductor Mfg Method of forming dual-damascene
US20040108217A1 (en) * 2002-12-05 2004-06-10 Dubin Valery M. Methods for forming copper interconnect structures by co-plating of noble metals and structures formed thereby
US7060619B2 (en) * 2003-03-04 2006-06-13 Infineon Technologies Ag Reduction of the shear stress in copper via's in organic interlayer dielectric material
US20040222527A1 (en) * 2003-05-06 2004-11-11 Dostalik William W. Dual damascene pattern liner

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5897369A (en) * 1996-05-16 1999-04-27 Lg Semicon Co., Ltd. Method for forming interconnection of a semiconductor device
US6383821B1 (en) * 1999-10-29 2002-05-07 Conexant Systems, Inc. Semiconductor device and process
US6403456B1 (en) * 2000-08-22 2002-06-11 Advanced Micro Devices, Inc. T or T/Y gate formation using trim etch processing

Also Published As

Publication number Publication date
WO2004114395A3 (en) 2005-02-10
US20080026566A1 (en) 2008-01-31
US7300867B2 (en) 2007-11-27
CN1799137A (zh) 2006-07-05
TW200512874A (en) 2005-04-01
JP2009514186A (ja) 2009-04-02
EP1649510A2 (en) 2006-04-26
US6958540B2 (en) 2005-10-25
TWI345818B (en) 2011-07-21
KR20060025149A (ko) 2006-03-20
WO2004114395A2 (en) 2004-12-29
KR100772602B1 (ko) 2007-11-02
US20050245068A1 (en) 2005-11-03
US20040262764A1 (en) 2004-12-30
US7704876B2 (en) 2010-04-27
TW200931593A (en) 2009-07-16

Similar Documents

Publication Publication Date Title
CN100405574C (zh) 对线路和通孔导体使用不同材料的双重镶嵌互连结构
CN100576494C (zh) 利用保护性通路盖层形成半导体器件的双镶嵌布线的方法
US6838355B1 (en) Damascene interconnect structures including etchback for low-k dielectric materials
US6680514B1 (en) Contact capping local interconnect
KR100389174B1 (ko) 금속 캐패시터 및 이의 형성 방법
CN101390203B (zh) 互连结构及其制造方法
US7393776B2 (en) Method of forming closed air gap interconnects and structures formed thereby
US6917108B2 (en) Reliable low-k interconnect structure with hybrid dielectric
US7449407B2 (en) Air gap for dual damascene applications
US7138329B2 (en) Air gap for tungsten/aluminum plug applications
US7514354B2 (en) Methods for forming damascene wiring structures having line and plug conductors formed from different materials
US6331481B1 (en) Damascene etchback for low ε dielectric
CN100378953C (zh) 在层间介质互连中形成低电阻和可靠过孔的方法
US20050263896A1 (en) Air gap formation method for reducing undesired capacitive coupling between interconnects in an integrated circuit device
US20060205204A1 (en) Method of making a semiconductor interconnect with a metal cap
US20020171147A1 (en) Structure of a dual damascene via
US6756672B1 (en) Use of sic for preventing copper contamination of low-k dielectric layers
US6663787B1 (en) Use of ta/tan for preventing copper contamination of low-k dielectric layers
US6350695B1 (en) Pillar process for copper interconnect scheme
US20230187278A1 (en) Via alignment in single damascene structure
US8048799B2 (en) Method for forming copper wiring in semiconductor device
CN102569167A (zh) 双镶嵌结构的形成方法

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C14 Grant of patent or utility model
GR01 Patent grant
C41 Transfer of patent application or patent right or utility model
TR01 Transfer of patent right

Effective date of registration: 20170105

Address after: The Cayman Islands (British) Grand Cayman

Patentee after: INTERNATIONAL BUSINESS MACHINES Corp.

Address before: American New York

Patentee before: Globalfoundries second U.S. Semiconductor Co.,Ltd.

Effective date of registration: 20170105

Address after: American New York

Patentee after: Globalfoundries second U.S. Semiconductor Co.,Ltd.

Address before: American New York

Patentee before: International Business Machines Corp.

TR01 Transfer of patent right
TR01 Transfer of patent right

Effective date of registration: 20180328

Address after: Ontario, Canada

Patentee after: International Business Machines Corp.

Address before: The Cayman Islands (British) Grand Cayman

Patentee before: INTERNATIONAL BUSINESS MACHINES Corp.

CF01 Termination of patent right due to non-payment of annual fee
CF01 Termination of patent right due to non-payment of annual fee

Granted publication date: 20080723

Termination date: 20210614