TWI327752B - A plasma processing chamber for generating plasma - Google Patents

A plasma processing chamber for generating plasma Download PDF

Info

Publication number
TWI327752B
TWI327752B TW092136273A TW92136273A TWI327752B TW I327752 B TWI327752 B TW I327752B TW 092136273 A TW092136273 A TW 092136273A TW 92136273 A TW92136273 A TW 92136273A TW I327752 B TWI327752 B TW I327752B
Authority
TW
Taiwan
Prior art keywords
ground
electrode
processing chamber
ground electrode
plasma processing
Prior art date
Application number
TW092136273A
Other languages
English (en)
Other versions
TW200423249A (en
Inventor
Tuqiang Ni
Wenli Collison
Original Assignee
Lam Res Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Lam Res Corp filed Critical Lam Res Corp
Publication of TW200423249A publication Critical patent/TW200423249A/zh
Application granted granted Critical
Publication of TWI327752B publication Critical patent/TWI327752B/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67063Apparatus for fluid treatment for etching
    • H01L21/67069Apparatus for fluid treatment for etching for drying etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32091Radio frequency generated discharge the radio frequency energy being capacitively coupled to the plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32174Circuits specially adapted for controlling the RF discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32532Electrodes
    • H01J37/32577Electrical connecting means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32697Electrostatic control
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32917Plasma diagnostics
    • H01J37/32935Monitoring and controlling tubes by information coming from the object and/or discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Plasma & Fusion (AREA)
  • Chemical & Material Sciences (AREA)
  • Analytical Chemistry (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Plasma Technology (AREA)
  • Drying Of Semiconductors (AREA)
  • Chemical Vapour Deposition (AREA)

Description

1327752 (1) 玖、發明說明 【發明所屬之技術領域】 本發明係有關半導體製造。更明確言之,本發明係有 關在半導體製造期間中之電漿處理。 【先前技術】 在半導體基礎之裝置(例如積體電路或平板顯示器) 之製造中’材料層可自晶圓或基體(例如半導體晶圓或玻 璃板)交替沉積及蝕刻。如本藝中所知,沉積層之蝕刻 可由各種技術達成,包含電漿加強蝕刻。在電漿加強蝕刻 中,晶圓或基體之實際蝕刻發生於電處理室內。在餓刻程 序之期間中,由適當之蝕刻來源氣體形成電漿,以蝕刻晶 圓或基體之未由蔽罩保護之區域,留下所需之圖案。 有二種電漿使用於電漿加強之蝕刻中,即拘束之電漿 及不拘束之電漿。不拘束之電漿接觸電漿處理室壁,且可 由室壁再沉積原子於晶圓或基體上,污染晶圓或基體。電 漿處理室壁普通爲不能與晶圓或基體相容之材料製造。由 拘束電漿,甚少或無污染,因爲電漿由一些裝置停止,不 到達室壁。如此,拘束之電漿提供未由熟悉之未拘束電漿 所提供之淸潔程度。 在先前技藝系統中,可由建立各種電或磁性質之排斥 場,防止電漿到達室壁。例如’由置於室壁內之多個拘束 環,及使電漿剛可到達拘束環之內限界之前排出,拘束該 電漿。由於拘束環爲絕緣材料所製,此等充電至與電漿相 •4- (2) (2)1327752 當之電位。結果’自拘束環之前邊緣發射一排斥電場,此 防止電漿朝室壁伸出任何更遠。 參考圖 1,其中顯示一例解之先前技藝系統 100, 具有處理室,此產生電容交連之 RF電漿,作爲實例且 非限制,例解之系統爲一EXELAN系統,由 Lam硏究 公司製造。例解之系統1〇〇包含一平行板電漿反應爐, 諸如反應爐 100。反應爐 100包含一室,具有一內部 102,由連接至反應爐之室壁中之一出口之真空泵維持於 所需之真空壓力。軸刻氣體可供應至電發反應爐,由氣體 供應器1 06供應氣體。例如,可由雙頻安排在反應爐中 產生中密度電漿,其中,RF能量自RF來源 108供應 通過一匹配網路至一電力電極 ]12〇RF來源 108 經組態,以供應在 27MHz 及 2 Μ Η z 之 R F 電力。電 極]】4爲接地電極。一晶圓或基體 116由電力電極 112支持’並由激勵蝕刻氣體至電漿狀態所產生之電漿 倉虫刻。多個拘束環 120a及 120b拘束電發。亦可使用 其他電容交連之反應爐,諸如其中 RF電力供應至二電 極之反應爐,諸如共擁有之美專利6,090,304所述之雙 頻電漿蝕刻反應爐,其整個列作參考。 參考圖2’其中顯示電漿處理室ι〇〇之內部ι〇2 之斷面圖。內部102包含拘束環i2〇a及i2〇be雖僅 顯不一拘束環’但可設置任何數目之拘束環。在電费處理 室100之內部102’顯示一電力電極]22,其上適合 接受一晶圓或基體124。電力電極124可由任何適當之 (3) (3)1327752 卡盤系統’例如靜電’機械’夾持,真空等實施,且由絕 緣體〗2 6,諸如石英焦點環環繞。在蝕刻期間中,r f電 源 128可連通具有頻率約 2MHz至約 27MHz之 RF 電力至電力電極122。在晶圓或基體】24上方,設置一 接地電極130,此連接至拘束環]20a及120b。另一接 地電極132推壓於絕緣環126,並置於電力電極附近。 在操作中’RF電源 128連通 RF 電力至電力電極 122,此電交連至接地電極 130。 【發明內容】 本發明提供一種控制室內之離子能量及電漿密度之系 統及方法,該室經組態以產生電漿,在例解實施例中,電 漿由電容交連放電產生。半導體室包含一電力電極,一電 源,多個接地電極,及連接至地之一可調整電路。電力電 極經組態,以接受一晶圓或基體。電源在操作上連接至電 力電極。多個接地電極經組態,以產生與電力電極之電交 連。接地電極之至少之一電連接至連接至地之可調整電路 。連接至地之可調整電路經組態,以修改接地電極之阻抗 ◊離子能量由連接至地之可調整電路控制。電漿密度由電 源控制。 連接至地之可調整電路包含一電容器或一電感器或其 組合。在一實施例,電容器爲可變電容器。在另—實施例 ,電容器可具有固定電容。亦可使用固定及可變電容器及 電感器之組合。在另一實施,使用諸如具有可變電感之電 -6- (4) (4)1327752 感器取代電容器。在又另一實施例’使用電容器及電感器 之組合作爲連接至地之可調整電路° 在操作中,例解之室經組態,以產生一拘束之電漿, 此由多個拘束環拘束。在例解之實施例中,有一第一接地 電極電連接至連接至地之一可調整電路。連接至地之可調 整連接提供具有第一阻抗之第一接地電極。第一接地電極 之第一阻抗取決於連接至地可調整電路中所用之電容器或 電感器。一第二接地電極及第三接地電極直接連接至地。 在例解之實施例中,第一接地電極之第一阻抗大於黃他電 極之阻抗。由於接地電極之阻抗之此等改變之結果,可控 制電漿之離子能量。在例解之實例中,具有較高阻抗之第 一接地電極轉移離子能量離開第一接地電極至其他接地電 極。 而且,提供用以控制電漿處理室中之電漿之一種方法 。該方法包含在電漿處理室中接收一氣體之第一步驟。電 力電極經組態,以接受一晶圓或基體,並接收來自電源之 電力。由電交連電力電極至一第一接地電極及一第二接地 電極,產生電漿。使用接地電極之阻抗,以控制離子能量 。使用電源控制電漿密度。 【實施方式】 在以下詳細說明中,參考附圖,此構成本申請書之一 部份。附圖以例解顯示可實施本發明之特定實施例。應明 瞭亦可使用其他實施例’且可作結構改變,而不脫離本發 (5) 1327752 明之範圍。 參考圖 3,其中顯示電漿處理室之一第一 具有連接至地之可調整電路。圖 3爲處理室 面圖,經組態以產生電容交連放電。電漿處理i 指一系統。在操作中,電漿處理室 200經組態 變換爲電漿之氣體。作爲實例且非限制,相當高 率打進電漿處理室中。 電漿處理室 200 包含一電力電極 202 2〇4,及—第—接地電極 206具有一連接至地之 路 20 8。電力電極 202適於接受一晶圓或基體 極 202在操作上連接至電源 204,經組態以 電力。作爲實例且非限制,第一接地電極具有一 電力電極 202 之面積。而且,作爲實例且非限 204爲 rf電源。 石英焦點環 2 10環繞電力電極 202。而且 接地電極環 212環繞第一接地電極 206。第二 212電連接至地,且並無連接至地之可調整電 三接地電極 214置於石英焦點環 210下方。 電極 214亦不包含耦合至地可調整電路。 電漿處理皺 2 0 0經組態,以產生拘束之電 環 2 1 6 a及 2 1 6 b經組態,以拘束電發。電發 普通爲不與晶圓或基體相容之材料所製。拘束之 甚少或無來自處理室壁之污染β精於本藝之人士 拘束之電漿提供一淸潔程度’此未由熟知之未拘 貫施例, 200 之斷 I 2 0 0 亦 ,以接收 之氣體流 ,一電源 可調整電 。電力電 產生 RF 面積小於 制,電源 ,一第二 接地電極 路。一第 第三接地 漿。拘束 處理室壁 電漿提供 應明瞭, 束電漿提 -8- (6) (6)1327752 供。 連接至地之可調整電路 20 8電連接至第一接地電極 206。連接至地之可調整電路 2 0 8 經組態,以修改第一 接地電極 206之阻抗。拘束電漿之離子能量及電漿密度 由連接至地之可調整電路 208控制。連接至地之可調整 電路 208包含一電容器 218。電容器 218具有固定電 容普通小於 l〇〇〇pf。然而,精於本藝之人士應明瞭,電 容器 218亦可爲可變電容器。 連接至地之可調整電路 208 之電容器 218及電阻 器 220 產生一第一阻抗,此與第二接地電極 212及第 三接地電極 214之阻抗不同。由於接地電極之阻抗之此 等改變之結果,可控制電漿之離子能量及電漿密度。在第 一實施例,具有連接至地之可調整電路 208之第一接地 電極 206 具有較之第二接地電極 212及第三接地電極 214 二者爲高之阻抗。第一接地電極之較高阻抗轉移離 子能量及電漿密度離開第一接地電極,俾離子能量及電漿 密度轉移至具有較低阻抗之接地電極。 在先前技藝中,使用例如 27MHz及 2MHzRF之電 源於獨立控制電漿密度及離子能量。在此,處理室 200 許可由 RF電源獨立控制電漿密度及離子能量。連接至 地之可調整電路 208聯同接地電極許可由一個 RF電 源獨立控製離子能量。電漿密度主要由電源 204所供應 之總電力控制。 例解之機械模型用以確認能控制離子能量及電漿密度 -9- (7) (7)1327752 。還回參考圖 1及圖 2之先前技藝處理室,1 200V(峰 至峰)及 27MHz RF電力供應至底電極 122,結果之 DC偏壓接近 3 02V及電漿電極電壓爲 - 8 5 8V。現參考 圖 3,例解之連接至地之可調整電路包含一電容器 218 具有一電容 2pF,及一電阻器 220具有電阻3 αΩ。 在處理室 200,1100V 及 27MHz RF 電力供應至電力 電極 202,以達成與由處理室 100所產生之電漿相似之 電漿密度及電漿分佈。而且,由於在第一接地電極上之阻 抗之改變,DC 偏壓僅爲 -200V,及電漿電極電壓爲 659V。此例解實例淸楚顯示在處理室 200內之電漿密度 及離子能量可由修改 RF電力及使用連接至地之可調整 電路控制。 參考圖 4,其中顯示另一處理室 2 5 0,經組態以控 制離子能量及電漿密度。一電力電極 25 2在操作上連接 至電源 254。一石英焦點環 256 環繞電力電極 252。 一電漿產生於處理室 250內,並由拘束環 258拘束。 弟一接地電極 260具有一表面積大於第一電力電極 252 。第一接地電極 260電連接至一可變電容器262,此許 可可調整連接至地。作爲實例並非限制,可變電容器 262 具有電容範圍 5pF至 lOOOpF。一第二接地極 264 爲一接地環,此環繞第一接地電極 260。第二接地電極 264在操作上連接至另—可變電容器 266。一第三接地 電極268置於石英焦點環2 5 6下面。 在操作中,處理室 250許可較之處理室 200爲高 -10- (8) 1327752 之離子能量控制程度。提高之控制由具有連接至 調整電路提供。第一接地電極 260及第二接地 具有電容用以修改其各別阻抗。結果,操作者可 制拘束電漿之”頂部"。 參考圖 5,其中顯示又另一處理室 3 00, 至地之可調整電路。處理室300與圖 4 之處 有許多共同,諸如拘束環,一焦點環,一電力電 電源。二處理室間之不同在於接地電極。處理室 含一第一接地電極3 02在操作上連接至可變電 。一第二接地電極 3 (Μ爲一環,此環繞第一 3 02。一第三接地電極 308置於電力電極鄰近 電容器 310電連接至第三接地電極。 在操作中,預期處理室 300中之接地電極 可操作者控制拘束電漿頂部及束電漿側方上之離 精於本藝之人士應明瞭第二接地電極 306亦可 連接至地之可調整電路,以控制其各別阻抗。 參考圖 6,其中顯示一處理室 350,具有 極。第一接地電極352接地,並具有面積小於 353。第二接地電極354爲一環,此環繞第一 352。第二接地電極 354電連接至一可變電容^ 並具有一可變阻抗。第三接地電極358爲另一 繞第二接地電極 354。第三接地電極358在操 至一可變電容器 360,且亦具有一可變阻抗。 地電極3 62置於電力電極 353附近,且在操 地之二可 電極 2 6 4 更有效控 具有連接 理室 250 極,及一 3 00 包 容器 304 接地電極 。一可變 之組合許 子能量。 適合具有 四接地電 電力電極 接地電極 器 3 5 6, 環,此環 作上連接 —第四接 作上連接 -11 - (9) (9)1327752 至一可變電容器 364。在操作中,此處理室 350許可操 作者控制拘束電漿之側方上之離子能量。 參考圖 7,其中顯示處理室 400,具有雙頻電源 402。作爲實例且非限制,雙頻電源產生 27MHz及 2MHz 之 RF 電力。電力電極 4 04 在操作上連接至雙 頻電源 402。一第一接地電極 406電連接至連接至地之 可調整電路 408。連接至地之可調整電路 408包含一可 變電容器 410,及一電感器 412,及一電阻器 414。連 接至地之可調整電路 408經組態,俾作用如高通濾波器 或低通濾波器,並許可控制第一接地電極 406 之阻抗 。一第二接地電極 416環繞第一接地電極406»第二接 地電極 416並不包含連接至地之可調整電路。一第三接 地電極 418鄰接電力電極 404。第三接地電極電連接至 電感器 420。 在操作中,可由使用一電感器 418取代電容器,控 制第三接地電極。精於本藝之人士應明瞭電感器亦可爲一 可變電感器,經組態以產生多種不同之阻抗,此由工具操 作者控制。 而且,可由連接至地之可調整電路之可變電容器 410控制第一接地電極 410之阻抗。而且,可使用連接 至地之可調整電路 408以濾去雙頻電源 402之27 MHz RF 電力或 2MHz RF 電力之任一。 參考圖 8,其中顯示由使用上述各種系統,控制一 處理室中之電漿之方法之流程圖。該方法在處理步驟 •12- 1327752 do) 4 5 2初始化’在此,建立電漿處理室之操作參數。操作 參數爲所執行之工作型式所特定。作爲實例且非限制,在 蝕刻處理,選擇氣體型式,並決定每一氣體之氣體流率。 然後輸入特定工作之操作壓力於工具中。而且,亦提供所 施加之 RF電力之量。又且’亦提供執行例解蝕刻操作 所需之時間。或且’上述系統亦適合工作於電漿協助之化 學蒸氣沉積。該方法然後進行至處理步驟 454,在此, 在處理方塊1 5 2中所辨認之例解控制參數到達備妥狀態 ,且到達所需之設定點。 該方法然後進行至處理方塊 456,在此,RF電力連 通至電力電極。在例解上,以上系統指一單個電力電極, 然而,精於本藝之人士應明瞭具有本說明之優點,本專利 所述之系統及方法可應用於具有多個電力電極之處理室。 在例解方法之處理方塊 458,然後產生一拘束之電 漿。一旦產生該電漿,則決定應否修改離子能量及電漿密 度。在決定菱塊 460中作此決定。如決定修改拘束電漿 之離子能量’則該方法進行處理方塊 462,在此修改可 調整之連接電路。如應更改電漿密度,則該方法進行至處 理方塊 463’並修改電力,以控制電漿密度。可調整連 接電路由修接地電極之阻抗控制離子能量。電漿密度可由 電源控制。 如在決定菱塊 460中決定電漿之性質爲可接受,則 該方法然後進行至處理方塊 464,在此處理基體或晶圓 。普通精於本藝之人士應明瞭具有本說明之優點,連接至 -13- (11) 1327752 地之可調整電路可經組態,俾例解之拘束電漿具有所需之 離子能量及能漿密度。 雖以上說明包含許多不同之實施例,但應不解釋此等 爲限制本發明之範圍,而是僅提供例解本發明之一些現較 宜之實施例。故此’本發明之範圍應由後附申請專利及其 法律相等者,而非由所提供之例解實例決定。
【圖式簡單說明】 本發明之較宜實施例顯示於附圖中,其中: 圖 1爲先前系統,具有產生電容交連電漿之一處理 室。 圖 2爲圖1所示之電漿處理室之內部之斷面圖。 圖 3爲具有連接至地之可調整電路之電漿處理室之 第一實施例之斷面圖。
圖 4爲具有連接至地之可調整電路之電漿處理室之 第二實施例之斷面圖。 圖 5爲具有連接至地之可調整電路之電漿處理室之 第三實施例之斷面圖。 圖 6爲具有連接至地之可調整電路之電漿處理室之 第四實施例之斷面圖。 圖 7爲具有連接至地之可調整電路之電漿處理室之 第五實施例之斷面圖。 圖 8爲用以控制處理室中之電漿之方法之流程圖。 -14 - (12)1327752 主要元件對照表 1 00 系統 100,200,250 電漿處理室 1 02 內部 1 04 真空泵 1 06 氣體供應器 108 RF來源 1 1 0 匹配網路 112,122 電力電極 114 電極 116,124 晶圓或基體 120,2 16 拘束環 1 26,25 8 絕緣環 1 28 RF電源 1 3 0,2 06,3 02 接地電極 202,252,3 5 3 電力電極 204,254 電源 208,408 地電路 210,256 石英焦點環 21 2 接地電極環 21 8 電容器 22 0 電阻器 262,3 04,3 5 6,4 1 0 可變電容器 402 雙頻率電源 -15- (13) 1327752 420,4 1 8 電感器 -16

Claims (1)

1327752 ^ φ 外年3月日修(更)正本 拾、申請專利範圍 附件5 :第92 1 3 62 73號專利申請案 中文申請專利範圍替換本 • 民國99年3月11曰修正 1·—種用以產生電漿之電漿處理室,該電漿處理室 包含: 一電力電極,經組態以接受一晶圓或基體; 一第一接地電極,置於與該電力電極相對,其中該電 漿被產生於該電力電極與該第一接地電極之間; 一第二接地電極,環繞該第一接地電極;及 一連接至地之可調整電路,電連接至該第一接地電極 ,該第一接地電極經由該連接至地之可調整電路電連接至 地,該可調整電路經組態,以修改該第一接地電極之阻抗 ,該第二接地電極電連接至地,而沒有經由任何電容器連 接至地,其中該連接至地之可調整電路包含至少一電容器 及一電阻器,該電容器經由該電阻器連接至地。 2-如申請專利範圍第 1項所述之電漿處理室,其 中該連接至地之可調整電路包括至少一可變電容器及該電 阻器,該可變電容器經由該電阻器電連接至地。 3. 如申請專利範圍第 1項所述之電漿處理室,其 中該連接至地之可調整電路包括至少一電容器,該電容器 具有一固定電容* 4. 如申請專利範圍第 1項所述之電漿處理室,其 1327752 中該連接至地之可調整電路包括至少一電容器,該電容器 之電容爲小於lOOOpf。 5.如申請專利範圍第 4項所述之電漿處理室,其 中該連接至地之可調整電路包括至少一電.容器,該電容器 之電容爲2pf。 6. 如申請專利範圍第 1項所述之電漿處理室,其 中該連接至地之可調整電路包括至少一電阻器。
7. 如申請專利範圍第 6 項所述之電漿處理室,其 中該電阻器之電阻爲3微歐姆。 8. 如申請專利範圍第 1項所述之電漿處理室,其 中該連接至地之可調整電路包括至少一電阻器及一電容器 9. 如申請專利範圍第 1項所述之電漿處理室,其 中該第一接地電極之阻抗不同於該第二接地電極之阻抗。 10. 如申請專利範圍第 1項所述之電漿處理室,其 # 中該第一接地電極之阻抗高於該第二接地電極之阻抗。 11. 如申請專利範圍第 1項所述之電漿處理室,其 中該電力電極只與單一電源連接。 12. 如申請專利範圍第 1項所述之電漿處理室,另 包含: ~焦點環,該焦點環環繞該電力電極;及 一第三接地電極,該第三接地電極置於該焦點環下方 ) 其中該第一接地電極之阻抗不同於該第三接地電極之 -2- 1327752 阻抗。 1 3 .如申請專利範圍第 1 2項所述之電漿處理室, 其中該第一接地電極之阻抗高於該第三接地電極之阻抗。 14. 如申請專利範圍第 1項所述之電漿處理室,另 包含最多一電源,該最多一電源與該電力電極連接。 15. 如申請專利範圍第 1項所述之電漿處理室,另 包含一拘束環,其中該第二接地電極置於該拘束環與該第 一接地電極之間。 16. 如申請專利範圍第 12 項所述之電漿處理室, 其中該第一接地電極經由該連接至地之可調整電路接地。 1 7.如申請專利範圍第 1 2 項所述之電漿處理室, 其中該第二接地電極沒有經由任何該連接至地之可調整電 路接地。 1 8 .如申請專利範圍第 1 2項所述之電漿處理室, 其中該第一接地電極之表面面向該電力電極之表面,且 該第一接地電極之該表面的面積小於該電力電極之該 表面的面積。 19.如申請專利範圍第 6項所述之電漿處理室,其 中該第二接地電極的至少一部份與該電力電極的至少一部 份重疊。
TW092136273A 2002-12-20 2003-12-19 A plasma processing chamber for generating plasma TWI327752B (en)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US10/326,918 US20040118344A1 (en) 2002-12-20 2002-12-20 System and method for controlling plasma with an adjustable coupling to ground circuit

Publications (2)

Publication Number Publication Date
TW200423249A TW200423249A (en) 2004-11-01
TWI327752B true TWI327752B (en) 2010-07-21

Family

ID=32594132

Family Applications (1)

Application Number Title Priority Date Filing Date
TW092136273A TWI327752B (en) 2002-12-20 2003-12-19 A plasma processing chamber for generating plasma

Country Status (8)

Country Link
US (3) US20040118344A1 (zh)
EP (1) EP1573795B1 (zh)
JP (1) JP5129433B2 (zh)
KR (1) KR101029948B1 (zh)
CN (1) CN100380606C (zh)
AU (1) AU2003297165A1 (zh)
TW (1) TWI327752B (zh)
WO (1) WO2004059716A1 (zh)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI606754B (zh) * 2011-11-22 2017-11-21 蘭姆研究公司 電漿邊緣區域之控制系統與方法

Families Citing this family (161)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20040118344A1 (en) * 2002-12-20 2004-06-24 Lam Research Corporation System and method for controlling plasma with an adjustable coupling to ground circuit
JP4553247B2 (ja) * 2004-04-30 2010-09-29 東京エレクトロン株式会社 プラズマ処理装置
JP4628696B2 (ja) * 2004-06-03 2011-02-09 東京エレクトロン株式会社 プラズマcvd装置
US20060000799A1 (en) * 2004-06-30 2006-01-05 Hyun-Ho Doh Methods and apparatus for determining endpoint in a plasma processing system
KR20060005560A (ko) * 2004-07-13 2006-01-18 삼성전자주식회사 플라즈마를 이용하는 반도체 소자 제조 장비
US7845309B2 (en) * 2004-07-13 2010-12-07 Nordson Corporation Ultra high speed uniform plasma processing system
JP4628874B2 (ja) * 2005-06-03 2011-02-09 東京エレクトロン株式会社 プラズマ処理装置及び電位制御装置
US7988814B2 (en) * 2006-03-17 2011-08-02 Tokyo Electron Limited Plasma processing apparatus, plasma processing method, focus ring, and focus ring component
US20070221332A1 (en) * 2006-03-22 2007-09-27 Tokyo Electron Limited Plasma processing apparatus
US7517437B2 (en) * 2006-03-29 2009-04-14 Applied Materials, Inc. RF powered target for increasing deposition uniformity in sputtering systems
US7611603B2 (en) * 2006-03-31 2009-11-03 Tokyo Electron Limited Plasma processing apparatus having impedance varying electrodes
US7932181B2 (en) * 2006-06-20 2011-04-26 Lam Research Corporation Edge gas injection for critical dimension uniformity improvement
US7837826B2 (en) * 2006-07-18 2010-11-23 Lam Research Corporation Hybrid RF capacitively and inductively coupled plasma source using multifrequency RF powers and methods of use thereof
US20080156772A1 (en) * 2006-12-29 2008-07-03 Yunsang Kim Method and apparatus for wafer edge processing
JP5160802B2 (ja) * 2007-03-27 2013-03-13 東京エレクトロン株式会社 プラズマ処理装置
JP5348848B2 (ja) * 2007-03-28 2013-11-20 東京エレクトロン株式会社 プラズマ処理装置
US20170213734A9 (en) * 2007-03-30 2017-07-27 Alexei Marakhtanov Multifrequency capacitively coupled plasma etch chamber
US8450635B2 (en) 2007-03-30 2013-05-28 Lam Research Corporation Method and apparatus for inducing DC voltage on wafer-facing electrode
US8563619B2 (en) * 2007-06-28 2013-10-22 Lam Research Corporation Methods and arrangements for plasma processing system with tunable capacitance
JP2009081812A (ja) * 2007-09-27 2009-04-16 Nec Electronics Corp 信号処理装置および信号処理方法
JP5165993B2 (ja) * 2007-10-18 2013-03-21 東京エレクトロン株式会社 プラズマ処理装置
CN101478857A (zh) * 2008-01-04 2009-07-08 北京北方微电子基地设备工艺研究中心有限责任公司 等离子体处理装置
US8522715B2 (en) * 2008-01-08 2013-09-03 Lam Research Corporation Methods and apparatus for a wide conductance kit
JP2009187673A (ja) * 2008-02-01 2009-08-20 Nec Electronics Corp プラズマ処理装置及び方法
US8920611B2 (en) * 2008-07-15 2014-12-30 Applied Materials, Inc. Method for controlling radial distribution of plasma ion density and ion energy at a workpiece surface by multi-frequency RF impedance tuning
US9887069B2 (en) * 2008-12-19 2018-02-06 Lam Research Corporation Controlling ion energy distribution in plasma processing systems
JP5350043B2 (ja) 2009-03-31 2013-11-27 東京エレクトロン株式会社 プラズマ処理装置及びプラズマ処理方法
US9275838B2 (en) * 2009-09-02 2016-03-01 Lam Research Corporation Arrangements for manipulating plasma confinement within a plasma processing system and methods thereof
JP5606063B2 (ja) * 2009-12-28 2014-10-15 東京エレクトロン株式会社 プラズマ処理装置
US9324576B2 (en) 2010-05-27 2016-04-26 Applied Materials, Inc. Selective etch for silicon films
JP2012004160A (ja) * 2010-06-14 2012-01-05 Tokyo Electron Ltd 基板処理方法及び基板処理装置
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US9064815B2 (en) 2011-03-14 2015-06-23 Applied Materials, Inc. Methods for etch of metal and metal-oxide films
US8999856B2 (en) 2011-03-14 2015-04-07 Applied Materials, Inc. Methods for etch of sin films
CN102395243A (zh) * 2011-10-19 2012-03-28 中微半导体设备(上海)有限公司 改进等离子均匀性和效率的电感耦合等离子装置
US10586686B2 (en) 2011-11-22 2020-03-10 Law Research Corporation Peripheral RF feed and symmetric RF return for symmetric RF delivery
TWM440606U (en) 2012-04-13 2012-11-01 Ajoho Entpr Co Ltd Network signal coupling circuit
US9267739B2 (en) 2012-07-18 2016-02-23 Applied Materials, Inc. Pedestal with multi-zone temperature control and multiple purge capabilities
US9373517B2 (en) * 2012-08-02 2016-06-21 Applied Materials, Inc. Semiconductor processing with DC assisted RF power for improved control
US9023734B2 (en) 2012-09-18 2015-05-05 Applied Materials, Inc. Radical-component oxide etch
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
US9337000B2 (en) 2013-10-01 2016-05-10 Lam Research Corporation Control of impedance of RF return path
US9401264B2 (en) 2013-10-01 2016-07-26 Lam Research Corporation Control of impedance of RF delivery path
US8921234B2 (en) 2012-12-21 2014-12-30 Applied Materials, Inc. Selective titanium nitride etching
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US9362130B2 (en) 2013-03-01 2016-06-07 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
US9040422B2 (en) 2013-03-05 2015-05-26 Applied Materials, Inc. Selective titanium nitride removal
KR102156894B1 (ko) * 2013-03-07 2020-09-17 세메스 주식회사 기판 처리 장치 및 플라즈마 제어 방법
US20140271097A1 (en) 2013-03-15 2014-09-18 Applied Materials, Inc. Processing systems and methods for halide scavenging
KR102038647B1 (ko) * 2013-06-21 2019-10-30 주식회사 원익아이피에스 기판 지지 장치 및 이를 구비하는 기판 처리 장치
US9493879B2 (en) 2013-07-12 2016-11-15 Applied Materials, Inc. Selective sputtering for pattern transfer
US9773648B2 (en) 2013-08-30 2017-09-26 Applied Materials, Inc. Dual discharge modes operation for remote plasma
US9232626B2 (en) 2013-11-04 2016-01-05 Kla-Tencor Corporation Wafer grounding using localized plasma source
US9576809B2 (en) 2013-11-04 2017-02-21 Applied Materials, Inc. Etch suppression with germanium
US9520303B2 (en) 2013-11-12 2016-12-13 Applied Materials, Inc. Aluminum selective etch
US9245762B2 (en) 2013-12-02 2016-01-26 Applied Materials, Inc. Procedure for etch rate consistency
WO2015099892A1 (en) * 2013-12-23 2015-07-02 Applied Materials, Inc. Extreme edge and skew control in icp plasma reactor
US9499898B2 (en) 2014-03-03 2016-11-22 Applied Materials, Inc. Layered thin film heater and method of fabrication
US9472410B2 (en) * 2014-03-05 2016-10-18 Applied Materials, Inc. Pixelated capacitance controlled ESC
US9299537B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9903020B2 (en) 2014-03-31 2018-02-27 Applied Materials, Inc. Generation of compact alumina passivation layers on aluminum plasma equipment components
US9211500B2 (en) * 2014-04-29 2015-12-15 Korea Institute Of Machinery & Materials Plasma reactor for abating hazardous material
US9309598B2 (en) 2014-05-28 2016-04-12 Applied Materials, Inc. Oxide and metal removal
US9425058B2 (en) 2014-07-24 2016-08-23 Applied Materials, Inc. Simplified litho-etch-litho-etch process
US9496167B2 (en) 2014-07-31 2016-11-15 Applied Materials, Inc. Integrated bit-line airgap formation and gate stack post clean
US9659753B2 (en) 2014-08-07 2017-05-23 Applied Materials, Inc. Grooved insulator to reduce leakage current
US9553102B2 (en) 2014-08-19 2017-01-24 Applied Materials, Inc. Tungsten separation
US9478434B2 (en) 2014-09-24 2016-10-25 Applied Materials, Inc. Chlorine-based hardmask removal
US9613822B2 (en) 2014-09-25 2017-04-04 Applied Materials, Inc. Oxide etch selectivity enhancement
US9355922B2 (en) 2014-10-14 2016-05-31 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
US10224210B2 (en) 2014-12-09 2019-03-05 Applied Materials, Inc. Plasma processing system with direct outlet toroidal plasma source
US9502258B2 (en) 2014-12-23 2016-11-22 Applied Materials, Inc. Anisotropic gap etch
US9490116B2 (en) 2015-01-09 2016-11-08 Applied Materials, Inc. Gate stack materials for semiconductor applications for lithographic overlay improvement
US11257693B2 (en) 2015-01-09 2022-02-22 Applied Materials, Inc. Methods and systems to improve pedestal temperature control
US9449846B2 (en) 2015-01-28 2016-09-20 Applied Materials, Inc. Vertical gate separation
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US9728437B2 (en) 2015-02-03 2017-08-08 Applied Materials, Inc. High temperature chuck for plasma processing systems
US9881805B2 (en) 2015-03-02 2018-01-30 Applied Materials, Inc. Silicon selective removal
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US9691645B2 (en) 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US9349605B1 (en) 2015-08-07 2016-05-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
CN107305830B (zh) * 2016-04-20 2020-02-11 中微半导体设备(上海)股份有限公司 电容耦合等离子体处理装置与等离子体处理方法
US10522371B2 (en) 2016-05-19 2019-12-31 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US9865484B1 (en) 2016-06-29 2018-01-09 Applied Materials, Inc. Selective etch using material modification and RF pulsing
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
US10062575B2 (en) 2016-09-09 2018-08-28 Applied Materials, Inc. Poly directional etch by oxidation
US9934942B1 (en) 2016-10-04 2018-04-03 Applied Materials, Inc. Chamber with flow-through source
US9721789B1 (en) 2016-10-04 2017-08-01 Applied Materials, Inc. Saving ion-damaged spacers
US10062585B2 (en) 2016-10-04 2018-08-28 Applied Materials, Inc. Oxygen compatible plasma source
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US10062579B2 (en) 2016-10-07 2018-08-28 Applied Materials, Inc. Selective SiN lateral recess
US9947549B1 (en) 2016-10-10 2018-04-17 Applied Materials, Inc. Cobalt-containing material removal
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US9768034B1 (en) 2016-11-11 2017-09-19 Applied Materials, Inc. Removal methods for high aspect ratio structures
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
US10242908B2 (en) 2016-11-14 2019-03-26 Applied Materials, Inc. Airgap formation with damage-free copper
US10566206B2 (en) 2016-12-27 2020-02-18 Applied Materials, Inc. Systems and methods for anisotropic material breakthrough
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10403507B2 (en) 2017-02-03 2019-09-03 Applied Materials, Inc. Shaped etch profile with oxidation
US10043684B1 (en) 2017-02-06 2018-08-07 Applied Materials, Inc. Self-limiting atomic thermal etching systems and methods
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
US10319649B2 (en) 2017-04-11 2019-06-11 Applied Materials, Inc. Optical emission spectroscopy (OES) for remote plasma monitoring
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US10049891B1 (en) 2017-05-31 2018-08-14 Applied Materials, Inc. Selective in situ cobalt residue removal
US10497579B2 (en) 2017-05-31 2019-12-03 Applied Materials, Inc. Water-free etching methods
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US10541184B2 (en) 2017-07-11 2020-01-21 Applied Materials, Inc. Optical emission spectroscopic techniques for monitoring etching
US10354889B2 (en) 2017-07-17 2019-07-16 Applied Materials, Inc. Non-halogen etching of silicon-containing materials
US10170336B1 (en) 2017-08-04 2019-01-01 Applied Materials, Inc. Methods for anisotropic control of selective silicon removal
US10043674B1 (en) 2017-08-04 2018-08-07 Applied Materials, Inc. Germanium etching systems and methods
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US10128086B1 (en) 2017-10-24 2018-11-13 Applied Materials, Inc. Silicon pretreatment for nitride removal
US10283324B1 (en) 2017-10-24 2019-05-07 Applied Materials, Inc. Oxygen treatment for nitride etching
KR101881779B1 (ko) * 2017-10-31 2018-07-25 에스케이 텔레콤주식회사 이온트랩 장치를 위한 필터 및 그 설계방법
US10256112B1 (en) 2017-12-08 2019-04-09 Applied Materials, Inc. Selective tungsten removal
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
TWI716818B (zh) 2018-02-28 2021-01-21 美商應用材料股份有限公司 形成氣隙的系統及方法
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10497573B2 (en) 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
US10490406B2 (en) 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
KR102512209B1 (ko) * 2018-11-16 2023-03-21 주식회사 원익아이피에스 기판처리장치
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes
US20200395199A1 (en) * 2019-06-14 2020-12-17 Asm Ip Holding B.V. Substrate treatment apparatus and method of cleaning inside of chamber
CN112151343B (zh) * 2019-06-28 2023-03-24 中微半导体设备(上海)股份有限公司 一种电容耦合等离子体处理装置及其方法
KR102279639B1 (ko) * 2019-07-09 2021-07-20 한양대학교 산학협력단 기판 처리 장치
CN110379701A (zh) * 2019-07-24 2019-10-25 沈阳拓荆科技有限公司 具有可调射频组件的晶圆支撑座
CN112530776B (zh) * 2019-09-18 2024-02-09 中微半导体设备(上海)股份有限公司 一种等离子体处理装置
KR20210042653A (ko) * 2019-10-10 2021-04-20 주성엔지니어링(주) 기판 처리 장치
USD943539S1 (en) 2020-03-19 2022-02-15 Applied Materials, Inc. Confinement plate for a substrate processing chamber
USD979524S1 (en) 2020-03-19 2023-02-28 Applied Materials, Inc. Confinement liner for a substrate processing chamber
US11380524B2 (en) 2020-03-19 2022-07-05 Applied Materials, Inc. Low resistance confinement liner for use in plasma chamber
CN113808900B (zh) * 2020-06-17 2023-09-29 中微半导体设备(上海)股份有限公司 一种等离子体处理装置及其约束环组件与方法
CN114023620B (zh) * 2021-10-29 2023-07-14 德鸿半导体设备(浙江)有限公司 一种用于处理基片的处理站

Family Cites Families (32)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS58158929A (ja) * 1982-03-17 1983-09-21 Kokusai Electric Co Ltd プラズマ発生装置
US4464223A (en) * 1983-10-03 1984-08-07 Tegal Corp. Plasma reactor apparatus and method
JPS60189925A (ja) * 1984-03-10 1985-09-27 Anelva Corp 高周波放電反応装置
JPS61166028A (ja) * 1985-01-17 1986-07-26 Anelva Corp ドライエツチング装置
JPS61174633A (ja) * 1985-01-29 1986-08-06 Ulvac Corp スパッタエッチング装置
JPS61175633A (ja) 1985-01-30 1986-08-07 Toshiba Corp 画像形成装置
JPS61176633A (ja) 1985-01-31 1986-08-08 Mitsubishi Rayon Co Ltd 複合プリプレグ
JPH029115A (ja) * 1988-06-28 1990-01-12 Mitsubishi Electric Corp 半導体製造装置
JPH04157164A (ja) * 1990-10-18 1992-05-29 Canon Inc プラズマ処理装置
JPH05234697A (ja) * 1992-02-19 1993-09-10 Hitachi Ltd マイクロ波プラズマ処理装置
JPH0661185A (ja) * 1992-08-06 1994-03-04 Tokyo Electron Ltd プラズマ処理装置
KR0141659B1 (ko) * 1993-07-19 1998-07-15 가나이 쓰토무 이물제거 방법 및 장치
KR100302167B1 (ko) * 1993-11-05 2001-11-22 히가시 데쓰로 플라즈마처리장치및플라즈마처리방법
JP2956494B2 (ja) 1994-10-26 1999-10-04 住友金属工業株式会社 プラズマ処理装置
JPH08321488A (ja) * 1995-05-26 1996-12-03 Sony Corp ドライエッチング方法及びマグネトロンrie装置
US5534751A (en) * 1995-07-10 1996-07-09 Lam Research Corporation Plasma etching apparatus utilizing plasma confinement
US6051284A (en) * 1996-05-08 2000-04-18 Applied Materials, Inc. Chamber monitoring and adjustment by plasma RF metrology
US5925519A (en) 1996-06-03 1999-07-20 The Regents Of The University Of California Genetic alterations associated with prostate cancer
JP3022806B2 (ja) * 1997-05-15 2000-03-21 九州日本電気株式会社 半導体装置の製造装置及びその調整方法
US6008130A (en) * 1997-08-14 1999-12-28 Vlsi Technology, Inc. Polymer adhesive plasma confinement ring
US6090304A (en) * 1997-08-28 2000-07-18 Lam Research Corporation Methods for selective plasma etch
US5998932A (en) * 1998-06-26 1999-12-07 Lam Research Corporation Focus ring arrangement for substantially eliminating unconfined plasma in a plasma processing chamber
US6350317B1 (en) * 1999-12-30 2002-02-26 Lam Research Corporation Linear drive system for use in a plasma processing system
US6872281B1 (en) * 2000-09-28 2005-03-29 Lam Research Corporation Chamber configuration for confining a plasma
US6677711B2 (en) 2001-06-07 2004-01-13 Lam Research Corporation Plasma processor method and apparatus
US6770166B1 (en) * 2001-06-29 2004-08-03 Lam Research Corp. Apparatus and method for radio frequency de-coupling and bias voltage control in a plasma reactor
US6984288B2 (en) * 2001-08-08 2006-01-10 Lam Research Corporation Plasma processor in plasma confinement region within a vacuum chamber
US6844560B2 (en) 2001-08-13 2005-01-18 Mapper Lithography Ip B.V. Lithography system comprising a converter plate and means for protecting the converter plate
US6706138B2 (en) 2001-08-16 2004-03-16 Applied Materials Inc. Adjustable dual frequency voltage dividing plasma reactor
US6744212B2 (en) * 2002-02-14 2004-06-01 Lam Research Corporation Plasma processing apparatus and method for confining an RF plasma under very high gas flow and RF power density conditions
US7086347B2 (en) * 2002-05-06 2006-08-08 Lam Research Corporation Apparatus and methods for minimizing arcing in a plasma processing chamber
US20040118344A1 (en) * 2002-12-20 2004-06-24 Lam Research Corporation System and method for controlling plasma with an adjustable coupling to ground circuit

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI606754B (zh) * 2011-11-22 2017-11-21 蘭姆研究公司 電漿邊緣區域之控制系統與方法

Also Published As

Publication number Publication date
EP1573795B1 (en) 2017-02-15
KR20050089976A (ko) 2005-09-09
US20060112878A1 (en) 2006-06-01
EP1573795A4 (en) 2007-07-18
US20130306240A1 (en) 2013-11-21
AU2003297165A1 (en) 2004-07-22
TW200423249A (en) 2004-11-01
KR101029948B1 (ko) 2011-04-19
CN100380606C (zh) 2008-04-09
EP1573795A1 (en) 2005-09-14
WO2004059716A1 (en) 2004-07-15
US20040118344A1 (en) 2004-06-24
JP2006511059A (ja) 2006-03-30
US8518211B2 (en) 2013-08-27
US9190302B2 (en) 2015-11-17
JP5129433B2 (ja) 2013-01-30
CN1726584A (zh) 2006-01-25

Similar Documents

Publication Publication Date Title
TWI327752B (en) A plasma processing chamber for generating plasma
CN101426949B (zh) 用于等离子蚀刻室的集成的电容性和电感性电源
US20170162417A1 (en) Method and apparatus for clamping and declamping substrates using electrostatic chucks
TWI431683B (zh) Plasma processing device and plasma processing method
TWI448212B (zh) 電漿處理之設備與方法
JP5470421B2 (ja) プラズマ処理チャンバ
TWI517764B (zh) 多頻電容耦合電漿蝕刻腔室
JP2011519117A (ja) プラズマチャンバ内の調整可能接地面
CN101043784A (zh) 混合等离子体反应器
TWI729495B (zh) 高溫rf加熱器座
JP6289860B2 (ja) プラズマエッチングチャンバ用のtcctマッチング回路
TW200405660A (en) Fixed matching network with increased match range capabilities
JPH1064883A (ja) プラズマ装置
US20040261714A1 (en) Plasma processing apparatus
KR101173574B1 (ko) 기판처리방법
TWM588353U (zh) 電感耦合等離子體處理器
KR102467966B1 (ko) 하이브리드 플라즈마 발생 장치 및 하이브리드 플라즈마 발생 장치의 제어방법
US11495470B1 (en) Method of enhancing etching selectivity using a pulsed plasma
JP7495940B2 (ja) 高電圧フィルタアセンブリ
TW202243000A (zh) 基板處理方法及基板處理裝置
KR102298032B1 (ko) 고 주파수 무선 주파수에 대한 전극 임피던스를 튜닝하고 저 주파수 무선 주파수를 접지로 종단하기 위한 장치 및 방법

Legal Events

Date Code Title Description
MM4A Annulment or lapse of patent due to non-payment of fees