CN1726584A - 使用可调耦合接地电路控制等离子体的装置及方法 - Google Patents

使用可调耦合接地电路控制等离子体的装置及方法 Download PDF

Info

Publication number
CN1726584A
CN1726584A CNA2003801061318A CN200380106131A CN1726584A CN 1726584 A CN1726584 A CN 1726584A CN A2003801061318 A CNA2003801061318 A CN A2003801061318A CN 200380106131 A CN200380106131 A CN 200380106131A CN 1726584 A CN1726584 A CN 1726584A
Authority
CN
China
Prior art keywords
plasma
electrode
adjustable coupling
earthed circuit
processing chamber
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CNA2003801061318A
Other languages
English (en)
Other versions
CN100380606C (zh
Inventor
倪图强
温利·科利森
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Lam Research Corp
Original Assignee
Lam Research Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Lam Research Corp filed Critical Lam Research Corp
Publication of CN1726584A publication Critical patent/CN1726584A/zh
Application granted granted Critical
Publication of CN100380606C publication Critical patent/CN100380606C/zh
Anticipated expiration legal-status Critical
Expired - Lifetime legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67063Apparatus for fluid treatment for etching
    • H01L21/67069Apparatus for fluid treatment for etching for drying etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32091Radio frequency generated discharge the radio frequency energy being capacitively coupled to the plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32174Circuits specially adapted for controlling the RF discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32532Electrodes
    • H01J37/32577Electrical connecting means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32697Electrostatic control
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32917Plasma diagnostics
    • H01J37/32935Monitoring and controlling tubes by information coming from the object and/or discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Plasma & Fusion (AREA)
  • Chemical & Material Sciences (AREA)
  • Analytical Chemistry (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Plasma Technology (AREA)
  • Drying Of Semiconductors (AREA)
  • Chemical Vapour Deposition (AREA)

Abstract

一种用于控制等离子体的系统及方法。该系统包括半导体室,该半导体室包括带电电极、另外的电极、以及可调耦合接地电路。带电电极用于接收晶片或衬底。至少存在一个用于与带电电极电连接的接地电极。至少一个接地电极电耦合至可调耦合接地电路。可调耦合接地电路用于改变接地电极的阻抗。等离子体的离子能量由可调耦合接地电路控制。

Description

使用可调耦合接地电路控制 等离子体的装置及方法
技术领域
本发明涉及半导体制造。更特别地,本发明涉及半导体制造过程中的等离子体处理。
背景技术
在基于装置(例如,集成电路或平板显示器)的半导体制造过程中,可将多个材料层交替地沉积至晶片或衬底表面(例如,半导体晶片或玻璃板)上,并从晶片或衬底表面蚀刻掉。如本领域技术人员所公知的,沉积层的蚀刻可通过各种技术来实现,包括等离子体增强蚀刻。在等离子体增强蚀刻中,晶片或衬底的实际蚀刻在等离子体处理室中进行。在蚀刻处理过程中,等离子体由合适的蚀刻气体形成,蚀刻气体用来蚀刻晶片或衬底未用掩模保护而留下期望图样的区域。
在等离子体增强蚀刻中采用了两种类型的等离子体,即约束等离子体和无约束等离子体。无约束等离子体接触等离子体处理室壁,并由从室壁再沉积原子至晶片或衬底上,从而可能污染该晶片或衬底。通常,等离子体室壁由与晶片或衬底不相容的材料制成。关于约束等离子体,由于通过一些方法防止等离子体接触室壁,所以只有极少污染或没有污染。因而,约束等离子体提供了熟知的非约束等离子体所未提供的清洁程度。
在现有技术系统中,可通过建立各种本质上是电的或磁的排斥场来防止等离子体到达室壁。作为实例,等离子体由存在于室壁内的多个约束环(confinement ring)约束,具体而言,采用通过在等离子体刚好到达约束环的内部限制之前从等离子体中排出电荷的方式进行约束。由于约束环由绝缘材料制成,所以其将被充电至同等于等离子体的电压。因此,排斥电场将从各约束环的前缘发出,其将防止等离子体伸向室壁。
参照图1,示出了一种现有技术系统100,其具有产生电容耦合RF等离子体的处理室。作为实例而并非作为限定,该所示系统是由Lam Research Corporation制造的EXELAN系统。所示系统100包括平行板等离子体反应堆例如反应堆100。反应堆100包括具有内部102的室,其由连接至反应堆壁中出口的真空泵104维持在理想的真空压力。提供来自气体源106的气体,可将蚀刻气体提供给等离子体反应堆。例如,可通过双频装置在反应堆中产生中等密度等离子体,其中将来自于RF源108的RF能量通过匹配网络110提供给带电电极(powered electrode)112。RF源108用于提供27MHz和2MHz的RF电能。电极114是接地电极。晶片或衬底116由带电电极112支撑,利用通过将蚀刻气体加压至等离子体态所产生的等离子体进行蚀刻。多个约束环120a及120b用于约束等离子体。也可使用其他的电容耦合反应堆,例如,将RF电能同时提供给两个电极的例如双频等离子体蚀刻反应堆的反应堆,其在共同所属的美国专利第No.6,090,304号中有所描述,其内容结合于此作为参考。
参照图2,示出了等离子体处理室100的内部102的截面图。内部102包括约束环120a及120b。尽管所示只有两个约束环,但可以设置为任意多个约束环。在等离子体处理室100的内部102之内,示出了带电电极122,在其之上适合于接收晶片或衬底124。带电电极124可由任何合适的卡盘系统实现,例如静电的、机械的、钳位的、或真空的等,并且其被绝缘体126例如石英聚焦环环绕。在蚀刻过程中,RF电源128可将频率约2MHz至约27MHz的RF电能传送至带电电极122。在晶片或衬底124之上,布置了接地电极130,其耦合至约束环120a及120b。另一接地电极132邻接绝缘环126,并位于靠近带电电极122的地方。在工作中,RF电源128将RF电能传送至带电电极122,该电极电耦合至接地电极130。
发明内容
本发明提供了一种系统及方法,用于控制设置为产生等离子体的室中的离子能量和等离子体密度。在所示实施例中,等离子体以电容耦合放电的方式产生。半导体室包括带电电极、电源、多个接地电极、以及可调耦合接地电路。带电电极设置为接收晶片或衬底。电源可操作地耦合至带电电极。多个接地电极设置为产生与带电电极的电连接。至少一个接地电极电耦合至可调耦合接地电路。可调耦合接地电路设置为改变接地电极的阻抗。离子能量由可调接地电路控制。等离子体密度由电源控制。
可调耦合接地电路包括电容器或电感器或其组合。在一实施例中,电容器是可变电容器。在另一实施例中,电容器可具有固定电容。也可采用固定及可变电容器与电感器的组合。在另一实施例中,采用了电感器(例如具有可变电感的电感器),而不是电容器。在又一实施例中,将电容器与电感器的组合用作可调耦合接地电路。
在工作中,所示室设置为产生由多个约束环所约束的约束等离子体。在所示实施例中,存在电耦合至可调耦合接地电路的第一接地电极。可调耦合接地电路向第一接地电极提供第一阻抗。用于第一接地电极的第一阻抗取决于可调耦合接地电路中所用的电容器或电感器。第二接地电极和第三接地电极直接耦合接地。在所示实施例中,用于第一接地电极的第一阻抗大于与其他电极相关联的阻抗。因为接地电极中阻抗中的这些变化,所以可控制等离子体的离子能量。对于所示实施例,具有较高阻抗的第一接地电极将离子能量从第一接地电极移至其他接地电极。
另外,提供了一种用于控制等离子体处理室中的等离子体的方法。该方法包括第一步骤,接收等离子体处理室中的气体。带电电极设置为接收晶片或衬底,并接收来自于电源的电能。等离子体由将带电电极电耦合至第一接地电极和第二接地电极来产生。接地电极的阻抗用于控制离子能量。电源用于控制等离子体密度。
附图说明
本发明的优选实施例由以下附图示出,其中:
图1是具有产生电容耦合等离子体的处理室的现有技术系统;
图2是图1中所示的等离子体处理室的内部的截面图;
图3是具有可调耦合接地电路的等离子体处理室的第一实施例的截面图;
图4是具有可调耦合接地电路的等离子体处理室的第二实施例的截面图;
图5是具有可调耦合接地电路的等离子体处理室的第三实施例的截面图;
图6是具有可调耦合接地电路的等离子体处理室的第四实施例的截面图;
图7是具有可调耦合接地电路的等离子体处理室的第五实施例的截面图;以及
图8是一种控制处理室中的等离子体的方法的流程图。
具体实施方式
在下面的详细说明中,参照了附图,这些附图构成了本申请的一部分。附图通过图示的方式示出了本发明可实际应用的具体实施例。应该理解,可以应用其他实施例和进行结构变化,而不偏离本
发明的范围。
参照图3,示出了具有可调耦合接地电路的等离子体处理室的第一实施例。图3是设置为产生电容耦合放电的等离子体处理室200的截面图。等离子体处理室200也被称作系统。在工作中,等离子体处理室200用于接收被转变为等离子体的气体。作为实例而并非作为限定,将气体以相当高的流速泵入等离子体处理室。
等离子体处理室200包括带电电极202、电源204、以及具有可调耦合接地电路208的第一接地电极206。带电电极202适合于接收晶片或衬底。带电电极202操作性地耦合至设置为产生RF电能的电源204。作为实例而并非作为限定,第一接地电极具有的面积小于带电电极202的面积。另外,作为实例而并非作为限定,电源204是RF电源。
石英聚焦环210环绕带电电极202。另外,第二接地电极环212环绕第一接地电极206。第二接地电极环212电耦合至地,而不具有可调耦合接地电路。第三接地电极214布置于石英聚焦环210之下。第三接地电极214也不具有可调耦合接地电路。
等离子体处理室200用于产生约束等离子体。约束环216a及216b用于约束等离子体。通常,等离子体处理室壁由与晶片或衬底不相容的材料制成。约束等离子体对处理室壁极少或无污染。对于本领域的技术人员而言应当明白的是,约束等离子体提供了熟知的非约束等离子体所未提供的清洁度。
可调耦合接地电路208电耦合至第一接地电极206。可调耦合接地电路208用于改变第一接地电极206的阻抗。约束等离子体的离子能量和等离子密度由可调耦合接地电路208控制。可调耦合接地电路208包括电容器218。电容器218具有通常小于1000pf的固定电容。然而,对于本领域的技术人员而言应该明白的是,电容器218也可是可变电容器。
可调耦合接地电路208的电容器218和电阻器220产生的第一阻抗不同于第二接地电极212和第三接地电极214的阻抗。因为接地电极中阻抗中的这些变化,所以,可控制等离子体的离子能量和等离子体密度。对于第一实施例,具有可调耦合接地电路208的第一接地电极206的阻抗比第二接地电极212和第三接地电极214都高。来自第一接地电极的较高阻抗将离子能量和等离子密度从第一接地电极转移,从而使离子能量和等离子体密度转移至具有较低阻抗的接地电极。
在现有技术中,双频RF电源(例如27MHz和2MHz),用于等离子体密度和离子能量的独立控制。在本发明中,处理室200允许用一个RF源独立控制等离子体密度和离子能量。与接地电极结合的可调耦合接地电路208允许用一个RF源独立控制离子能量。等离子体密度主要由电源204提供的总功率控制。
示意性的数学模型用于确定控制离子能量和等离子密度的能力。往回参照图1和图2中的现有技术处理室,将1200V(峰间值)及27MHzRF的电能施加至底部电极122,所得DC偏压约为302V以及等离子体电极电压为-858V。接下来参照图3,所示可调耦合接地电路包括电容为2pF的电容器218以及电阻为3μΩ的电阻器220。对于处理室200,将1100V及27MHz的RF电能施加至带电电极202,以实现与由处理室100产生的等离子体相类似的等离子体密度和等离子体分布。另外,由于第一接地电极处的阻抗中的变化,DC偏压仅为-200V,以及等离子体电极电压为659V。所示实施例清楚地表明处理室200内的等离子体密度和离子能量可通过改变RF电能和通过可调耦合接地电路来控制。
参照图4,示出了另一设置为控制离子能量和等离子体密度的处理室250。带电电极252可操作地耦合至电源254。石英聚焦环256环绕带电电极252。等离子体在处理室250内形成,并受到约束环258的约束。第一接地电极260具有大于第一带电电极252的表面面积。第一接地电极260电耦合至允许可调耦合接地的可变电容器262。作为实例而并非作为限定,可变电容器262的电容范围为5pF至1000pF。第二接地电极264是环绕第一接地电极260的接地环。第二接地电极264可操作地耦合至另一可变电容器266。第三接地电极268布置在石英聚焦环256之下。
在工作中,处理室250允许比处理室200更高程度地控制离子能量。由具有两个可调耦合接地电路而提供了该改进的控制。第一接地电极260和第二接地电极264具有改变其各自阻抗的能力。因此,操作者可更有效地控制约束等离子体的“顶部”。
参照图5,示出了又一具有可调耦合接地电路的等离子体处理室300的实施例。处理室300与图4的处理室250大部分相同,例如约束环、聚焦环、带电电极、以及电源。这两种处理室之间的区别与接地电极有关。处理室300包括操作性地耦合至可变电容器304的第一接地电极302。第二接地电极306是环绕第一接地电极302的环。第三接地电极308布置于邻近带电电极309的地方。可变电容器310电耦合至第三接地电极。
在工作中,预期处理室300中接地电极的结合将允许操作者控制约束等离子体的顶部上和约束等离子体的侧面上的离子能量和等离子体密度。对于本领域的技术人员而言应该明白的是,第二接地电极306还可适用于使可调耦合接地电路控制其各自的阻抗。
参照图6,示出了具有四个接地电极的等离子体处理室350。第一接地电极352接地并具有小于带电电极353的面积。第二接地电极354是环绕第一接地电极352的环。第二接地电极354电耦合至可变电容器356,并具有可变阻抗。第三接地电极358是环绕第二接地电极354的另一环。第三接地电极358操作性地耦合至可变电容器360,也具有可变阻抗。第四接地电极362位于靠近带电电极353的地方,并操作性地耦合至可变电容器364。在工作中,该处理室350允许操作者控制约束等离子体的侧面上的离子能量。
参照图7,示出了具有双频电源402的等离子体处理室400。作为实例而并非作为限定,双频电源产生27MHz和2MHz的RF电能。带电电极404操作性地耦合至双频电源402。第一接地电极406电耦合至可调耦合接地电路408。可调耦合接地电路408包括可变电容器410、电感器412、以及电阻器414。可调耦合接地电路408被设置为起到高通滤波器或低通滤波器的作用,另外允许控制第一接地电极406的阻抗。第二接地电极416环绕第一接地电极406。第二接地电极416不包括可调耦合接地电路。第三接地电极418邻近带电电极404。第三接地电极电耦合至电感器420。
在工作中,可通过使用电感器418代替电容器来控制第三接地电极的阻抗。对于本领域的技术人员而言应该明白的是,电感器还可以是可变电感器,设置为产生多个由设备操作者控制的不同的电感。
此外,第一接地电极410的阻抗可由可调耦合接地电路的可变电容器410、电感器412、以及电阻器414来控制。另外,可调耦合接地电路408可用于滤出双频电源402的27MHz RF电能或2MHz RF电能。
参照图8,示出了一种通过使用上述各种系统来控制处理室中的等离子体的方法450的流程图。该方法在处理步骤452中进行初始化,在该步骤中设定关于等离子体处理室的操作参数。将操作参数确定为所执行任务的类型。作为实例而并非作为限定,对于蚀刻处理而言,选定气体类型,并且确定各气体的气体流速。随后用于特定任务的操作压力施加给装置。另外,还提供要施加的RF电能(power)值。此外,还提供执行所示蚀刻操作所需要的时间。可选地,上述系统还可适合于以等离子体辅助化学气相沉积的方式运行。该方法随后进行至处理步骤454,其中在步骤方框452中所设定的所示控制参数达到稳定状态,并且达到期望设置点。
该方法随后进行至步骤方框456,其中RF电能传送至带电电极。出于说明目的,上述系统指的是单个带电电极,然而,对于本领域的技术人员而言应该明白的是,从本说明书中可以看出本发明所述的系统和方法可以应用于具有多个带电电极的处理室。
在所示方法的步骤方框458中,随后产生了约束等离子体。一旦产生了等离子体,则判断是否应改变离子能量和等离子体密度。该判断在判断菱形框460做出。如果判断是要改变约束等离子体的离子能量,那么该方法进行至步骤方框462,其中可调耦合电路被改变。如果必须改变等离子体密度,那么该方法进行至步骤方框463,并改变功率以控制等离子体密度。可调耦合电路通过改变接地电极的阻抗来控制离子能量。等离子体密度由电源控制。
如果判断菱形框460处的判断是等离子体的特性可以接受,那么该方法进行至步骤方框464,在该步骤处理衬底或晶片。对于本领域的技术人员而言应该明白的是,从本说明书可以看出可调耦合接地电路可设置为使所示约束等离子体具有理想的离子能量和等离子体密度。
以上所述仅为本发明的优选实施例而已,并不用于限制本发明,对于本领域的技术人员来说,本发明可以有各种更改和变化。凡在本发明的精神和原则之内,所作的任何修改、等同替换、改进等,均应包含在本发明的保护范围之内。

Claims (22)

1.一种半导体室,用于产生等离子体,所述半导体室包括:
带电电极,用于接收晶片或衬底;
至少一个电极,用于产生与所述带电电极的电连接,所述至少一个电极具有可变阻抗;以及
可调耦合接地电路,电耦合至所述至少一个电极,所述可调耦合接地电路用于改变所述至少一个电极的所述可变阻抗。
2.根据权利要求1所述的等离子体处理室,还包括至少一个约束环,用于约束所述等离子体。
3.根据权利要求1所述的等离子体处理室,其中所述可调耦合接地电路包括至少一个电容器。
4.根据权利要求3所述的等离子体处理室,其中所述至少一个电容器包括可变电容器。
5.根据权利要求1所述的等离子体处理室,其中所述可调耦合接地电路包括至少一个电感器。
6.根据权利要求4所述的等离子体处理室,其中所述至少一个电感器包括可变电感器。
7.根据权利要求1所述的等离子体处理室,其中所述可调耦合接地电路包括至少一个电感器以及一个电容器。
8.一种半导体室,用于产生等离子体,所述半导体室包括:
带电电极,用于接收晶片或衬底;
第一电极,用于产生与所述带电电极的电连接,所述第一电极具有第一阻抗;以及
第二电极,用于产生与所述带电电极的另一电连接,所述第二电极具有第二阻抗。
9.根据权利要求8所述的方法,其中所述第一阻抗与所述第二阻抗不同。
10.根据权利要求9所述的等离子体处理室,还包括至少一个约束环,用于约束所述等离子体。
11.根据权利要求10所述的半导体室,还包括第一可调耦合接地电路,电耦合至所述第一电极,所述可调耦合接地电路用于确定所述第一阻抗。
12.根据权利要求11所述的等离子体处理室,其中所述可调耦合接地电路包括至少一个电容器。
13.根据权利要求12所述的等离子体处理室,其中所述至少一个电容器包括可变电容器。
14.根据权利要求11所述的等离子体处理室,其中所述可调耦合接地电路包括至少一个电感器。
15.根据权利要求14所述的等离子体处理室,其中所述至少一个电感器包括可变电感器。
16.根据权利要求11所述的等离子体处理室,其中所述可调耦合接地电路包括至少一个电感器以及一个电容器。
17.一种用于在等离子体处理室中控制等离子体的方法,包括:
使带电电极接收晶片或衬底,所述带电电极电连接至电源;
通过将所述带电电极电耦合至所述至少一个其他具有可调耦合接地电路的电极而产生等离子体,所述等离子体具有离子能量和等离子体密度;以及
用所述可调耦合接地电路控制所述离子能量。
18.根据权利要求17所述的方法,其中对所述离子能量的所述控制通过改变所述可调耦合接地电路的阻抗来进行。
19.根据权利要求17所述的方法,还包括用所述电源控制所述等离子体密度。
20.根据权利要求18所述的方法,其中所述可调耦合接地电路包括电容器。
21.根据权利要求18所述的方法,其中所述可调耦合接地电路包括电感器。
22.根据权利要求18所述的方法,其中所述可调耦合接地电路包括电容器和电感器。
CNB2003801061318A 2002-12-20 2003-12-17 等离子体处理系统 Expired - Lifetime CN100380606C (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US10/326,918 2002-12-20
US10/326,918 US20040118344A1 (en) 2002-12-20 2002-12-20 System and method for controlling plasma with an adjustable coupling to ground circuit

Publications (2)

Publication Number Publication Date
CN1726584A true CN1726584A (zh) 2006-01-25
CN100380606C CN100380606C (zh) 2008-04-09

Family

ID=32594132

Family Applications (1)

Application Number Title Priority Date Filing Date
CNB2003801061318A Expired - Lifetime CN100380606C (zh) 2002-12-20 2003-12-17 等离子体处理系统

Country Status (8)

Country Link
US (3) US20040118344A1 (zh)
EP (1) EP1573795B1 (zh)
JP (1) JP5129433B2 (zh)
KR (1) KR101029948B1 (zh)
CN (1) CN100380606C (zh)
AU (1) AU2003297165A1 (zh)
TW (1) TWI327752B (zh)
WO (1) WO2004059716A1 (zh)

Cited By (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2009086782A1 (zh) * 2008-01-04 2009-07-16 Beijing Nmc Co., Ltd. 等离子体处理装置
CN101506950B (zh) * 2006-07-18 2011-03-30 朗姆研究公司 使用多频率rf功率的混合rf电容和电感耦合等离子源及其使用方法
CN101809719B (zh) * 2007-06-28 2013-08-28 朗姆研究公司 具有可调节电容的等离子体处理系统的方法和装置
CN101971712B (zh) * 2008-01-08 2013-11-13 朗姆研究公司 用于宽传导套件的方法和设备
CN104241073A (zh) * 2013-06-21 2014-12-24 圆益Ips股份有限公司 基板支撑装置及具备其的基板处理装置
CN105013419A (zh) * 2014-04-29 2015-11-04 韩国机械研究院 用于减少有害物质的等离子体反应器
CN112151343A (zh) * 2019-06-28 2020-12-29 中微半导体设备(上海)股份有限公司 一种电容耦合等离子体处理装置及其方法
CN112530776A (zh) * 2019-09-18 2021-03-19 中微半导体设备(上海)股份有限公司 一种等离子体处理装置

Families Citing this family (154)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20040118344A1 (en) * 2002-12-20 2004-06-24 Lam Research Corporation System and method for controlling plasma with an adjustable coupling to ground circuit
JP4553247B2 (ja) * 2004-04-30 2010-09-29 東京エレクトロン株式会社 プラズマ処理装置
JP4628696B2 (ja) * 2004-06-03 2011-02-09 東京エレクトロン株式会社 プラズマcvd装置
US20060000799A1 (en) * 2004-06-30 2006-01-05 Hyun-Ho Doh Methods and apparatus for determining endpoint in a plasma processing system
US7845309B2 (en) * 2004-07-13 2010-12-07 Nordson Corporation Ultra high speed uniform plasma processing system
KR20060005560A (ko) * 2004-07-13 2006-01-18 삼성전자주식회사 플라즈마를 이용하는 반도체 소자 제조 장비
JP4628874B2 (ja) * 2005-06-03 2011-02-09 東京エレクトロン株式会社 プラズマ処理装置及び電位制御装置
US7988814B2 (en) * 2006-03-17 2011-08-02 Tokyo Electron Limited Plasma processing apparatus, plasma processing method, focus ring, and focus ring component
US20070221332A1 (en) * 2006-03-22 2007-09-27 Tokyo Electron Limited Plasma processing apparatus
US7517437B2 (en) * 2006-03-29 2009-04-14 Applied Materials, Inc. RF powered target for increasing deposition uniformity in sputtering systems
US7611603B2 (en) * 2006-03-31 2009-11-03 Tokyo Electron Limited Plasma processing apparatus having impedance varying electrodes
US7932181B2 (en) * 2006-06-20 2011-04-26 Lam Research Corporation Edge gas injection for critical dimension uniformity improvement
US20080156772A1 (en) * 2006-12-29 2008-07-03 Yunsang Kim Method and apparatus for wafer edge processing
JP5160802B2 (ja) * 2007-03-27 2013-03-13 東京エレクトロン株式会社 プラズマ処理装置
JP5348848B2 (ja) * 2007-03-28 2013-11-20 東京エレクトロン株式会社 プラズマ処理装置
US8450635B2 (en) * 2007-03-30 2013-05-28 Lam Research Corporation Method and apparatus for inducing DC voltage on wafer-facing electrode
US20170213734A9 (en) * 2007-03-30 2017-07-27 Alexei Marakhtanov Multifrequency capacitively coupled plasma etch chamber
JP2009081812A (ja) * 2007-09-27 2009-04-16 Nec Electronics Corp 信号処理装置および信号処理方法
JP5165993B2 (ja) * 2007-10-18 2013-03-21 東京エレクトロン株式会社 プラズマ処理装置
JP2009187673A (ja) * 2008-02-01 2009-08-20 Nec Electronics Corp プラズマ処理装置及び方法
US8920611B2 (en) * 2008-07-15 2014-12-30 Applied Materials, Inc. Method for controlling radial distribution of plasma ion density and ion energy at a workpiece surface by multi-frequency RF impedance tuning
US9887069B2 (en) * 2008-12-19 2018-02-06 Lam Research Corporation Controlling ion energy distribution in plasma processing systems
JP5350043B2 (ja) * 2009-03-31 2013-11-27 東京エレクトロン株式会社 プラズマ処理装置及びプラズマ処理方法
US9275838B2 (en) * 2009-09-02 2016-03-01 Lam Research Corporation Arrangements for manipulating plasma confinement within a plasma processing system and methods thereof
JP5606063B2 (ja) * 2009-12-28 2014-10-15 東京エレクトロン株式会社 プラズマ処理装置
US9324576B2 (en) 2010-05-27 2016-04-26 Applied Materials, Inc. Selective etch for silicon films
JP2012004160A (ja) * 2010-06-14 2012-01-05 Tokyo Electron Ltd 基板処理方法及び基板処理装置
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US8999856B2 (en) 2011-03-14 2015-04-07 Applied Materials, Inc. Methods for etch of sin films
US9064815B2 (en) 2011-03-14 2015-06-23 Applied Materials, Inc. Methods for etch of metal and metal-oxide films
CN102395243A (zh) * 2011-10-19 2012-03-28 中微半导体设备(上海)有限公司 改进等离子均匀性和效率的电感耦合等离子装置
US9396908B2 (en) * 2011-11-22 2016-07-19 Lam Research Corporation Systems and methods for controlling a plasma edge region
US10586686B2 (en) 2011-11-22 2020-03-10 Law Research Corporation Peripheral RF feed and symmetric RF return for symmetric RF delivery
TWM440606U (en) 2012-04-13 2012-11-01 Ajoho Entpr Co Ltd Network signal coupling circuit
US9267739B2 (en) 2012-07-18 2016-02-23 Applied Materials, Inc. Pedestal with multi-zone temperature control and multiple purge capabilities
US9373517B2 (en) * 2012-08-02 2016-06-21 Applied Materials, Inc. Semiconductor processing with DC assisted RF power for improved control
US9023734B2 (en) 2012-09-18 2015-05-05 Applied Materials, Inc. Radical-component oxide etch
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
US9401264B2 (en) 2013-10-01 2016-07-26 Lam Research Corporation Control of impedance of RF delivery path
US9337000B2 (en) 2013-10-01 2016-05-10 Lam Research Corporation Control of impedance of RF return path
US8921234B2 (en) 2012-12-21 2014-12-30 Applied Materials, Inc. Selective titanium nitride etching
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US9362130B2 (en) 2013-03-01 2016-06-07 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
US9040422B2 (en) 2013-03-05 2015-05-26 Applied Materials, Inc. Selective titanium nitride removal
KR102156894B1 (ko) * 2013-03-07 2020-09-17 세메스 주식회사 기판 처리 장치 및 플라즈마 제어 방법
US20140271097A1 (en) 2013-03-15 2014-09-18 Applied Materials, Inc. Processing systems and methods for halide scavenging
US9493879B2 (en) 2013-07-12 2016-11-15 Applied Materials, Inc. Selective sputtering for pattern transfer
US9773648B2 (en) 2013-08-30 2017-09-26 Applied Materials, Inc. Dual discharge modes operation for remote plasma
US9576809B2 (en) 2013-11-04 2017-02-21 Applied Materials, Inc. Etch suppression with germanium
US9232626B2 (en) 2013-11-04 2016-01-05 Kla-Tencor Corporation Wafer grounding using localized plasma source
US9520303B2 (en) 2013-11-12 2016-12-13 Applied Materials, Inc. Aluminum selective etch
US9245762B2 (en) 2013-12-02 2016-01-26 Applied Materials, Inc. Procedure for etch rate consistency
WO2015099892A1 (en) * 2013-12-23 2015-07-02 Applied Materials, Inc. Extreme edge and skew control in icp plasma reactor
US9499898B2 (en) 2014-03-03 2016-11-22 Applied Materials, Inc. Layered thin film heater and method of fabrication
US9472410B2 (en) * 2014-03-05 2016-10-18 Applied Materials, Inc. Pixelated capacitance controlled ESC
US9299537B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9903020B2 (en) 2014-03-31 2018-02-27 Applied Materials, Inc. Generation of compact alumina passivation layers on aluminum plasma equipment components
US9309598B2 (en) 2014-05-28 2016-04-12 Applied Materials, Inc. Oxide and metal removal
US9425058B2 (en) 2014-07-24 2016-08-23 Applied Materials, Inc. Simplified litho-etch-litho-etch process
US9496167B2 (en) 2014-07-31 2016-11-15 Applied Materials, Inc. Integrated bit-line airgap formation and gate stack post clean
US9659753B2 (en) 2014-08-07 2017-05-23 Applied Materials, Inc. Grooved insulator to reduce leakage current
US9553102B2 (en) 2014-08-19 2017-01-24 Applied Materials, Inc. Tungsten separation
US9355862B2 (en) 2014-09-24 2016-05-31 Applied Materials, Inc. Fluorine-based hardmask removal
US9613822B2 (en) 2014-09-25 2017-04-04 Applied Materials, Inc. Oxide etch selectivity enhancement
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US9355922B2 (en) 2014-10-14 2016-05-31 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
US10224210B2 (en) 2014-12-09 2019-03-05 Applied Materials, Inc. Plasma processing system with direct outlet toroidal plasma source
US9502258B2 (en) 2014-12-23 2016-11-22 Applied Materials, Inc. Anisotropic gap etch
US11257693B2 (en) 2015-01-09 2022-02-22 Applied Materials, Inc. Methods and systems to improve pedestal temperature control
US9490116B2 (en) * 2015-01-09 2016-11-08 Applied Materials, Inc. Gate stack materials for semiconductor applications for lithographic overlay improvement
US9449846B2 (en) 2015-01-28 2016-09-20 Applied Materials, Inc. Vertical gate separation
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US9728437B2 (en) 2015-02-03 2017-08-08 Applied Materials, Inc. High temperature chuck for plasma processing systems
US9881805B2 (en) 2015-03-02 2018-01-30 Applied Materials, Inc. Silicon selective removal
US9691645B2 (en) 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US9349605B1 (en) 2015-08-07 2016-05-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
CN107305830B (zh) * 2016-04-20 2020-02-11 中微半导体设备(上海)股份有限公司 电容耦合等离子体处理装置与等离子体处理方法
US10522371B2 (en) 2016-05-19 2019-12-31 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US9865484B1 (en) 2016-06-29 2018-01-09 Applied Materials, Inc. Selective etch using material modification and RF pulsing
US10062575B2 (en) 2016-09-09 2018-08-28 Applied Materials, Inc. Poly directional etch by oxidation
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
US10062585B2 (en) 2016-10-04 2018-08-28 Applied Materials, Inc. Oxygen compatible plasma source
US9934942B1 (en) 2016-10-04 2018-04-03 Applied Materials, Inc. Chamber with flow-through source
US9721789B1 (en) 2016-10-04 2017-08-01 Applied Materials, Inc. Saving ion-damaged spacers
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US10062579B2 (en) 2016-10-07 2018-08-28 Applied Materials, Inc. Selective SiN lateral recess
US9947549B1 (en) 2016-10-10 2018-04-17 Applied Materials, Inc. Cobalt-containing material removal
US9768034B1 (en) 2016-11-11 2017-09-19 Applied Materials, Inc. Removal methods for high aspect ratio structures
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
US10242908B2 (en) 2016-11-14 2019-03-26 Applied Materials, Inc. Airgap formation with damage-free copper
US10566206B2 (en) 2016-12-27 2020-02-18 Applied Materials, Inc. Systems and methods for anisotropic material breakthrough
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10403507B2 (en) 2017-02-03 2019-09-03 Applied Materials, Inc. Shaped etch profile with oxidation
US10043684B1 (en) 2017-02-06 2018-08-07 Applied Materials, Inc. Self-limiting atomic thermal etching systems and methods
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
US10319649B2 (en) 2017-04-11 2019-06-11 Applied Materials, Inc. Optical emission spectroscopy (OES) for remote plasma monitoring
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US10497579B2 (en) 2017-05-31 2019-12-03 Applied Materials, Inc. Water-free etching methods
US10049891B1 (en) 2017-05-31 2018-08-14 Applied Materials, Inc. Selective in situ cobalt residue removal
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US10541184B2 (en) 2017-07-11 2020-01-21 Applied Materials, Inc. Optical emission spectroscopic techniques for monitoring etching
US10354889B2 (en) 2017-07-17 2019-07-16 Applied Materials, Inc. Non-halogen etching of silicon-containing materials
US10043674B1 (en) 2017-08-04 2018-08-07 Applied Materials, Inc. Germanium etching systems and methods
US10170336B1 (en) 2017-08-04 2019-01-01 Applied Materials, Inc. Methods for anisotropic control of selective silicon removal
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US10128086B1 (en) 2017-10-24 2018-11-13 Applied Materials, Inc. Silicon pretreatment for nitride removal
US10283324B1 (en) 2017-10-24 2019-05-07 Applied Materials, Inc. Oxygen treatment for nitride etching
KR101881779B1 (ko) * 2017-10-31 2018-07-25 에스케이 텔레콤주식회사 이온트랩 장치를 위한 필터 및 그 설계방법
US10256112B1 (en) 2017-12-08 2019-04-09 Applied Materials, Inc. Selective tungsten removal
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
TWI716818B (zh) 2018-02-28 2021-01-21 美商應用材料股份有限公司 形成氣隙的系統及方法
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10497573B2 (en) 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
US10490406B2 (en) 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
KR102512209B1 (ko) * 2018-11-16 2023-03-21 주식회사 원익아이피에스 기판처리장치
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes
US20200395199A1 (en) * 2019-06-14 2020-12-17 Asm Ip Holding B.V. Substrate treatment apparatus and method of cleaning inside of chamber
KR102279639B1 (ko) * 2019-07-09 2021-07-20 한양대학교 산학협력단 기판 처리 장치
CN110379701A (zh) * 2019-07-24 2019-10-25 沈阳拓荆科技有限公司 具有可调射频组件的晶圆支撑座
KR20210042653A (ko) * 2019-10-10 2021-04-20 주성엔지니어링(주) 기판 처리 장치
USD943539S1 (en) 2020-03-19 2022-02-15 Applied Materials, Inc. Confinement plate for a substrate processing chamber
US11380524B2 (en) 2020-03-19 2022-07-05 Applied Materials, Inc. Low resistance confinement liner for use in plasma chamber
USD979524S1 (en) 2020-03-19 2023-02-28 Applied Materials, Inc. Confinement liner for a substrate processing chamber
CN113808900B (zh) * 2020-06-17 2023-09-29 中微半导体设备(上海)股份有限公司 一种等离子体处理装置及其约束环组件与方法
CN114023620B (zh) * 2021-10-29 2023-07-14 德鸿半导体设备(浙江)有限公司 一种用于处理基片的处理站

Family Cites Families (32)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS58158929A (ja) 1982-03-17 1983-09-21 Kokusai Electric Co Ltd プラズマ発生装置
US4464223A (en) * 1983-10-03 1984-08-07 Tegal Corp. Plasma reactor apparatus and method
JPS60189925A (ja) * 1984-03-10 1985-09-27 Anelva Corp 高周波放電反応装置
JPS61166028A (ja) * 1985-01-17 1986-07-26 Anelva Corp ドライエツチング装置
JPS61174633A (ja) * 1985-01-29 1986-08-06 Ulvac Corp スパッタエッチング装置
JPS61175633A (ja) 1985-01-30 1986-08-07 Toshiba Corp 画像形成装置
JPS61176633A (ja) 1985-01-31 1986-08-08 Mitsubishi Rayon Co Ltd 複合プリプレグ
JPH029115A (ja) * 1988-06-28 1990-01-12 Mitsubishi Electric Corp 半導体製造装置
JPH04157164A (ja) * 1990-10-18 1992-05-29 Canon Inc プラズマ処理装置
JPH05234697A (ja) * 1992-02-19 1993-09-10 Hitachi Ltd マイクロ波プラズマ処理装置
JPH0661185A (ja) * 1992-08-06 1994-03-04 Tokyo Electron Ltd プラズマ処理装置
KR0141659B1 (ko) * 1993-07-19 1998-07-15 가나이 쓰토무 이물제거 방법 및 장치
KR100302167B1 (ko) * 1993-11-05 2001-11-22 히가시 데쓰로 플라즈마처리장치및플라즈마처리방법
JP2956494B2 (ja) 1994-10-26 1999-10-04 住友金属工業株式会社 プラズマ処理装置
JPH08321488A (ja) * 1995-05-26 1996-12-03 Sony Corp ドライエッチング方法及びマグネトロンrie装置
US5534751A (en) * 1995-07-10 1996-07-09 Lam Research Corporation Plasma etching apparatus utilizing plasma confinement
US6051284A (en) * 1996-05-08 2000-04-18 Applied Materials, Inc. Chamber monitoring and adjustment by plasma RF metrology
US5925519A (en) 1996-06-03 1999-07-20 The Regents Of The University Of California Genetic alterations associated with prostate cancer
JP3022806B2 (ja) 1997-05-15 2000-03-21 九州日本電気株式会社 半導体装置の製造装置及びその調整方法
US6008130A (en) * 1997-08-14 1999-12-28 Vlsi Technology, Inc. Polymer adhesive plasma confinement ring
US6090304A (en) * 1997-08-28 2000-07-18 Lam Research Corporation Methods for selective plasma etch
US5998932A (en) * 1998-06-26 1999-12-07 Lam Research Corporation Focus ring arrangement for substantially eliminating unconfined plasma in a plasma processing chamber
US6350317B1 (en) 1999-12-30 2002-02-26 Lam Research Corporation Linear drive system for use in a plasma processing system
US6872281B1 (en) * 2000-09-28 2005-03-29 Lam Research Corporation Chamber configuration for confining a plasma
US6677711B2 (en) * 2001-06-07 2004-01-13 Lam Research Corporation Plasma processor method and apparatus
US6770166B1 (en) * 2001-06-29 2004-08-03 Lam Research Corp. Apparatus and method for radio frequency de-coupling and bias voltage control in a plasma reactor
US6984288B2 (en) * 2001-08-08 2006-01-10 Lam Research Corporation Plasma processor in plasma confinement region within a vacuum chamber
US6844560B2 (en) 2001-08-13 2005-01-18 Mapper Lithography Ip B.V. Lithography system comprising a converter plate and means for protecting the converter plate
US6706138B2 (en) 2001-08-16 2004-03-16 Applied Materials Inc. Adjustable dual frequency voltage dividing plasma reactor
US6744212B2 (en) * 2002-02-14 2004-06-01 Lam Research Corporation Plasma processing apparatus and method for confining an RF plasma under very high gas flow and RF power density conditions
US7086347B2 (en) * 2002-05-06 2006-08-08 Lam Research Corporation Apparatus and methods for minimizing arcing in a plasma processing chamber
US20040118344A1 (en) * 2002-12-20 2004-06-24 Lam Research Corporation System and method for controlling plasma with an adjustable coupling to ground circuit

Cited By (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN101506950B (zh) * 2006-07-18 2011-03-30 朗姆研究公司 使用多频率rf功率的混合rf电容和电感耦合等离子源及其使用方法
CN101809719B (zh) * 2007-06-28 2013-08-28 朗姆研究公司 具有可调节电容的等离子体处理系统的方法和装置
WO2009086782A1 (zh) * 2008-01-04 2009-07-16 Beijing Nmc Co., Ltd. 等离子体处理装置
CN101971712B (zh) * 2008-01-08 2013-11-13 朗姆研究公司 用于宽传导套件的方法和设备
CN104241073A (zh) * 2013-06-21 2014-12-24 圆益Ips股份有限公司 基板支撑装置及具备其的基板处理装置
CN104241073B (zh) * 2013-06-21 2017-06-23 圆益Ips股份有限公司 基板支撑装置及具备其的基板处理装置
CN105013419A (zh) * 2014-04-29 2015-11-04 韩国机械研究院 用于减少有害物质的等离子体反应器
CN105013419B (zh) * 2014-04-29 2017-05-17 韩国机械研究院 用于减少有害物质的等离子体反应器
CN112151343A (zh) * 2019-06-28 2020-12-29 中微半导体设备(上海)股份有限公司 一种电容耦合等离子体处理装置及其方法
CN112151343B (zh) * 2019-06-28 2023-03-24 中微半导体设备(上海)股份有限公司 一种电容耦合等离子体处理装置及其方法
CN112530776A (zh) * 2019-09-18 2021-03-19 中微半导体设备(上海)股份有限公司 一种等离子体处理装置
CN112530776B (zh) * 2019-09-18 2024-02-09 中微半导体设备(上海)股份有限公司 一种等离子体处理装置

Also Published As

Publication number Publication date
TWI327752B (en) 2010-07-21
WO2004059716A1 (en) 2004-07-15
US8518211B2 (en) 2013-08-27
JP2006511059A (ja) 2006-03-30
EP1573795B1 (en) 2017-02-15
US9190302B2 (en) 2015-11-17
EP1573795A1 (en) 2005-09-14
JP5129433B2 (ja) 2013-01-30
US20040118344A1 (en) 2004-06-24
US20130306240A1 (en) 2013-11-21
KR20050089976A (ko) 2005-09-09
KR101029948B1 (ko) 2011-04-19
CN100380606C (zh) 2008-04-09
US20060112878A1 (en) 2006-06-01
EP1573795A4 (en) 2007-07-18
AU2003297165A1 (en) 2004-07-22
TW200423249A (en) 2004-11-01

Similar Documents

Publication Publication Date Title
CN100380606C (zh) 等离子体处理系统
CN1577730A (zh) 使用混合耦合等离子体的装置
EP1686611B1 (en) Apparatus and method for plasma processing with enhanced confinement and flow conductance
CN1255851C (zh) 用于等离子体形成内磁桶以控制等离子体体积的设备
CN1516887A (zh) 中空阳极等离子体反应器与方法
CN1653580A (zh) 用于最小化等离子体处理室内的电弧的装置和方法
CN1842241A (zh) 半导体等离子处理设备及方法
KR20090031624A (ko) 다중 주파수 rf 전력을 이용한 하이브리드 rf 용량 및 유도 결합형 플라즈마 소스 및 그 사용 방법
CN101512734A (zh) 基板处理装置及其方法
KR100733992B1 (ko) 바이폴라 esc 시스템의 동적 플라즈마 처리를 위한 방법및 장치
CN1905135A (zh) 等离子蚀刻设备
CN1472774A (zh) 用于去除微粒的装置
KR100957457B1 (ko) 정전척 및 기판 사이의 잔여 전하 제거 장치와 그 제거방법
US20040261714A1 (en) Plasma processing apparatus
KR100234902B1 (ko) 2차전위에 의한 방전을 제거한 플라즈마 처리장치
KR101173574B1 (ko) 기판처리방법
JPH08222399A (ja) 高周波プラズマ発生装置
JPH0643637B2 (ja) プラズマ制御装置
CN115668473A (zh) 基板处理装置
CN118016499A (zh) 一种工艺腔室和半导体工艺设备
KR200426498Y1 (ko) 플라즈마 공정 챔버에서 이용하기 위한 프로세스 키트
KR20000043924A (ko) 플라즈마 증착 장비 및 이를 이용한 반도체 소자의 절연막형성 방법
KR20050034190A (ko) 멀티 캐패시터를 갖는 플라즈마 식각 장치
JPH0748488B2 (ja) プラズマ制御装置

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C14 Grant of patent or utility model
GR01 Patent grant
CX01 Expiry of patent term
CX01 Expiry of patent term

Granted publication date: 20080409