TWI287853B - Method and apparatus for depositing a metal film on a substrate - Google Patents

Method and apparatus for depositing a metal film on a substrate Download PDF

Info

Publication number
TWI287853B
TWI287853B TW090109943A TW90109943A TWI287853B TW I287853 B TWI287853 B TW I287853B TW 090109943 A TW090109943 A TW 090109943A TW 90109943 A TW90109943 A TW 90109943A TW I287853 B TWI287853 B TW I287853B
Authority
TW
Taiwan
Prior art keywords
substrate
module
acid
metal film
film layer
Prior art date
Application number
TW090109943A
Other languages
English (en)
Inventor
Maximilian A Biberger
Paul E Schilling
Original Assignee
Tokyo Electron Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Tokyo Electron Ltd filed Critical Tokyo Electron Ltd
Application granted granted Critical
Publication of TWI287853B publication Critical patent/TWI287853B/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67161Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers
    • H01L21/67167Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers surrounding a central transfer chamber
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/02Pretreatment of the material to be coated
    • C23C14/021Cleaning or etching treatments
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/02Pretreatment of the material to be coated
    • C23C16/0227Pretreatment of the material to be coated by cleaning or etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02057Cleaning during device manufacture
    • H01L21/0206Cleaning during device manufacture during, before or after processing of insulating layers
    • H01L21/02063Cleaning during device manufacture during, before or after processing of insulating layers the processing being the formation of vias or contact holes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02101Cleaning only involving supercritical fluids
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67028Apparatus for fluid treatment for cleaning followed by drying, rinsing, stripping, blasting or the like
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67184Apparatus for manufacturing or treating in a plurality of work-stations characterized by the presence of more than one transfer chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67207Apparatus for manufacturing or treating in a plurality of work-stations comprising a chamber adapted to a particular process
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76814Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics post-treatment or after-treatment, e.g. cleaning or removal of oxides on underlying conductors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table
    • H01L21/28556Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table by chemical means, e.g. CVD, LPCVD, PECVD, laser CVD
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S438/00Semiconductor device manufacturing: process
    • Y10S438/906Cleaning of wafer as interim step

Landscapes

  • Engineering & Computer Science (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • General Physics & Mathematics (AREA)
  • Physics & Mathematics (AREA)
  • Chemical & Material Sciences (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Mechanical Engineering (AREA)
  • Materials Engineering (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Cleaning Or Drying Semiconductors (AREA)
  • Electrodes Of Semiconductors (AREA)
  • Chemical Vapour Deposition (AREA)
  • Weting (AREA)
  • Physical Vapour Deposition (AREA)
  • Cleaning And De-Greasing Of Metallic Materials By Chemical Methods (AREA)

Description

1287853 A7 B7 五、發明説明(1 ) 〔發明領域〕 :---·—^^衣-- (請先閱讀背面之注意事項再填寫本頁) 本發明係關於膜層的沉積的領域。更特定地,本發明 係關於沉積膜層於一基材上的領域,其中一早先的處理步 驟包括反吸收或預淸潔該基材。 〔發明背景〕 在半導體製程中沉積一金屬膜層通常在該金屬膜層的 沉積之前都需要反吸收及預淸潔步驟。該反吸收及預淸潔 步驟可確保該金屬膜層對於一基材有良好的粘著性且亦在 基材金屬與金屬膜層之間提供較佳的接觸電阻。 在前技中,該反吸收步驟,該預淸潔步驟,及金屬膜 層的沉積是在一群串工具中進行的,使得基材在反吸收或 預淸潔步驟與該金屬膜層的沉積之間不會曝露於大氣中。 經濟部智慧財產局員工消費合作社印製 前技的反吸收步驟在真空中對該基材加熱用以將該基 材去氣(degas)。藉由在真空中加熱該基材,被吸收於該基 材的表面中或被吸收至該基材內的物質可從該基材中被去 除。典型的處理條件包括1(Τ3托爾(Torr)或更高的真空,及 介於200至400 °C之間的溫度。通常,較高的溫度被使用, 以縮短處理時間,對於較高的溫度而言,處理時間大致上 是在30秒至60秒之間。 前技的預淸潔步驟將該基材曝露於離子轟擊中,其通 常被稱爲一濺射蝕刻預淸潔。在該濺射蝕刻預淸潔中,氬 離子,氫離子,氨離子,或它們的組合,及電子形成一電 漿,其轟擊該基材的表面用以將一薄的物質層濺射掉。典 本紙張尺度適用中國國家標準(CNS ) A4規格(210X297公釐) -4
1287853 五、發明説明(2 ) (請先閱讀背面之注意事項再填寫本頁) 型地,在半導體製程中,一蝕刻步驟在該金屬膜層的沉積 之前。該蝕刻步驟形成溝渠及介電孔於該基材內到達一底 下的金屬層。在蝕刻步驟之後,因爲該基材曝露於大氣中 的關係,一氧化物形成於在該等孔處之該底下的金屬層的 一被曝露的表面上。該濺射蝕刻預淸潔嘗試將該氧化物及 在去灰(ashing)及濕式淸潔之後的任何殘留物鈾刻掉。該職 射蝕刻預淸潔典型地需要1〇·3托爾(Ton·)或更高的真空。 經濟部智慧財產局員工消費合作社印製 前技的一特定的金屬沉積處理沉積一阻障金屬層及一 銅晶種層於一半導體基材上與在介電孔(via hole)處的底下 銅層形成接點。在該蝕刻步驟中,介電孔被形成穿透二氧 化矽及氮化矽層到達該底下的銅層。在前技的另一蝕刻步 驟中,該等介電孔被形成於低k的介電材質中,如一聚合 物基的材質及含氟或碳的氧化物。在鈾刻步驟之後及在基 屬膜層的沉積之前,一電漿去灰步驟及一濕式淸潔步驟可 大致上將光阻,光阻殘留物,及鈾刻殘留物去除掉留下被 吸收至該基材表面上的物質及留下一層薄的氧化銅層於該 等介電孔處的底下銅層之上。前技的反吸收步驟將被吸收 於該基材的表面內之物質去除掉。該濺射蝕刻預淸潔將介 電孔內的氧化銅層去除掉並去除掉該基材之包圍在該等介 電孔周圍的一裸露層。該阻障金屬然後被沉積,接著銅晶 種層被沉積。接下來,一電鍍步驟沉積額外的銅層至該銅 晶種層上。 前技的群束工具包括一離手站(ha n doff station),一前 端輸送模組,一後端輸送模組,一去氣模組,一濺射鈾刻 -5- 本紙張尺度適用中國國家標準(CNS ) A4規格(210X297公釐) 1287853 A7 B7 五、發明説明(3 ) 模組,及一金屬沉積模組。該前端輸送模組包括一第一機 器人。該後端輸送模組包括一第二機器人。該離手站藉由 一第一閥或負載鎖定件而耦合至該前端輸送模組。該去氣 模組及濺射鈾刻模組係耦合至該前端輸送模組。該後端輸 送模組藉由一第二閥或負載鎖定而耦合至該前端輸送模組 。該金屬沉積模組係耦合至該後端輸送模組。通常,該群 束工具包括兩個去氣模組,兩個濺射蝕刻模組,及兩個或 更多的金屬沉積模組。在操作時,該前端輸送模組在真空 下操作。一用來實施前技的反吸收,預淸潔及金屬沉積的 第二群束工具包括一單一的輸送模組,該去氣模組,該濺 射蝕刻模組,及該金屬沉積模組,其中該去氣模組,該濺 射蝕刻模組,及該金屬沉積模組係耦合至該單一的輸送模 組。 該群束工具的操作是以該第一機器人將一基材從該離 手站送至實施該反吸收步驟之去氣模組來開始。該第一機 器人然後將該基材輸送至實施該濺射蝕刻預淸潔的該濺射 蝕刻模組。該第一機器人然後將該基材輸送至該第二機器 人,該第二機器人將該基材置於該金屬沉積模組中。在該 金屬膜層沉積之後,該第二機器人將該基材輸送回該第一 機器人,其將該基材送回到該離手站。 因爲前技的反吸收步驟是在高溫下操作的,所以存在 著溫度所誘發的基材損壞的可能。此顧慮對於聚合物材質 特別容易發生,因爲聚合物材質之低介電常數的特性,所 以未來的積體電路可能會使用聚合物材質作爲絕緣體。 本紙張尺度適用中國國家標準(CNS ) A4規格(210X297公釐) 一 :---,—衣-- (請先閲讀背面之注意事項再填寫本頁} 訂 經濟部智慧財產局員工消費合作社印製 1287853 A7 —_B7 _^ 五、發明説明(4 ) 在該預淸潔步驟中,該電漿會對該基材的表面造成電 漿損害。而且,濺射蝕刻預淸潔會在溝渠的邊緣及在介電 孔的邊緣造成角落修剪(corner clipping)而產生缺陷是眾所 習知的。角落修剪對於較小尺寸的積體電路而言特別有害 ,因爲角落修剪減少相鄰接線之間的隔離而導致相鄰接線 之間無法被接受的電子干擾。濺射鈾刻不只造成積體電路 實體上的損害,其亦造成電子損害。 再者,在溝渠及介電孔內之底下金屬層的濺射會造成 圓桶化(barreling)及造成被濺射的物質沉積於溝渠及介電孔 的側壁上。例如,在介電孔內之氧化銅層的濺射蝕刻預淸 潔造成銅及氧化銅沉積於介電孔的側壁上。甚者,對於預 淸潔聚合物基的物質而言濺射蝕刻預淸潔是不恰當的,因 爲可預期到在濺射蝕刻預淸潔中之實體的轟擊會造成損傷 。而且,即使濺射蝕刻預淸潔可被使用,氫離子在聚合物 物質被曝露時無法被使用,因爲氫會將聚合物基的物質氫 化。此外,該濺射蝕刻預淸潔在溝渠或介電孔的深寬比(深 度除以寬度)增大時即會變得較沒有效果。 經濟部智慧財產局員工消費合作社印製 C请先閱讀背面之注意事項再填寫本頁) 去氣模組與濺射蝕刻模組兩者都需要高真空幫浦及相 關的真空管路,這將增加該群束工具的購製及維修成本。 該濺射蝕刻模組進一步增加該群束工具的購製及維修成本 ,因爲其相對複雜且經常的維修用以確保其不會成爲一顆 粒污染物的來源。 一種可與金屬沉積相容的反吸收方法是所需要的,該 .方法不需過高的溫度。 本紙張尺度適用中國國家標準(CNS ) A4規格(210X:297公釐Ί " ~ 1287853 A7 B7 五、發明説明(5 ) 一種可與金屬沉積相容的預淸潔方法是所需要的,該 方法不使用電漿。 一種可與低k物質,如聚合物基的材質及含氟或碳的 氧化物,相容的反吸收方法是所需要的。 一種可與低k物質,如聚合物基的材質及含氟或碳的 氧化物,相容的預淸潔方法是所需要的。 一種可與金屬沉積相容的反吸收方法是所需要的,該 方法較便宜。 一種可與金屬沉積相容的預淸潔方法是所需要的,該 方法較便宜。 〔發明槪要〕 一種將一金屬膜層沉積於一基材上的方法包括一超臨 界預淸潔步驟,一超臨界反吸收(desorb)步驟,及一金屬沉 積步驟。最好是,該預淸潔步驟包含保持超臨界二氧化碳 及一螯合劑與該基材接觸用以從該基材的一金屬表面上去 除氧化層。更佳的是,該預淸潔步驟包含保持超臨界二氧 化碳,該螯合劑,及一酸與該基材接觸其中該酸溶解該氧 化層同時該螯合劑附著用以釋出金屬離子並將釋出的金屬 離子帶走。或者,該預淸潔步驟包含保持該超臨界二氧化 碳及一胺與該氧化層接觸,其中該J安溶解該氧化層並帶走 金屬離子。該反吸收步驟包含保持該超臨界二氧化碳與該 基材接觸用以從該基材中去除被吸收的物質。該金屬沉積 步驟然後將該金屬膜層沉積於該基材上,此沉積不需將該 本紙張尺度適用中國國家標準(CNS ) A4規格(210X297公釐) -8 J---.I^^裝-- (請先閱讀背面之注意事項再填寫本頁) 、11 d 經濟部智慧財產局員工消費合作社印製 1287853 A7 B7 五、發明説明(6 ) s材曝露於一會將該被預淸潔的基材的金屬表面氧化的氧 化材質中及不需將該基材曝露於會吸附於該基材上的一非 揮發性吸附材質中。 一用來將一金屬膜層沉積於一基材上的設備包括一輸 送模組,一超臨界處理模組,一真空模組,及一金屬沉積 模組。該超臨界處理模組被耦合至該輸送模組。該真空模 組將該金屬沉積模組耦合至該輸送模組。在操作時,用來 沉積該金屬膜層的設備實施該超臨界預淸潔步驟,該超臨 界反吸收步驟,及該金屬沉積步驟。 〔圖式簡要說明〕 第1圖示意地顯示本發明之較佳的方法。 第2圖示意地顯示本發明的另一方法。 第3圖顯示本發明之較佳的金屬沉積群束工具。 〔符號說明〕 :---·I -- (請先閲讀背面之注意事項再填寫本頁) 訂 經濟部智慧財產局員工消費合作社印製 20 較佳方法 22 超臨界預淸潔步驟 24 超臨界反吸收步驟 26 金屬沉積步驟 30 第四替代方法 32 超臨界殘留物去除步驟 40 較佳金屬沉積群束工具 42 裝載模組 本紙張尺度適用中國國家標準(CNS ) A4規格(210X297公釐) -9 - 以7853
66 68 70 72 經濟部智慧財產局員工消費合作社印製 A7 B7 前端輸送模組 前端輸送模組機器人 第一超臨界模組 第二超臨界模組 第三超臨界模組 第四超臨界模組 後端輸送模組 後端輸送模組機器人 第一金屬沉積模組 第二金屬沉積模組 第三金屬沉積模組 第四金屬沉積模組 第一負載鎖定件 第二負載鎖定件 裝載機器人 閥 第一前端開口單元莢 第二前端開口單元莢 半導體基材 〔最佳實施例詳細說明〕 本發明之較佳的方法被示意地示於第i圖中。此較佳 的方法20沉積一金屬膜層於一基材上。最好是,該基材爲 一半導體基材,其具有穿透一介電材質到達一底下的金屬 本紙張尺度適用中國國家標準(CNS ) A4規格(210X297公釐)-1〇 - (請先閱讀背面之注意事項再填寫本頁)
1287853 A7 _____B7____ 五、發明説明(8 ) 層之介電孔。當該金屬膜層被沉積於該半導體基材上時 該金屬膜層在該等介電孔處與底下金屬層相接觸。更佳地 ,該半導體基材包括在一雙鑲嵌結構中之介電孔及溝渠。 在該雙鑲嵌結構中,該金屬膜層亦在該等介電孔處與底下 金屬層相接觸。或者,該金屬膜層被沉積於一替代的基材 上,其中該金屬膜層與該替代基材上之裸露的金屬表面相 接觸。 此較佳的方法20包含一超臨界預淸潔步驟22,一超臨 界反吸收步驟24,及一金屬沉積步驟26。在該超臨界預淸 潔步驟22中,該基材被保持在一超臨界室中且最好是被曝 露於超臨界二氧化碳及一螯合劑中。該超臨界二氧化碳及 螯合劑一起與在底下的金屬層上的一氧化物起反應用以,形 成底下金屬的螯合物。在超臨界反吸收步驟24中,該基材 被保持在該超臨界室內並被曝露於超臨界二氧化碳中,其 可從基材中釋出被吸收的物質。 更佳地,超臨界預淸潔步驟22包含將一酸及該超臨界 二氧化碳及該螯合劑保持與該基材接觸。該酸作用,以將 該氧化物溶解,同時該螯合劑附著用以釋出金屬離子並將 釋出的金屬離子帶走。 最好是,該螯合劑是從包含2,4-戊烷二酮,1,1, 1,6,6,6·六氟-2,4-戊烷二酮,1,1,1-三氟戊烷-2,4-二酮,2,6-六甲基庚烷-3,5-二酮,2,2,7-三 甲基辛院-2,4-二酮,2,2,6,6·四甲基庚烷-3,5·二 酮,乙烯二胺二醋酸(EDTA),及三價氮基三醋酸(NTA)的組 本紙張尺度適用中國國家標準(CNS ) A4規格(210X297公釐) -11 - K---‘I·裝-- (請先閲讀背面之注意事項再填寫本頁) 訂 經濟部智慧財產局員工消費合作社印製 1287853 經濟部智慧財產局員工消費合作社印製 A7 B7 五、發明説明(9 ) 群中所選取的。 最好是,該酸是依據將被預淸潔的特定氧化物而從包 含一有機酸或一無機酸的組群中選取的。最好是,有機酸 是被用於銅氧化物的預淸潔。更佳的是,對於銅氧化物而 言’該有機酸是從包含乙酸,甲酸,草酸及丙二酸;α-羥 基酸如羥乙酸,檸檬酸,蘋果酸或乳酸;或胺基酸如甘胺 酸’丙胺酸,白胺酸,錨胺酸,麩醯胺或維胺酸的組群中 所選取的。 最好是,該無機酸是用於氧化鋁的預淸潔。更佳的是 ’對氧化鋁的預淸潔而言,該無機酸是從包含氫氟酸及緩 衝的氫氟酸公式如氟化銨及二氟化胺的組群中所選取的。 或者,在預淸潔步驟22中,該螯合劑及酸被一胺所取 代。該胺作用以溶解該氧化物並帶走金屬離子。最好是, 該胺是從包含三乙醇胺,2-甲胺基乙醇,吡啶,2,2,-聯 吡啶,及五甲基二乙烯三胺的組群中所選取的。 在超臨界預猜潔步驟22中,該超臨界室最好是被加壓 至一超過臨界壓力的高壓及該超臨界二氧化碳與該螯合劑 被流至該基材上。更佳地,該超臨界二氧化碳,該螯合劑 及酸被流到該基材上。或者,該超臨界二氧化碳,及胺被 流到該基材上。 爲了要在該超臨界室內達到超臨界條件,在該室內的 溫度必需被保持在一臨界溫度(30.5 °C )或在臨界溫度之上。 此外,壓力在該高壓與一低壓之間被循環至少一又二分之 一次。最好是,該低壓是在該臨界壓力之上。 本紙張尺度適用中國國家標準(CNS ) A4規格(210X297公釐) _、2: I ----„---IT------l· (請先閱讀背面之注意事項再填寫本頁) 1287853 A7 B7______ 五、發明説明(1〇 ) (請先閱讀背面之注意事項再填寫本頁) 該超臨界反吸收步驟24最好是該超臨界預淸潔步^驟22 的一部分。被吸收至該基材上或被吸收至該基材內的^ M 及液體將於該預淸潔步驟22期間被反吸收。將該室內的溫 度升高被預期可改善該超臨界反吸收步驟24。或者,該超 臨界反吸收步驟24如一獨立的步驟地於該超臨界預淸潔步 驟22之前或之後被實施。 最好是,超臨界預淸潔及反吸收步驟22及24期間’該 室內的溫度是在31 °C至100 °C之間。或者,該室內的溫度 被保持在該基材的溫度限制之下。 該金屬沉積步驟26包含將該金屬膜層沉積於該基材上 。最好是,該基材包括到達該底下的金屬層之介電孔。該 金屬沉積步驟26最好是將金屬膜層沉積至介電孔內使得金 屬膜層與底下的金屬層接觸。最好是,該金屬沉積步驟26 爲化學氣相沉積(CVD)處理。或者,該金屬沉積步驟26爲 物理氣相沉積(PVD)處理)。 經濟部智慧財產局員工消費合作社印製 在該超臨界預淸潔,超臨界反吸收,及金屬沉積步驟 22,24及26之間,該基材沒有被曝露於大氣中或其它會在 該基材上形成一非揮發性吸收物,不會在該基材內形成非 揮發性吸收物,或會於基材反應的氣體中,是很重要的。 在基材上形成揮發性吸收物是無害的,因爲在該超臨界預 淸潔步驟22或在該超臨界反吸收步驟24的終了短暫地曝露 於真空下可將該揮發性吸收物快速地從該基材上去除掉。 最好是,在該超臨界預淸潔,超臨界反吸收,及金屬沉積 步驟22,24及26之間,該基材被保持在真空下。或者, 本紙張尺度適用中國國家標準(CNS ) A4規格(210X297公釐) ΓΊΤΙ _ ~ '— 1287853 經濟部智慧財產局員工消費合作社印製 A7 B7 五、發明説明(彳1 ) 在該超臨界預淸潔,超臨界反吸收,及金屬沉積步驟22 , 24及26之間,該基材都被保持在一鈍氣的氛圍中,其中該 鈍氣氛圍既不會形成非揮發性吸收物亦不會形成揮發性吸 收物。 藉由使用超臨界預淸潔步驟22而非濺射蝕刻預淸潔步 驟,因而可避免基材的電漿損傷。再者,藉由使用超臨界 預淸潔步驟22而非濺射蝕刻預淸潔步驟,因而可避免在溝 渠及介電孔邊緣處之角落修剪與切面的形成,可避免溝渠 及介電孔之圓筒化(barreling),及可避免被濺射的物質沉積 在溝渠及介電孔的側壁上。此外,使用超臨界預淸潔步驟 而非濺射蝕刻預淸潔步驟,因而可避免製造在該基材上之 積體電路的電子損傷。甚者,與濺射蝕刻預淸潔步驟比較 起來,該超臨界預淸潔步驟22對於聚合物基的介電材質造 成的傷害較小。 使用超臨界反吸收步驟24而非在真空下對基材加熱來 使基材去氣可避免在處理基材時使用過高的溫度’這對於 聚合物基的介電材質而言是特別重要的。 依據特定的處理需求,該超臨界預淸潔步驟22或該超 臨界反吸收步驟24可被省略。在本發明的一第一替代方法 中,該超臨界預淸潔步驟22及金屬沉積步驟26被實施’但 該超臨界反吸收步驟24則被省略。在本發明的一第二替代 方法中,該超臨界反吸收步驟24及金屬沉積步驟26被實施 ,但該超臨界預淸潔步驟22則被省略。在本發明的一第三 替代方法中,第二替代方法中之金屬沉積步驟26被一替代 本紙張尺度適用中國國家標準(CNS ) A4規格(210X297公釐)-14 - (請先閱讀背面之注意事項再填寫本頁)
1287853 A7 ___B7 _ 五、發明説明(12 ) 沉積方法所取代。在該替代沉積方法中,一膜層,而非一 金屬膜層,被沉積於該基材上。 -----:----- (請先閲讀背面之注意事項再填寫本頁) 本發明的一第四替代方法被示意地示於第2圖中。該 第四替代方法30在較佳的方法20中添加一超臨界殘留物去 除步驟32。該超臨界殘留物去除步驟32將前一鈾刻步驟之 後留在該基材上殘留物去除掉。在該前一蝕刻步驟中,光 阻遮蔽一部分的基材使得只有沒有被遮蔽的基材部分被触 刻。此蝕刻步驟亦蝕刻該光阻。通常,在蝕刻步驟之後都 會有一些光阻殘留在該基材上且亦會有鈾刻殘留物及光阻 殘留物在基材上。因此,蝕刻步驟之後之留在該基材上之 殘留物包括了光阻殘留物,蝕刻殘留物,及殘餘的光阻。 該超臨界殘留物去除步驟32包含將帶有殘留物之基材曝露 於超臨界二氧化碳及一溶劑中直到該殘留物從該基材上被 去除爲止。該超臨界殘留物去除步驟32被詳細揭示於2000 年十月25日所提申之美國專利第09/697,227號中,該案內 容藉由此參照而被倂於本文中。 經濟部智慧財產局員工消費合作社印製 本發明之較佳的金屬沉積群束工具被示於第3圖中。 該較佳的金屬沉積群束工具40包含一裝載模42,一前端輸 送模組44 ’ 一*前端輸送模組機器人46 ’第一至第四超臨界 模組48-51 ,一後端輸送模組52 ’ 一後端輸送模組機器人 54,及第一至第四金屬沉積模組56-59。該裝載模組42包 含第一及第二負載鎖定件60及62 ’及一裝載機器人64。 該第一及第二負載鎖定件60及62包含一供該前端輸送模組 用的入口。 本紙張尺度適用中國國家標準(CNS ) A4規格(210X297公釐1 ~^15 : ' 一 1287853 A7 B7 五、發明説明(13 ) (請先閱讀背面之注意事項再填寫本頁) 該裝載模42,前端輸送模組機器人46,第一至第四超 臨界模組48-51被耦合至該前端輸送模組44上。該後端輸 送模組5 2經由一閥6 6而被耦合至該前端模組。該後端模組 機器人54及第一至第四金屬沉積模組56-59被耦合至該後 端輸送模組52。 在操作時,應用一標準的機械界面(SMIF)槪念的第一 及第二前開口單元莢(F〇UP)68,70與該裝載模42相耦合。 最好是,第一莢68最初包含半導體基材72其在一濕式淸潔 處理中被鈾刻,去灰,及淸潔。該裝載機器人64將〜半導 體基材72從該第一莢68送到該第一負載鎖定件60。該負 載鎖定件60關閉並被被抽至真空。該負載鎖定件60然後被 開啓至同樣在真空下的該前端輸送模組46。該前端輸送模 組46將該半導體基材72送至該第一超臨界模48,該超臨 界預淸潔及反吸收步驟22及24(第1圖)於該模組中被實施 。同時,其它的半導體基材從第一莢經由該第一負載鎖定 件60被裝載至該第二至第三超臨界模組49-51 。或者該等 FOUP被SMIF或開放匣盒所取代。 經濟部智慧財產局員工消費合作社印製 當超臨界預淸潔及反吸收步驟22及24完成時,該半導 體基材72從該第一超臨界模組48通過閥66而被送至後端 輸送模組52。該後端輸送模組52亦在真空下操作。該後端 輸送模組機器人54然後將該半導體基材72送至該第一金屬 沉積模組56,該金屬沉積步驟26(第1圖)在該模組中實施 。同時,其它的半導體基材則從該第二至第三超臨界模組 49-51被送至該第二至第三金屬沉積模組57-59。 本紙張尺度適用中國國家標準(CNS ) A4規格(210X297公釐) -16- ^ 1287853 A7 B7 五、發明説明(14 ) (請先閲讀背面之注意事項再填寫本頁) 當該金屬沉積步驟26完成時,該半導體基材72從該第 一金屬沉積模組56被該第二輸送模組機器人54送至該第一 輸送模組機器人46。該第一輸送模組機器人46然後將該半 導體基材72送至該第一負載鎖定件60,其被加壓至大氣壓 。該半導體基材72然後被該裝載模組機器人46送至該第一 莢68 。依序地,其它的半導體基材從該第二至第三金屬沉 積模組57-59被送至該第一俠68。稍後,更多來自於第二 莢70的半導體基材被處理並回到第二莢70。 對於熟悉此技藝者而言將會很明顯的是,更多或更少 的超臨界處理模組可被耦合至該前端輸送模五44。再者, 對於熟悉此技藝者而言將會很明顯的是,更多或更少的金 屬沉積模組可被耦合至該後端輸送模組52。甚者,對於熟 悉此技藝者而言將會很明顯的是,對於前端輸送模組44而 言,一單一的負載鎖定件將足以進入該前端輸送模組44。 經濟部智慧財產局員工消費合作社印製 在一第一替代的金屬沉積群束工具中,該前端輸送模 組44是在大氣壓下操作並提供一鈍氣環境給該半導體晶圓 。在該第一替代的金屬沉積群束工具中,第三及第四負載 鎖定件將該前端輸送模組44耦合至該後端輸送模組52 °同 樣在該第一替代的金屬沉積群束工具中,一鈍氣注入結構 被耦合至該前端輸送模組。 在一第二替代的金屬沉積群束工具中’該第一及第二 超臨界處理模組48及49,及第一及第二金屬沉積模組56 及57係耦合至單一的輸送模組。與較佳的金屬沉積工具40 比較起來,該第一替代的金屬沉積工具係較不好的,因爲 本紙張尺度適用中國國家標準(CNS ) A4規格(210X297公釐) -17 · 1287853 A7
「 遞見制I 五、發明説明(15) -- _丨 該較佳的金屬沉積工具藉由將模組安排在各自的輸送模組 附近而將超臨界處理模組與金屬沉積模組分隔開來。這可 達成一較乾淨的金屬沉積處理。該第一替代的金屬沉積工 具係被教導於2000年十一月1日所提申之美國專利申請案 第09/704,641號中,該案內容藉由此參照而被倂於本文中 〇 對於熟悉此技藝者而言將會很明顯的是,該較佳的實 施曆之不同的變化可在不偏離由以下的申請專利範圍所界 定之本發明的精神與範圍下被達成。 (請先閲讀背面之注意事項再填寫本頁) 經濟部智慧財產局員工消費合作社印製 -18- 本紙張尺度適用中國國家標準(CNS ) A4規格(210X29*7公釐)

Claims (1)

  1. A8 B8 C8 D8 經濟部智慧財產局員工消費合作社印製 1287853 —- ZZZZ~T ^mrT 六、申請專利範圍 一— 附件4A; 第90109943號專利申請案 中文申請專利範圍替換本 民國93年9月22日修正 1. 一種將一金屬膜層沉積於一基材上的方法,其包含以 下的步驟: a) 保持超臨界二氧化碳及一螯合劑與該基材接觸用以從 該基材的一金屬表面上去除一氧化物層,藉以形成一經過 預淸潔的基材;及 b) 在不需將該經過預淸潔的基材曝露於一會將該經過 預淸潔的基材的金屬表面氧化的物質中之下,沉積該金屬 膜層於該經過預淸潔的基材上。 2. 如申請專利範圍第1項所述之方法,其中該保持超臨 界二氧化碳及一螯合劑與該基材接觸的步驟更包含保持一’ 酸與該基材接觸使得該酸溶解該氧化物層。 3 ·如申請專利範圍第2項所述之方法,其中該酸係選自 於包含一有機酸及一無機酸的組群中。 4.如申請專利範圍第2項所述之方法,其中該氧化物層 包含氧化銅。 5 .如申請專利範圍第4項所述之方法,其中該酸包含一 有機酸。 6.如申請專利範圍第4項所述之方法,其中該酸係選自 於包含乙酸’甲酸,草酸及丙二酸;^ -羥基酸如羥乙酸, 檸檬酸,蘋果酸或乳酸;或胺基酸如甘胺酸,丙胺酸,白 本紙張尺度適用中國國家標準(CNS ) Α4規格(210X297公釐) (請先閲讀背面之注意事項再填寫本頁)
    1287853 A8 B8 C8 D8 六、申請專利範圍 胺酸,鏘胺酸,麩醯胺或維胺酸的組群中。 (請先聞讀背面之注意事項再填寫本頁) 7 ·如申請專利範圍第2項所述之方法,其中該氧化物包 含氧化鋁。 8·如申請專利範圍第7項所述之方法,其中該酸包含一 無機酸。 9.如申請專利範圍第7項所述之方法,其中該酸係選自 於包含氫氟酸及緩衝的氫氟酸公式如氟化銨及二氟化胺的 組群中。 10·如申請專利範圍第1項所述之方法,其更包含在沉 積該金屬膜層之前,保持該超臨界二氧化碳與該基材接觸 用以將一被吸收物質從該基材中釋出的步驟。 1 1 ·如申請專利範圍第1 0項所述之方法,其中該保持該 超臨界二氧化碳與該基材接觸將一被吸收的物質從該基材 釋出。 經濟部智慧財產局員工消費合作社印製 I2.如申請專利範圍第1項所述之方法,其更包含在沉 積該金屬膜層之前,保持該超臨界二氧化碳及一溶劑與該 基材接觸用以將一殘留物從該基材上去除的步驟,該殘留 物是選自於包含光阻,光阻殘留物,及鈾刻殘留物的組群 中〇 1 3 .如申請專利範圍第1項所述之方法,其中該螯合劑 係選自於包含包含2,4-戊烷二酮,1,1,1,6,. 6,6·六 氟-2,4-戊烷二酮,1,1,1-三氟戊烷-2,4-二酮,2,6-六 甲基庚烷-3,5-二酮,2,2,7-三甲基辛烷-2,4-二酮,2 ,2,6,6-四甲基庚烷-3,5-二酮,乙烯二胺二醋酸 本紙張尺度適用中國國家摞準(CNS ) A4規格(210X297公釐) _ 2 _ 1287853 A8 B8 C8 D8 六、申請專利範圍 (EDTA),及三價氮基三醋酸(NTA)的組群中。 14.一種將一金屬膜層沉積於一基材上的方法,其包含 以下的步驟: a) 保持超臨界二氧化碳及一胺與該基材接觸用以從該基 材的一金屬表面上去除一氧化物層,藉以形成一經過預淸 潔的基材;及 b) 在不需將該經過預淸潔的基材曝露於一會將該經過 預淸潔的基材的金屬表面氧化的物質中之下,沉積該金屬 膜層於該經過預淸潔的基材上。 15·如申請專利範圍第1項所述之方法,其中該胺係 選自於包含三乙醇胺,2-甲胺基乙醇,吡啶,2,2,-聯吡啶 ,及五甲基二乙烯三胺的組群中。 1 6 ·如申請專利範圍第1 4項所述之方法,其更包含在沉 積該金屬膜層之前,保持該超臨界二氧化碳與該基材接觸· 用以將一被吸收物質從該基材中釋出的步驟。 17·如申請專利範圍第16項所述之方法,其中該保持該 超臨界二氧化碳與該基材接觸將一被吸收的物質從該基材· 釋出。 18·如申請專利範圍第I4項所述之方法,其更包含在沉 積該金屬膜層之前,保持該超臨界二氧化碳及一溶齊[|與_ 基材接觸用以將一殘留物從該基材上去除的步驟.,該^胃 物是選自於包含光阻,光阻殘留物,及蝕刻殘留物的,組肖 中 〇 19.一種將一金屬膜層沉積於一基材上的方法,其包含 ^氏張尺度適用中國國家摞準(CNS ) A4規格(210X297公釐) ---- "- ----------#! (請先閱讀背面之注意事項再填寫本頁) 訂 經濟部智慧財產局員工消費合作社印製 A8 B8 C8 D8 1287853 六、申請專利範圍 以下的步驟: (請先閱讀背面之注意事項再填寫本頁} a) 保持超臨界二氧化碳於一第一模組內且與該基材接觸 用以從該基材上去除一吸著物(sorbate),其是由包含一被吸 收物(aborbate)及一吸附物(adsorbate)的組群中所選取的, 藉以形成一經過反吸收的基材;及 b) 在不需將該經過反吸收的基材曝露於會形成非揮發 性吸著物的物質中之下,在一第二模組中將該膜層沉積於 該經過反吸收的基材上,其中該基材是經由一閥而從該第 一模組被傳送至該第二模組。 2 0.如申請專利範圍第19項所述之方法,其中該膜層包 含一金屬膜層。 2 1 .如申請專利範圍第20項所述之方法,其更包含在沉 積該金屬膜層於該基材上的步驟之前,保持該超臨界二氧 化碳及一螯合劑與該基材接觸,用以將一氧化物層從該基‘ 材的一金屬表面上去除的步驟。 經濟部智慧財產局員工消費合作社印製 22.如申請專利範圍第21項所述之方法,其中保持該超 臨界二氧化碳及該螯合劑與該基材接觸的步驟更包含保持 一酸與該基材接觸使得該酸可溶解該氧化物層。 2 3.如申請專利範圍第20項所述之方法,其更包含在沉 積該金屬膜層於該基材上的步驟之前,保持該超臨界二氧 化碳及一胺與該基材接觸,用以將一氧化物層從該基材的 一金屬表面上去除的步驟。 2 4.如申請專利範圍第20項所述之方法,其更包含在沉 積該金屬膜層之前,保持該超臨界二氧化碳及一溶劑與該 -4 - 本紙張尺度適用中國國家標準(CNS ) A4規格(210X297公釐) A8 B8 C8 D8 1287853 々、申請專利範圍 基材接觸用以將一殘留物從該基材上去除的步驟,該殘留 物是選自於包含光阻,光阻殘留物,及蝕刻殘留物的組群 中〇 2 5.—種將一金屬膜層沉積於一基材上的方法,其包含 以下的步驟: a) 保持超臨界二氧化碳與該基材接觸用以從該基材上去 除一吸著物(sorbate),其是由包含一被吸收物(aborbate)及 一吸附物(adsorbate)的組群中所選取的; b) 保持該超臨界二氧化碳及一螯合劑與該基材接觸, 用以將一氧化物層從該基材.的一金屬表面上去除;及 c) 在不需將該基材曝露於會在沉積該金屬膜層之前形成 非揮發性吸著物的第一物質中及在不需將該基材曝露於會 在沉積該金屬膜層之前形成氧化物的第二物質中之下,沉 積該金屬膜層於該基材上。 26. 如申請專利範圍第25項所述之方法,其中保持該超 臨界二氧化碳及該螯合劑與該基材接觸的步驟更包含保持 一酸與該基材接觸使得該酸可溶解該氧化物層。 27. 如申請專利範圍第25項所述之方法,其更包含在沉 積該金屬膜層之前,保持該超臨界二氧化碳及一溶劑與該 基材接觸用以將一殘留物從該基材上去除的步驟,該殘留 物是選自於包含光阻,光阻殘留物,及蝕刻殘留物的組群 〇 28. —種將一金屬膜層沉積於一基材上的方法,其包含 以下的步驟: 本紙張尺度適用中國國家標準(CNS ) A4規格(210X297公釐) (請先聞讀背面之注意事項再填寫本頁) 訂 經濟部智慧財產局員工消費合作社印製 A8 B8 C8 D8 1287853 六、申請專利範圍 (請先閱讀背面之注意事項再填寫本頁) a) 保持超臨界二氧化碳與該基材接觸用以從該基材上去 除一吸著物(sorbate),其是由包含一被吸收物(aborbate)及 一吸附物(adsorbate)的組群中所選取的; b) 保持該超臨界二氧化碳及一胺與該基材接觸,用以 將一氧化物層從該基材的一金屬表面上去除;及 〇在不需將該基材曝露於會在沉積該金屬膜層之前形成 非揮發性吸著物的第一物質中及在不需將該基材曝露於會 在沉積該金屬膜層之前形成氧化物的第二物質中之下,沉 積該金屬膜層於該基材上。 29.如申請專利範圍第28所述之方法,其更包含在沉積 該金屬膜層之前,保持該超臨界二氧化碳及一溶劑與該基 材接觸用以將一殘留物從該基材上去除的步驟,該殘留物 是選自於包含光阻,光阻殘留物,及蝕刻殘留物的組群中 〇 3 0.—種將一金屬膜層沉積於一基材上的方法,其包含 以下的步驟: 經濟部智慧財產局員工消費合作社印製 a) 保持超臨界二氧化碳,一螯合劑及一酸與該基材接觸 使得該酸可溶解該基材的一金屬表面上的氧化物層及該螯 合劑可帶走金屬離子,藉以形成一經過預淸潔的基材;及 b) 在不需將該經過預淸潔的基材曝露於一會氧化該經 過預淸潔的基材的金屬表面的物質中之下,沉積該金屬膜 層於該經過預淸潔的基材上。 31.—種用來將一金屬膜層沉積於一基材上的設備,其 包含: 本紙張尺度適用中國國家標準(CNS ) A4規格(210X 297公釐) -6 - 1287853 A8 B8 C8 D8 六、申請專利範圍 a) —輸送模組; b) —超臨界處理模組,其耦合至該輸送模組; c) 一金屬沉積模組;及 d) —真空模組,其將該金屬沉積模組耦合至該輸送模 組; e) 將該基材傳送於該超臨界處理模組與該金屬沉積模組 之間的機構。 3 2.如申請專利範圍第31項所述之設備,其中該輸送模 組包含一入口及一第一機器人。 3 3.如申請專利範圍第32項所述之設備,其中該入口包 含一負載鎖定件。 34·如申請專利範圍第33,項所述之設備,其更包含一鈍 氣注入結構,其耦合至該輸送模組使得在操作時該輸送模 組可提供一鈍氣環境。 3 5.如申請專利範圍第33項所述之設備,其更包含一真 空幫浦,其耦合至該輸送模組使得在操作時該輸送模組可 在真空下操作。 3 6·如申請專利範圍第32項所述之設備,其中該真空模 組包含一第二機器人。 3 7·如申請專利範圍第36項所述之設備,其更包含一閥 ’該閥將該輸送模組耦合至該真空模組。 38·如申請專利範圍第37項所述之設備,其更包含一真 空幫浦其耦合至該真空模組。 3 9·如申請專利範圍第36項所述之設備,其.更包含一負 本紙張尺度適用中國國家標準(CNS ) A4規格(210X297公釐) (請先閱讀背面之注意事項再填寫本頁) 訂 P. 經濟部智慧財產局員工消費合作社印製 1287853 A8 B8 C8 D8 經濟部智慧財產局員工消費合作社印製 六、申請專利範圍 載鎖定件,該負載鎖定件將該輸送模組耦合至該真空模組 〇 4 0.如申請專利範圍第3 1項所述之設備,其中該超臨界 處理模組包含一壓力容器。 41. 一種用來將一金屬膜層沉積於一基材上的設備,其 包含: a) —輸送模組,其包含一入口及一第一機器人; b) —超臨界處理模組,其耦合至該輸送模組; c) 一金屬沉積模組;及 d) —真空模組,其將該金屬沉積模組耦合至該輸送模 組,該真空模組包含一真空室及一第二機器人,其中該第 一機器人與該第二檄器人被建構成可將該基材傳送於該超 臨界處理模組與該金屬沉積模組之間的機構。 42. —種用來將金屬膜層沈積於一基材上的設備,其包 含: a) —前端輸送模組,其包含一或多個超臨界模組被建構 成可用一超臨界溶液來處理一基材; b) —後端輸送模組,其耦合至該前端輸送模組,該後端 輸送模組包含一或多個沉積模組被建構成可將一物質層沉 積在該經過處理的基材上;及 c) 在不需將該經過處理的基材曝露於環境中之下,將該 基材傳送於該前端輸送模組與該後端輸送模組之間的機 構。 43·如申請專利範圍第42項所述之設備,其中將該基材 本紙張尺度適用中國國家標準( CNS ) A4規格(210X297公釐) ~ (請先閲讀背面之注意事項再填寫本頁)
    1287853 Α8 Β8 C8 D8 經濟部智慧財產局員工消費合作社印製 々、申請專利範圍 傳送於該前端輸送模組與該後端輸送模組之間的機構包含 一或多個輸送機器人。 4(如申請專利範圍第42項所述之設備,其更包含一閥 用來將該基材隔離在前端輸送模組或該後端輸送模組之一 者內。 45. 如申請專利範圍第42項所述之設備,其更包含一裝 載器模組用來載入該基材。 46. 如申請專利範圍第45項所述之設備,其中該裝載器 模組被耦合至該前端輸送模組。 本紙張尺度適用中國國家標準(CNS ) μ規格(210X297公釐) (請先閱讀背面之注意事項存填寫本頁)
TW090109943A 2000-04-25 2001-04-25 Method and apparatus for depositing a metal film on a substrate TWI287853B (en)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US19958000P 2000-04-25 2000-04-25

Publications (1)

Publication Number Publication Date
TWI287853B true TWI287853B (en) 2007-10-01

Family

ID=22738145

Family Applications (1)

Application Number Title Priority Date Filing Date
TW090109943A TWI287853B (en) 2000-04-25 2001-04-25 Method and apparatus for depositing a metal film on a substrate

Country Status (9)

Country Link
US (2) US6890853B2 (zh)
EP (1) EP1277233A2 (zh)
JP (2) JP2003534646A (zh)
KR (1) KR100693691B1 (zh)
CN (1) CN1216415C (zh)
AU (1) AU2001255656A1 (zh)
IL (2) IL152376A0 (zh)
TW (1) TWI287853B (zh)
WO (1) WO2001082368A2 (zh)

Families Citing this family (73)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TW539918B (en) * 1997-05-27 2003-07-01 Tokyo Electron Ltd Removal of photoresist and photoresist residue from semiconductors using supercritical carbon dioxide process
US6497239B2 (en) 1999-08-05 2002-12-24 S. C. Fluids, Inc. Inverted pressure vessel with shielded closure mechanism
US6602349B2 (en) 1999-08-05 2003-08-05 S.C. Fluids, Inc. Supercritical fluid cleaning process for precision surfaces
US6748960B1 (en) * 1999-11-02 2004-06-15 Tokyo Electron Limited Apparatus for supercritical processing of multiple workpieces
KR100742473B1 (ko) * 1999-11-02 2007-07-25 동경 엘렉트론 주식회사 제 1 및 제 2 소재를 초임계 처리하는 장치 및 방법
IL152376A0 (en) * 2000-04-25 2003-05-29 Tokyo Electron Ltd Method of depositing metal film and metal deposition cluster tool including supercritical drying/cleaning module
AU2001290171A1 (en) * 2000-07-26 2002-02-05 Tokyo Electron Limited High pressure processing chamber for semiconductor substrate
JP3955724B2 (ja) * 2000-10-12 2007-08-08 株式会社ルネサステクノロジ 半導体集積回路装置の製造方法
US7326673B2 (en) * 2001-12-31 2008-02-05 Advanced Technology Materials, Inc. Treatment of semiconductor substrates using long-chain organothiols or long-chain acetates
US7557073B2 (en) * 2001-12-31 2009-07-07 Advanced Technology Materials, Inc. Non-fluoride containing supercritical fluid composition for removal of ion-implant photoresist
US6924086B1 (en) * 2002-02-15 2005-08-02 Tokyo Electron Limited Developing photoresist with supercritical fluid and developer
US20030168431A1 (en) * 2002-02-25 2003-09-11 Ritdisplay Corporation Etchant composition for silver alloy
EP1495366A1 (en) * 2002-04-12 2005-01-12 Supercritical Systems Inc. Method of treatment of porous dielectric films to reduce damage during cleaning
JP3949504B2 (ja) * 2002-04-25 2007-07-25 英夫 吉田 母材表面の活性化処理方法および活性化処理装置
US6846380B2 (en) 2002-06-13 2005-01-25 The Boc Group, Inc. Substrate processing apparatus and related systems and methods
US20040118812A1 (en) * 2002-08-09 2004-06-24 Watkins James J. Etch method using supercritical fluids
JP2004158534A (ja) * 2002-11-05 2004-06-03 Kobe Steel Ltd 微細構造体の洗浄方法
US6722642B1 (en) 2002-11-06 2004-04-20 Tokyo Electron Limited High pressure compatible vacuum chuck for semiconductor wafer including lift mechanism
US20040112409A1 (en) * 2002-12-16 2004-06-17 Supercritical Sysems, Inc. Fluoride in supercritical fluid for photoresist and residue removal
JP2004228526A (ja) * 2003-01-27 2004-08-12 Tokyo Electron Ltd 基板処理方法および半導体装置の製造方法
JP2004225152A (ja) * 2003-01-27 2004-08-12 Tokyo Electron Ltd 基板処理方法および半導体装置の製造方法
US8241701B2 (en) * 2005-08-31 2012-08-14 Lam Research Corporation Processes and systems for engineering a barrier surface for copper deposition
US20040198066A1 (en) * 2003-03-21 2004-10-07 Applied Materials, Inc. Using supercritical fluids and/or dense fluids in semiconductor applications
US8580076B2 (en) * 2003-05-22 2013-11-12 Lam Research Corporation Plasma apparatus, gas distribution assembly for a plasma apparatus and processes therewith
US7226512B2 (en) * 2003-06-18 2007-06-05 Ekc Technology, Inc. Load lock system for supercritical fluid cleaning
US20050158664A1 (en) * 2004-01-20 2005-07-21 Joshua Tseng Method of integrating post-etching cleaning process with deposition for semiconductor device
US7141496B2 (en) * 2004-01-22 2006-11-28 Micell Technologies, Inc. Method of treating microelectronic substrates
US20050183740A1 (en) * 2004-02-19 2005-08-25 Fulton John L. Process and apparatus for removing residues from semiconductor substrates
US20050261150A1 (en) * 2004-05-21 2005-11-24 Battelle Memorial Institute, A Part Interest Reactive fluid systems for removing deposition materials and methods for using same
US20090304914A1 (en) * 2006-08-30 2009-12-10 Lam Research Corporation Self assembled monolayer for improving adhesion between copper and barrier layer
US20060065288A1 (en) * 2004-09-30 2006-03-30 Darko Babic Supercritical fluid processing system having a coating on internal members and a method of using
US20060065189A1 (en) * 2004-09-30 2006-03-30 Darko Babic Method and system for homogenization of supercritical fluid in a high pressure processing system
US7387973B2 (en) * 2004-09-30 2008-06-17 Taiwan Semiconductor Manufacturing Co., Ltd. Method for improving low-K dielectrics by supercritical fluid treatments
US20060130966A1 (en) * 2004-12-20 2006-06-22 Darko Babic Method and system for flowing a supercritical fluid in a high pressure processing system
US20060135047A1 (en) * 2004-12-22 2006-06-22 Alexei Sheydayi Method and apparatus for clamping a substrate in a high pressure processing system
US20060134332A1 (en) * 2004-12-22 2006-06-22 Darko Babic Precompressed coating of internal members in a supercritical fluid processing system
US7140393B2 (en) * 2004-12-22 2006-11-28 Tokyo Electron Limited Non-contact shuttle valve for flow diversion in high pressure systems
US7434590B2 (en) * 2004-12-22 2008-10-14 Tokyo Electron Limited Method and apparatus for clamping a substrate in a high pressure processing system
US7435447B2 (en) * 2005-02-15 2008-10-14 Tokyo Electron Limited Method and system for determining flow conditions in a high pressure processing system
US7767145B2 (en) 2005-03-28 2010-08-03 Toyko Electron Limited High pressure fourier transform infrared cell
US20060226117A1 (en) * 2005-03-29 2006-10-12 Bertram Ronald T Phase change based heating element system and method
US7789971B2 (en) 2005-05-13 2010-09-07 Tokyo Electron Limited Treatment of substrate using functionalizing agent in supercritical carbon dioxide
US7524383B2 (en) * 2005-05-25 2009-04-28 Tokyo Electron Limited Method and system for passivating a processing chamber
US8747960B2 (en) * 2005-08-31 2014-06-10 Lam Research Corporation Processes and systems for engineering a silicon-type surface for selective metal deposition to form a metal silicide
US8771804B2 (en) * 2005-08-31 2014-07-08 Lam Research Corporation Processes and systems for engineering a copper surface for selective metal deposition
JP4963815B2 (ja) 2005-09-07 2012-06-27 ソニー株式会社 洗浄方法および半導体装置の製造方法
US20080292895A1 (en) * 2005-11-29 2008-11-27 Nanon A/S Method of Producing a Gas Barrier Polymer Foil and a Gas Barrier Polymer Foil
MY148605A (en) * 2006-08-30 2013-05-15 Lam Res Corp Processes and integrated systems for engineering a substrate surface for metal deposition
KR101506352B1 (ko) * 2006-08-30 2015-03-26 램 리써치 코포레이션 금속 증착을 위해 기판 표면을 가공하는 프로세스 및 통합 시스템
JP5212827B2 (ja) * 2009-02-04 2013-06-19 富士電機株式会社 磁気記録媒体の製造方法、及びこの方法により製造された磁気記録媒体
DE102010005762A1 (de) * 2010-01-25 2011-07-28 Oerlikon Trading Ag, Trübbach Reinigungsverfahren für Beschichtungsanlagen
JP5698043B2 (ja) * 2010-08-04 2015-04-08 株式会社ニューフレアテクノロジー 半導体製造装置
CN102092672B (zh) * 2010-12-31 2016-04-27 上海集成电路研发中心有限公司 微电子机械系统的电连接的制造方法
US9129778B2 (en) 2011-03-18 2015-09-08 Lam Research Corporation Fluid distribution members and/or assemblies
CN103184424A (zh) * 2011-12-30 2013-07-03 陈柏颕 低温材料优质化方法及其处理装置
US8592328B2 (en) * 2012-01-20 2013-11-26 Novellus Systems, Inc. Method for depositing a chlorine-free conformal sin film
KR102074527B1 (ko) * 2014-01-10 2020-02-06 이형곤 박막클러스터 제조장치와 박막클러스터, 박막, 자외선차단제 및 화장품
CN106164328B (zh) * 2014-02-12 2018-10-12 李亨坤 薄膜群制造装置、薄膜群、薄膜、紫外线阻隔剂及化妆品
US9564312B2 (en) 2014-11-24 2017-02-07 Lam Research Corporation Selective inhibition in atomic layer deposition of silicon-containing films
US9601693B1 (en) 2015-09-24 2017-03-21 Lam Research Corporation Method for encapsulating a chalcogenide material
US10048594B2 (en) * 2016-02-19 2018-08-14 Tokyo Electron Limited Photo-sensitized chemically amplified resist (PS-CAR) model calibration
US10982336B2 (en) 2016-04-01 2021-04-20 Wayne State University Method for etching a metal surface
US10262858B2 (en) 2016-04-25 2019-04-16 Applied Materials, Inc. Surface functionalization and passivation with a control layer
KR102096952B1 (ko) * 2016-05-26 2020-04-06 세메스 주식회사 기판 처리 장치 및 방법
US10629435B2 (en) 2016-07-29 2020-04-21 Lam Research Corporation Doped ALD films for semiconductor patterning applications
US10074543B2 (en) 2016-08-31 2018-09-11 Lam Research Corporation High dry etch rate materials for semiconductor patterning applications
US9865455B1 (en) 2016-09-07 2018-01-09 Lam Research Corporation Nitride film formed by plasma-enhanced and thermal atomic layer deposition process
US10832908B2 (en) 2016-11-11 2020-11-10 Lam Research Corporation Self-aligned multi-patterning process flow with ALD gapfill spacer mask
US10454029B2 (en) 2016-11-11 2019-10-22 Lam Research Corporation Method for reducing the wet etch rate of a sin film without damaging the underlying substrate
US10134579B2 (en) 2016-11-14 2018-11-20 Lam Research Corporation Method for high modulus ALD SiO2 spacer
JP2019518862A (ja) * 2017-04-28 2019-07-04 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 複数の材料を基板上に堆積するための真空システムおよび方法
US10269559B2 (en) 2017-09-13 2019-04-23 Lam Research Corporation Dielectric gapfill of high aspect ratio features utilizing a sacrificial etch cap layer
CN112005343A (zh) 2018-03-02 2020-11-27 朗姆研究公司 使用水解的选择性沉积

Family Cites Families (168)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US2439689A (en) 1948-04-13 Method of rendering glass
US2617719A (en) 1950-12-29 1952-11-11 Stanolind Oil & Gas Co Cleaning porous media
US2993449A (en) * 1959-03-09 1961-07-25 Hydratomic Engineering Corp Motor-pump
US3135211A (en) * 1960-09-28 1964-06-02 Integral Motor Pump Corp Motor and pump assembly
DE1965723B2 (de) * 1969-01-06 1972-12-07 The Hobart Mfg Co , Troy, Ohio (V St A) Hydraulische steuereinrichtung fuer waschmaschinen
US3642020A (en) * 1969-11-17 1972-02-15 Cameron Iron Works Inc Pressure operated{13 positive displacement shuttle valve
GB1392822A (en) 1971-03-02 1975-04-30 Comitato Nazionale Per Lenergi Extraction of metals from solutions
US3890176A (en) 1972-08-18 1975-06-17 Gen Electric Method for removing photoresist from substrate
US4341592A (en) 1975-08-04 1982-07-27 Texas Instruments Incorporated Method for removing photoresist layer from substrate by ozone treatment
US4029517A (en) 1976-03-01 1977-06-14 Autosonics Inc. Vapor degreasing system having a divider wall between upper and lower vapor zone portions
US4091643A (en) 1976-05-14 1978-05-30 Ama Universal S.P.A. Circuit for the recovery of solvent vapor evolved in the course of a cleaning cycle in dry-cleaning machines or plants, and for the de-pressurizing of such machines
US4219333A (en) 1978-07-03 1980-08-26 Harris Robert D Carbonated cleaning solution
US4349415A (en) 1979-09-28 1982-09-14 Critical Fluid Systems, Inc. Process for separating organic liquid solutes from their solvent mixtures
DE3145815C2 (de) 1981-11-19 1984-08-09 AGA Gas GmbH, 2102 Hamburg Verfahren zum Entfernen von ablösungsfähigen Materialschichten von beschichteten Gegenständen,
FR2536433A1 (fr) 1982-11-19 1984-05-25 Privat Michel Procede et installation de nettoyage et decontamination particulaire de vetements, notamment de vetements contamines par des particules radioactives
US4865061A (en) 1983-07-22 1989-09-12 Quadrex Hps, Inc. Decontamination apparatus for chemically and/or radioactively contaminated tools and equipment
US4475993A (en) 1983-08-15 1984-10-09 The United States Of America As Represented By The United States Department Of Energy Extraction of trace metals from fly ash
GB8332394D0 (en) * 1983-12-05 1984-01-11 Pilkington Brothers Plc Coating apparatus
US4877530A (en) 1984-04-25 1989-10-31 Cf Systems Corporation Liquid CO2 /cosolvent extraction
US4960140A (en) 1984-11-30 1990-10-02 Ishijima Industrial Co., Ltd. Washing arrangement for and method of washing lead frames
US4693777A (en) 1984-11-30 1987-09-15 Kabushiki Kaisha Toshiba Apparatus for producing semiconductor devices
US4788043A (en) 1985-04-17 1988-11-29 Tokuyama Soda Kabushiki Kaisha Process for washing semiconductor substrate with organic solvent
US4749440A (en) 1985-08-28 1988-06-07 Fsi Corporation Gaseous process and apparatus for removing films from substrates
US4925790A (en) 1985-08-30 1990-05-15 The Regents Of The University Of California Method of producing products by enzyme-catalyzed reactions in supercritical fluids
US4917556A (en) 1986-04-28 1990-04-17 Varian Associates, Inc. Modular wafer transport and processing system
US4670126A (en) * 1986-04-28 1987-06-02 Varian Associates, Inc. Sputter module for modular wafer processing system
US4730630A (en) * 1986-10-27 1988-03-15 White Consolidated Industries, Inc. Dishwasher with power filtered rinse
US4951601A (en) * 1986-12-19 1990-08-28 Applied Materials, Inc. Multi-chamber integrated process system
JPS63157870A (ja) * 1986-12-19 1988-06-30 Anelva Corp 基板処理装置
DE3861050D1 (de) 1987-05-07 1990-12-20 Micafil Ag Verfahren und vorrichtung zum extrahieren von oel oder polychloriertem biphenyl aus impraegnierten elektrischen teilen mittels eines loesungsmittels sowie destillation des loesungsmittels.
US4924892A (en) 1987-07-28 1990-05-15 Mazda Motor Corporation Painting truck washing system
DE3725565A1 (de) 1987-08-01 1989-02-16 Peter Weil Verfahren und anlage zum entlacken von gegenstaenden mit einem tauchbehaelter mit loesungsmittel
US5105556A (en) 1987-08-12 1992-04-21 Hitachi, Ltd. Vapor washing process and apparatus
US4838476A (en) 1987-11-12 1989-06-13 Fluocon Technologies Inc. Vapour phase treatment process and apparatus
US4933404A (en) 1987-11-27 1990-06-12 Battelle Memorial Institute Processes for microemulsion polymerization employing novel microemulsion systems
DE3887681T2 (de) 1987-11-27 1994-05-11 Battelle Memorial Institute, Richland, Wash. Überkritische mizellentrennung in der flüssig-umkehrphase.
US5266205A (en) 1988-02-04 1993-11-30 Battelle Memorial Institute Supercritical fluid reverse micelle separation
JP2663483B2 (ja) 1988-02-29 1997-10-15 勝 西川 レジストパターン形成方法
US5185296A (en) 1988-07-26 1993-02-09 Matsushita Electric Industrial Co., Ltd. Method for forming a dielectric thin film or its pattern of high accuracy on a substrate
US5013366A (en) 1988-12-07 1991-05-07 Hughes Aircraft Company Cleaning process using phase shifting of dense phase gases
US5051135A (en) 1989-01-30 1991-09-24 Kabushiki Kaisha Tiyoda Seisakusho Cleaning method using a solvent while preventing discharge of solvent vapors to the environment
JPH02209729A (ja) * 1989-02-09 1990-08-21 Matsushita Electric Ind Co Ltd 半導体装置の製造方法及び異物除去装置
US5237824A (en) 1989-02-16 1993-08-24 Pawliszyn Janusz B Apparatus and method for delivering supercritical fluid
US5068040A (en) 1989-04-03 1991-11-26 Hughes Aircraft Company Dense phase gas photochemical process for substrate treatment
US5288333A (en) 1989-05-06 1994-02-22 Dainippon Screen Mfg. Co., Ltd. Wafer cleaning method and apparatus therefore
US5186718A (en) 1989-05-19 1993-02-16 Applied Materials, Inc. Staged-vacuum wafer processing system and method
US4923828A (en) 1989-07-07 1990-05-08 Eastman Kodak Company Gaseous cleaning method for silicon devices
JPH0414222A (ja) * 1990-05-07 1992-01-20 Hitachi Ltd 半導体装置の製造方法及び製造装置
JP2888253B2 (ja) * 1989-07-20 1999-05-10 富士通株式会社 化学気相成長法およびその実施のための装置
US4983223A (en) 1989-10-24 1991-01-08 Chenpatents Apparatus and method for reducing solvent vapor losses
US5213619A (en) 1989-11-30 1993-05-25 Jackson David P Processes for cleaning, sterilizing, and implanting materials using high energy dense fluids
US5269850A (en) 1989-12-20 1993-12-14 Hughes Aircraft Company Method of removing organic flux using peroxide composition
US5196134A (en) 1989-12-20 1993-03-23 Hughes Aircraft Company Peroxide composition for removing organic contaminants and method of using same
US5370741A (en) 1990-05-15 1994-12-06 Semitool, Inc. Dynamic semiconductor wafer processing using homogeneous chemical vapors
US5071485A (en) 1990-09-11 1991-12-10 Fusion Systems Corporation Method for photoresist stripping using reverse flow
US5279771A (en) 1990-11-05 1994-01-18 Ekc Technology, Inc. Stripping compositions comprising hydroxylamine and alkanolamine
JP2782560B2 (ja) 1990-12-12 1998-08-06 富士写真フイルム株式会社 安定化処理液及びハロゲン化銀カラー写真感光材料の処理方法
US5306350A (en) 1990-12-21 1994-04-26 Union Carbide Chemicals & Plastics Technology Corporation Methods for cleaning apparatus using compressed fluids
US5143103A (en) 1991-01-04 1992-09-01 International Business Machines Corporation Apparatus for cleaning and drying workpieces
EP0496605B1 (en) 1991-01-24 2001-08-01 Wako Pure Chemical Industries Ltd Surface treating solutions for semiconductors
US5185058A (en) 1991-01-29 1993-02-09 Micron Technology, Inc. Process for etching semiconductor devices
US5201960A (en) 1991-02-04 1993-04-13 Applied Photonics Research, Inc. Method for removing photoresist and other adherent materials from substrates
EP0514337B1 (de) 1991-05-17 1995-11-22 Ciba-Geigy Ag Verfahren zum Färben von hydrophobem Textilmaterial mit Dispersionsfarbstoffen aus überkritischem CO2
US5274129A (en) 1991-06-12 1993-12-28 Idaho Research Foundation, Inc. Hydroxamic acid crown ethers
US5225173A (en) 1991-06-12 1993-07-06 Idaho Research Foundation, Inc. Methods and devices for the separation of radioactive rare earth metal isotopes from their alkaline earth metal precursors
US5730874A (en) * 1991-06-12 1998-03-24 Idaho Research Foundation, Inc. Extraction of metals using supercritical fluid and chelate forming legand
US5356538A (en) 1991-06-12 1994-10-18 Idaho Research Foundation, Inc. Supercritical fluid extraction
US5174917A (en) 1991-07-19 1992-12-29 Monsanto Company Compositions containing n-ethyl hydroxamic acid chelants
US5320742A (en) 1991-08-15 1994-06-14 Mobil Oil Corporation Gasoline upgrading process
US5431843A (en) 1991-09-04 1995-07-11 The Clorox Company Cleaning through perhydrolysis conducted in dense fluid medium
GB2259525B (en) 1991-09-11 1995-06-28 Ciba Geigy Ag Process for dyeing cellulosic textile material with disperse dyes
EP0543779A1 (de) 1991-11-20 1993-05-26 Ciba-Geigy Ag Verfahren zum optischen Aufhellen von hydrophobem Textilmaterial mit dispersen optischen Aufhellern in überkritischem CO2
KR930019861A (ko) 1991-12-12 1993-10-19 완다 케이. 덴슨-로우 조밀상 기체를 이용한 코팅 방법
AU667337B2 (en) * 1991-12-18 1996-03-21 Schering Corporation Method for removing residual additives from elastomeric articles
US5474812A (en) 1992-01-10 1995-12-12 Amann & Sohne Gmbh & Co. Method for the application of a lubricant on a sewing yarn
EP0638095B1 (en) * 1992-03-27 2002-08-07 University Of North Carolina At Chapel Hill Method of making fluoropolymers
US5313965A (en) 1992-06-01 1994-05-24 Hughes Aircraft Company Continuous operation supercritical fluid treatment process and system
JPH0613361A (ja) 1992-06-26 1994-01-21 Tokyo Electron Ltd 処理装置
US5401322A (en) 1992-06-30 1995-03-28 Southwest Research Institute Apparatus and method for cleaning articles utilizing supercritical and near supercritical fluids
JPH0624801A (ja) * 1992-07-10 1994-02-01 Asahi Glass Co Ltd 非線形光学ガラスの製造方法
US5352327A (en) 1992-07-10 1994-10-04 Harris Corporation Reduced temperature suppression of volatilization of photoexcited halogen reaction products from surface of silicon wafer
US5267455A (en) 1992-07-13 1993-12-07 The Clorox Company Liquid/supercritical carbon dioxide dry cleaning system
US5370742A (en) 1992-07-13 1994-12-06 The Clorox Company Liquid/supercritical cleaning with decreased polymer damage
US5285352A (en) 1992-07-15 1994-02-08 Motorola, Inc. Pad array semiconductor device with thermal conductor and process for making the same
US5368171A (en) 1992-07-20 1994-11-29 Jackson; David P. Dense fluid microwave centrifuge
US5339844A (en) 1992-08-10 1994-08-23 Hughes Aircraft Company Low cost equipment for cleaning using liquefiable gases
US5456759A (en) 1992-08-10 1995-10-10 Hughes Aircraft Company Method using megasonic energy in liquefied gases
US5316591A (en) 1992-08-10 1994-05-31 Hughes Aircraft Company Cleaning by cavitation in liquefied gas
US5261965A (en) 1992-08-28 1993-11-16 Texas Instruments Incorporated Semiconductor wafer cleaning using condensed-phase processing
EP0591595A1 (en) * 1992-10-08 1994-04-13 International Business Machines Corporation Molecular recording/reproducing method and recording medium
US5355901A (en) 1992-10-27 1994-10-18 Autoclave Engineers, Ltd. Apparatus for supercritical cleaning
US5337446A (en) 1992-10-27 1994-08-16 Autoclave Engineers, Inc. Apparatus for applying ultrasonic energy in precision cleaning
US5294261A (en) 1992-11-02 1994-03-15 Air Products And Chemicals, Inc. Surface cleaning using an argon or nitrogen aerosol
US5328722A (en) 1992-11-06 1994-07-12 Applied Materials, Inc. Metal chemical vapor deposition process using a shadow ring
US5514220A (en) 1992-12-09 1996-05-07 Wetmore; Paula M. Pressure pulse cleaning
JP3356480B2 (ja) * 1993-03-18 2002-12-16 株式会社日本触媒 無漏洩ポンプ
US5403665A (en) 1993-06-18 1995-04-04 Regents Of The University Of California Method of applying a monolayer lubricant to micromachines
US5312882A (en) 1993-07-30 1994-05-17 The University Of North Carolina At Chapel Hill Heterogeneous polymerization in carbon dioxide
JP3338134B2 (ja) 1993-08-02 2002-10-28 株式会社東芝 半導体ウエハ処理方法
US5364497A (en) 1993-08-04 1994-11-15 Analog Devices, Inc. Method for fabricating microstructures using temporary bridges
US5377705A (en) 1993-09-16 1995-01-03 Autoclave Engineers, Inc. Precision cleaning system
US5370740A (en) 1993-10-01 1994-12-06 Hughes Aircraft Company Chemical decomposition by sonication in liquid carbon dioxide
US5656097A (en) * 1993-10-20 1997-08-12 Verteq, Inc. Semiconductor wafer cleaning system
US5509431A (en) 1993-12-14 1996-04-23 Snap-Tite, Inc. Precision cleaning vessel
US5417768A (en) 1993-12-14 1995-05-23 Autoclave Engineers, Inc. Method of cleaning workpiece with solvent and then with liquid carbon dioxide
US5641887A (en) * 1994-04-01 1997-06-24 University Of Pittsburgh Extraction of metals in carbon dioxide and chelating agents therefor
US5872257A (en) * 1994-04-01 1999-02-16 University Of Pittsburgh Further extractions of metals in carbon dioxide and chelating agents therefor
EP0681317B1 (en) 1994-04-08 2001-10-17 Texas Instruments Incorporated Method for cleaning semiconductor wafers using liquefied gases
JP3320549B2 (ja) * 1994-04-26 2002-09-03 岩手東芝エレクトロニクス株式会社 被膜除去方法および被膜除去剤
KR0137841B1 (ko) * 1994-06-07 1998-04-27 문정환 식각잔류물 제거방법
US5482564A (en) 1994-06-21 1996-01-09 Texas Instruments Incorporated Method of unsticking components of micro-mechanical devices
US5637151A (en) * 1994-06-27 1997-06-10 Siemens Components, Inc. Method for reducing metal contamination of silicon wafers during semiconductor manufacturing
US5522938A (en) 1994-08-08 1996-06-04 Texas Instruments Incorporated Particle removal in supercritical liquids using single frequency acoustic waves
US6262510B1 (en) * 1994-09-22 2001-07-17 Iancu Lungu Electronically switched reluctance motor
US5501761A (en) 1994-10-18 1996-03-26 At&T Corp. Method for stripping conformal coatings from circuit boards
US5505219A (en) 1994-11-23 1996-04-09 Litton Systems, Inc. Supercritical fluid recirculating system for a precision inertial instrument parts cleaner
US5629918A (en) * 1995-01-20 1997-05-13 The Regents Of The University Of California Electromagnetically actuated micromachined flap
JP3277114B2 (ja) * 1995-02-17 2002-04-22 インターナショナル・ビジネス・マシーンズ・コーポレーション 陰画調レジスト像の作製方法
US5681398A (en) * 1995-03-17 1997-10-28 Purex Co., Ltd. Silicone wafer cleaning method
JPH08264500A (ja) * 1995-03-27 1996-10-11 Sony Corp 基板の洗浄方法
JPH08330266A (ja) * 1995-05-31 1996-12-13 Texas Instr Inc <Ti> 半導体装置等の表面を浄化し、処理する方法
US5932100A (en) * 1995-06-16 1999-08-03 University Of Washington Microfabricated differential extraction device and method
US5783082A (en) * 1995-11-03 1998-07-21 University Of North Carolina Cleaning process using carbon dioxide as a solvent and employing molecularly engineered surfactants
US5783495A (en) * 1995-11-13 1998-07-21 Micron Technology, Inc. Method of wafer cleaning, and system and cleaning solution regarding same
US6063714A (en) * 1995-11-16 2000-05-16 Texas Instruments Incorporated Nanoporous dielectric thin film surface modification
US5679169A (en) * 1995-12-19 1997-10-21 Micron Technology, Inc. Method for post chemical-mechanical planarization cleaning of semiconductor wafers
US5726211A (en) * 1996-03-21 1998-03-10 International Business Machines Corporation Process for making a foamed elastometric polymer
US5804607A (en) * 1996-03-21 1998-09-08 International Business Machines Corporation Process for making a foamed elastomeric polymer
US5868856A (en) * 1996-07-25 1999-02-09 Texas Instruments Incorporated Method for removing inorganic contamination by chemical derivitization and extraction
US5868862A (en) * 1996-08-01 1999-02-09 Texas Instruments Incorporated Method of removing inorganic contamination by chemical alteration and extraction in a supercritical fluid media
US5881577A (en) * 1996-09-09 1999-03-16 Air Liquide America Corporation Pressure-swing absorption based cleaning methods and systems
US5798438A (en) * 1996-09-09 1998-08-25 University Of Massachusetts Polymers with increased order
US5908510A (en) * 1996-10-16 1999-06-01 International Business Machines Corporation Residue removal by supercritical fluids
US5888050A (en) * 1996-10-30 1999-03-30 Supercritical Fluid Technologies, Inc. Precision high pressure control assembly
US5797719A (en) * 1996-10-30 1998-08-25 Supercritical Fluid Technologies, Inc. Precision high pressure control assembly
US6149828A (en) * 1997-05-05 2000-11-21 Micron Technology, Inc. Supercritical etching compositions and method of using same
US6114044A (en) * 1997-05-30 2000-09-05 Regents Of The University Of California Method of drying passivated micromachines by dewetting from a liquid-based process
US5900354A (en) * 1997-07-03 1999-05-04 Batchelder; John Samuel Method for optical inspection and lithography
JPH1154590A (ja) * 1997-08-01 1999-02-26 Kokusai Electric Co Ltd 基板搬送制御方法
US6021791A (en) * 1998-06-29 2000-02-08 Speedfam-Ipec Corporation Method and apparatus for immersion cleaning of semiconductor devices
US6017820A (en) * 1998-07-17 2000-01-25 Cutek Research, Inc. Integrated vacuum and plating cluster system
US6242165B1 (en) * 1998-08-28 2001-06-05 Micron Technology, Inc. Supercritical compositions for removal of organic material and methods of using same
US6277753B1 (en) * 1998-09-28 2001-08-21 Supercritical Systems Inc. Removal of CMP residue from semiconductors using supercritical carbon dioxide process
KR100304254B1 (ko) * 1998-12-08 2002-03-21 윤종용 모듈외관검사설비
DE19860084B4 (de) * 1998-12-23 2005-12-22 Infineon Technologies Ag Verfahren zum Strukturieren eines Substrats
FR2791580B1 (fr) * 1999-04-02 2001-05-04 Centre Nat Rech Scient Procede pour l'enrobage de particules
US7044143B2 (en) * 1999-05-14 2006-05-16 Micell Technologies, Inc. Detergent injection systems and methods for carbon dioxide microelectronic substrate processing systems
US6508259B1 (en) * 1999-08-05 2003-01-21 S.C. Fluids, Inc. Inverted pressure vessel with horizontal through loading
US6602349B2 (en) * 1999-08-05 2003-08-05 S.C. Fluids, Inc. Supercritical fluid cleaning process for precision surfaces
US6497239B2 (en) * 1999-08-05 2002-12-24 S. C. Fluids, Inc. Inverted pressure vessel with shielded closure mechanism
US6228563B1 (en) * 1999-09-17 2001-05-08 Gasonics International Corporation Method and apparatus for removing post-etch residues and other adherent matrices
KR100742473B1 (ko) * 1999-11-02 2007-07-25 동경 엘렉트론 주식회사 제 1 및 제 2 소재를 초임계 처리하는 장치 및 방법
IL152376A0 (en) * 2000-04-25 2003-05-29 Tokyo Electron Ltd Method of depositing metal film and metal deposition cluster tool including supercritical drying/cleaning module
US6319858B1 (en) * 2000-07-11 2001-11-20 Nano-Architect Research Corporation Methods for reducing a dielectric constant of a dielectric film and for forming a low dielectric constant porous film
JP2002237481A (ja) * 2001-02-09 2002-08-23 Kobe Steel Ltd 微細構造体の洗浄方法
US6852194B2 (en) * 2001-05-21 2005-02-08 Tokyo Electron Limited Processing apparatus, transferring apparatus and transferring method
US6763840B2 (en) * 2001-09-14 2004-07-20 Micell Technologies, Inc. Method and apparatus for cleaning substrates using liquid carbon dioxide
JP3978023B2 (ja) * 2001-12-03 2007-09-19 株式会社神戸製鋼所 高圧処理方法
US7326673B2 (en) * 2001-12-31 2008-02-05 Advanced Technology Materials, Inc. Treatment of semiconductor substrates using long-chain organothiols or long-chain acetates
US6848458B1 (en) * 2002-02-05 2005-02-01 Novellus Systems, Inc. Apparatus and methods for processing semiconductor substrates using supercritical fluids
US6843855B2 (en) * 2002-03-12 2005-01-18 Applied Materials, Inc. Methods for drying wafer
US6764552B1 (en) * 2002-04-18 2004-07-20 Novellus Systems, Inc. Supercritical solutions for cleaning photoresist and post-etch residue from low-k materials
US20030217764A1 (en) * 2002-05-23 2003-11-27 Kaoru Masuda Process and composition for removing residues from the microstructure of an object
US6989358B2 (en) * 2002-10-31 2006-01-24 Advanced Technology Materials, Inc. Supercritical carbon dioxide/chemical formulation for removal of photoresists
US20040112409A1 (en) * 2002-12-16 2004-06-17 Supercritical Sysems, Inc. Fluoride in supercritical fluid for photoresist and residue removal
US20040177867A1 (en) * 2002-12-16 2004-09-16 Supercritical Systems, Inc. Tetra-organic ammonium fluoride and HF in supercritical fluid for photoresist and residue removal
US6875285B2 (en) * 2003-04-24 2005-04-05 Taiwan Semiconductor Manufacturing Company, Ltd. System and method for dampening high pressure impact on porous materials
US7250374B2 (en) * 2004-06-30 2007-07-31 Tokyo Electron Limited System and method for processing a substrate using supercritical carbon dioxide processing

Also Published As

Publication number Publication date
CN1425194A (zh) 2003-06-18
AU2001255656A1 (en) 2001-11-07
IL152376A (en) 2006-07-05
KR100693691B1 (ko) 2007-03-09
KR20030043788A (ko) 2003-06-02
JP2003534646A (ja) 2003-11-18
CN1216415C (zh) 2005-08-24
JP5000629B2 (ja) 2012-08-15
US7208411B2 (en) 2007-04-24
WO2001082368A3 (en) 2002-05-16
US20040229449A1 (en) 2004-11-18
JP2009102740A (ja) 2009-05-14
IL152376A0 (en) 2003-05-29
EP1277233A2 (en) 2003-01-22
US20020001929A1 (en) 2002-01-03
WO2001082368A2 (en) 2001-11-01
US6890853B2 (en) 2005-05-10

Similar Documents

Publication Publication Date Title
TWI287853B (en) Method and apparatus for depositing a metal film on a substrate
JP2674488B2 (ja) ドライエッチング室のクリーニング方法
JP3434750B2 (ja) 洗浄装置のライン構成及びその設計方法
US20040118697A1 (en) Metal deposition process with pre-cleaning before electrochemical deposition
US5344793A (en) Formation of silicided junctions in deep sub-micron MOSFETs by defect enhanced CoSi2 formation
KR20010051575A (ko) 살리사이드 처리를 위한 화학적 플라즈마 세정
US7740768B1 (en) Simultaneous front side ash and backside clean
US20070074739A1 (en) Cleaning method of treatment equipment and treatment equipment
KR20010050283A (ko) 유전상수 k가 낮은 유전체의 손상을 최소화하는, 금속플러그를 위한 예비세정 방법
EP0999584B1 (en) Method for manufacturing semiconductor device
US10734243B2 (en) Etching method and substrate processing system
US6325861B1 (en) Method for etching and cleaning a substrate
KR100832164B1 (ko) 기판 표면 처리 방법, 기판 세정 방법 및 프로그램을기록한 기록 매체
JP3996804B2 (ja) 半導体素子の銅薄膜堆積装置
US6793735B2 (en) Integrated cobalt silicide process for semiconductor devices
EP0849779A2 (en) Process for forming a semiconductor structure comprising ion cleaning and depositing steps and integrated cluster tool for performiong the process
US20030214017A1 (en) Method of manufacturing a semiconductor device
JPH0722417A (ja) アルミニウム配線の形成方法
US7115214B2 (en) Method of forming barrier layer
US20070044817A1 (en) Wafer protection system employed in chemical stations
US20060032516A1 (en) Method for the recovery of ash rate following metal etching
US20050040046A1 (en) System for in situ seed layer remediation
KR20080001445A (ko) 반도체 소자의 장벽 금속막 형성방법
JPH05190515A (ja) 半導体集積回路装置の製造方法および製造装置

Legal Events

Date Code Title Description
MM4A Annulment or lapse of patent due to non-payment of fees