TWI260098B - A method of trimming a gate electrode structure - Google Patents

A method of trimming a gate electrode structure Download PDF

Info

Publication number
TWI260098B
TWI260098B TW094100906A TW94100906A TWI260098B TW I260098 B TWI260098 B TW I260098B TW 094100906 A TW094100906 A TW 094100906A TW 94100906 A TW94100906 A TW 94100906A TW I260098 B TWI260098 B TW I260098B
Authority
TW
Taiwan
Prior art keywords
gate electrode
electrode structure
layer
trimming
gate
Prior art date
Application number
TW094100906A
Other languages
English (en)
Other versions
TW200529457A (en
Inventor
Lee Chen
Hong-Yu Yue
Hiromitsu Kambara
Original Assignee
Tokyo Electron Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Tokyo Electron Ltd filed Critical Tokyo Electron Ltd
Publication of TW200529457A publication Critical patent/TW200529457A/zh
Application granted granted Critical
Publication of TWI260098B publication Critical patent/TWI260098B/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32133Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only
    • H01L21/32134Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by liquid etching only
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28026Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor
    • H01L21/28123Lithography-related aspects, e.g. sub-lithography lengths; Isolation-related aspects, e.g. to solve problems arising at the crossing with the side of the device isolation; Planarisation aspects
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32133Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only
    • H01L21/32135Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only
    • H01L21/32136Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only using plasmas
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32133Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only
    • H01L21/32135Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only
    • H01L21/32136Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only using plasmas
    • H01L21/32137Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only using plasmas of silicon-containing layers

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Plasma & Fusion (AREA)
  • Drying Of Semiconductors (AREA)
  • Electrodes Of Semiconductors (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)

Description

1260098 九、發明說明: 一、 【發明所屬之技術領域】 ^發明係關於半導體製造,尤其關於一種藉由使用化學修 衣私來縮小閘極電極結構之尺寸的方法。 ' 二、 【先前技術】 壯加工系統係使用於半導體、積體電路、顯示器以及置他 衣置或材料之製造與處理上。可使用電漿以 平版印刷光罩轉移至―個半導體基板。平 平綱光阻層,其係沈積於—基板上,暴露於—選擇的 含並f影。除了光阻層以外,平版印刷光罩結構可包 先罩層,例如,抗反射塗層(arc)°arc層經常用以減少 柄來自基板之光反射’而犧牲光罩可被使用以將一基 域予以圖案化。接著’基板係在一電聚製程中受 ,於此圖案化的光阻/光罩層絲出基板中之複 人妒if電ί裝置之最小特徵部尺寸係逐漸接近深次微米範圍以符 低功率微處理器與數位電路之需求。一電路之臨界尺 已=為臨界於正被製造之裝置以適當操作之一線或 工間之士度,且其更進一步決定了裝置性能。 受限料層而達成之最小初始特徵部尺寸,係 暴路與案化光阻層之平版印刷技術。—般而言,一
超過利用電—刻方法之平 旧^1^嫌%漿侧製程期間的CD ί斤有_的結構之cd之間的差異,同時維持 本^^與暴露)常數。此乃由於中性優勢的等 1260098 三、 【發明内容】 供且由I述步驟提供極結構之修整方法··提 ϋ一ίι寸之—閘極電極結構;選擇—修整配方;透過與 應ΐ形成—反應層;藉由化學_來從問極電 人.工具係被提供來修整—閘極電極結構。加工工且包 1·-基板載人室’其設計成用以裝載與卸載包含具有尺 極ί構之一基板;一輸送系統,其設計成用以將基 板傳达在加工工具之内;至少一加工系統,其 形成一反應層並藉由化學1 虫刻選擇性5 j用,據一修整配方來控制加工工具以形成具有:;;二:;十 之一弟二尺寸之一閘極電極結構。 不八』 四、 【實施方式】 圖1A-1G顯示似康本發明之一實施例之用以修整 ί構之f程流程之概要剖面表現。藉由利用—軟性光罩加工‘ 構,一平版印刷圖案化的閘極電極結構之一尺寸係 尺寸可以低於光阻圖案“版印; 10。閘極電極層104可以是一含石夕層,例如非晶石夕
SiGe。或者,閘極電極層1〇4可以是一含金 :^ 屬ti(例如憲)、一金屬氮化物(例如:勝 以藉由使-光阻層經由-光罩而暴露於光,然後以 1260098
除未暴露的區域而形成。可使關1A 122之所產生之圖案化光阻層 尺寸 ίίΓ ρ ΐ if t 製程來將平版印刷_專送至下層刚盘 =的=^體而被實現。這些_氣體可舉種 搞兩搞m 6、明與應3之至少一者。圖1A所示之閘 門】二:㈣j需要大約4分鐘之蝕刻製程以形成圖1b所示之 =刻製程建立應於光阻層ι〇8、有機arc層廳 /之械平版印刷尺寸122與第—水平尺寸120 之間的差異之小的CD偏差,如圖1B所示。 夕阻層刚與有機ARC層i%可在實行化學修整製程 除’如圖lc所示,或者,光阻層應與有機arc層^ °被使用以在修整製程期間保護閘極電極 面。於圖K:中,閘極電極層刚係以第一水平尺寸=(21 垂,尺寸122為其特徵。—化學修整製程可更進—步縮小 -水平尺寸118,參見圖1D)在平版印刷尺寸12()以下,
在化學修整製程中,可使圖1C中之閘極電極結構ω =閘極電極結構1G產生等向性反應之—反應氣體,用以形成圖⑴ 戶 =之反觸104b。在-熱縣或一賴製程巾,可使反應氣體 恭路^_電極結構。反應層腿之厚度取決於製程條件,;^如, 反應氣體之型式/反應氣體壓力、暴露時間以及基板溫度。反應 f l〇4b之形絲由充當—物理擴散障礙㈣礙了朗的閘極電& ^ l〇4a與反應氣體之間更進一步的反應。閘極電極結構1 路於反應氣體持續-段允許具有—期望厚度之—反應層娜形^ 之時間周期。 圖2概要顯示依據本發明之一實施例之關聯至反應 時間之一函數之反應層厚度。曲線200-220顯示不同加工條件 1260098 同反應^厚度。如圖2所示,首先可觀察到反應層厚度的快速增 力:’接著,增加之速率隨著增加暴露時間而,,平坦化,,。”平坦化: A由於自我限制反應,於此反應層之厚度接近一漸進值。實於 上’根據料導體製造而言實用的—時標,選擇了形成具有所^ 的才工制與重複性之一反應層之製程條件。因此,在修整製程 2展出產生不同反應層厚度並允許良好的可重複控制之不同的 修整配方。 關於一多晶石夕閘極電極層104,依據電漿加工條件與基板溫 二度在大約2 nm至A約5 nm之_ —Si〇2反應層難, ΪΙΪ據對製造料體裝置而言是實用的一時標(例如,在大約10 3G秒之間㈣彡成。在本發明之-個實補中,包含受激的 反應氣體係用以與—多晶石夕閘極電極層產生反應以形 1〇2反應層104b。受激的氧物質可藉由使用—〇2電漿源而 _===遠端_、,如果此_在加工系統 能用t,=1〇2 ★ H2〇之一含氧氣體可 …f化夕日日矽閘極電極以形成一 Si02反應層。在本發明
㈣ίΐ貫施例中’可能使用i式氧化製程。氧化製程可譬如 肘基板次入溫玛〇或一酸性溶液中。 ㈣於Γ例巾,〇2電裝加讀件絲板溫度餘麵以在大約15 j =隔離與密集閑極電極結構兩者上產生一個4腿厚的Si〇2 似糾〇^b Sl〇2反應層104之厚度在大約15秒之後,於室溫下 为。田疋W了,且較長的暴露時間不會導致反應層10413之厚度增 ^板生H成一Slc>2反應層104b之短加工時間考慮到所需要的高 時,m ’當已形成具有—期料度之—反應層腿 極•靡ίηΠ電極結構10暴露於反應氣體。然後,從未反應閘 由= 夕除(剝去)反應層1〇4b。反應層104b可譬如係藉 甲°私'、、口構1〇暴露於一侧氣體而移除。選擇能移除反應 1260098 層、l〇4b之一蝕刻氣體可取決於閘極電極材料。反應層i〇4b之 除對未反應閘極電極材料而言是選擇性的,並導致圖1E所示之— 修整的閘極電極層104a。_氣體可譬如是含水的氫氣酸蒸汽 (^丑㈣)。熟習本項技藝者將明白到HF㈣具有對別〇2而言比別古 的侧選擇性,藉以允許從殘留的Si間極電極以⑽快速選= 移除SiO2反應層104b。Si〇2反應層l〇4b暴露於HF(aq)钱刻氣 ,Sl〇2聽層_之移除之—段預定時間期間被 貝見。在本舍明之-例中,4_厚的沿〇2反應層難可在 比秒中被移除。修整的閘極電極層10如係以 土:水^寸12。與第—垂直尺寸122之—第二水平尺m 弟一―直尺寸124為其特徵。如果吾人期望更進一步修整 祕層购,則可重複修整製程。重複修整製程形成目β ^應J驟’以及圖1G中之具有新尺寸m與既之一修 。修整掉氧化薄膜1〇4a之另一例係、使用咖(化 '巧,熱产係用以蒸鑛此修整的產品。另一種 ===用 錯由-遇端電漿源而魏之NF3與腿3射彳氣體。又另—c〇
與ϋ膜產生熱反應。修整掉氧化薄膜i〇4a ίί HF*:Li刪—赋抛。料製料私將基板浸入一 -修整周期包含形成—反應層並移除 金直尺寸122被、%小之尺寸的兩倍。在本 一個修整周期可將- Sl間極電極層谢之& 例中’ 腫亚將第-垂直尺寸122縮小至大約⑽膽。、、大、力 圖3A-3C顯示依據本發明之另一個實施例之用以修整一間極 1260098
笔極、、’σ構之製程流程之概要剖面表現。於圖3A中,一含金屬声 W3係介設於閘極電極層1〇4與介電層1〇2之間。含金屬層1〇^ 可譬如是選自於TaN、TiN、TaSiN、RU以及Ru〇2材料。^高k層 102可譬如包含Hf〇2、HfSiOx、Zr〇2以及ZrSiOx之至少一者。& 極電極層104之修整可如上述圖1B_1G所述地被實現,用以形^ 具有尺寸116與126之一閘極電極結構1〇,如圖3B所示。接著, 修整的閘極電極層104c可被使用作為在一非等向性蝕刻製程中之 光罩層,用以定義含金屬層1〇3中之次平版印刷蝕刻特徵部,如 圖3C所示。含金屬層1〇3之蝕刻依據這些層之蝕刻比率來縮小閘 極電極層104c之尺寸126。在一多晶矽層1〇如與一錫層1〇3之例 子中,蝕刻比率可以是大約L5(多晶矽/錫)。因此,為了獲得期望 的垂直尺寸128,尺寸126可基於層1〇4與1〇3之蝕刻比率而選 擇。TaN、TiN與TaSiN材料可藉由使用譬如Cl2之鹵素式氣 ΪΪΪ侧一含RU材料可譬如藉由使用〇2與❿氣體混合物而 被包水蝕刻。或者,如圖4Α-4Β所示,一無機arc層可被使用以 避免縮小尺寸126同時蝕刻含金屬層1〇3。 圖4A-4B顯示依據本發明之又另一實施例之用以修整 電極結構之製程流程之概要剖面表現。圖4A中之間極電極 10包含-無機ARC層106 ’其係與閘極電極層1〇4 一起被修整以 形成圖4B中之-修整的閘極電極結構1〇。無機ARc層觸可链 如包含SiN’而介電層1()2可以選自於Si(V -
Hf〇2、HfSiOx、Zr〇2 與 ZrSi〇x 之高 k 材料。 y 1 β 一 SiN ARC層l〇6與一多晶矽閘極電極層1〇4之修整 使,露於一〇2電漿中之受激的氧物質而執行曰。 ^ __岐應層厚度是同樣的根據SiN與;晶== 圖5A-5D顯不依據本發明之又另一實施例 效 電極結構之製程流程之概要剖面表現。閘極電極結構含二基 1260098 f 00 ;i電層102、一閘極電極層l〇4、一無機ARC層1〇ό 圖案化光阻層1〇8。無機ARC層106可譬如包含SiN,而 二電層 102 1以選自於 Si02、SiOxNy 以及例如 Hf02、HfSi〇x、Zr02 f ZrSi〇x之高k材料。圖5A顯示在無機ARC層ι〇6之電漿蝕刻 閉極兒極層1〇4之局部姓刻以後的一閘極電極結構。圖5B顯示 • 在一個修整周期之後的修整的閘極電極結構10,而圖5c顯示在 =個修整周期之後的修整的閘極電極結構10。圖5D顯示在閘極 • 電極層10如之非等向性蝕刻以後的閘極電極結構1〇。 圖6係為依據本發明之一實施例之用以修整一閘極電極結構 •=流程圖二於600,開始此製程。於610,在一加工系統中提二包 έ 有弟尺寸之一閘極電極層之一閘極電極結構。於620,選 擇一修整配方。選擇使閘極電極結構之期望修整變得容易之一修 整配方。於630,一反應層係透過與閘極電極結構之反應而形成^ f本發明之一個實施例中,反應層可在一熱製程或一電漿製程中 藉由使閘極電極結構暴露於一反應氣體而形成。於64〇,反應層係 從閘極,極結構之未反應部分被移除,藉以形成具有小於第二^尺 1之一第二尺寸之一閘極電極結構。在本發明之一個實施例中, 藉由使反應層暴露於能選擇性地敍刻反應層之一姓刻氣體,以 移除反應層。 / ^ 圖7係為依據本發明之—實施例之用以形成-修整電極結構 之流程圖。於700,開始此製程。於71〇,測量閘極電極結構之一 尺寸此尺寸可言如藉由使用散射測量(sca^er〇metric)方法而就地 受到非破壞性地測量。於720,閘極電極層係如圖6中所說明地被 -修整。於730,測量修整的閘極電極結構之一尺寸,並基於於73〇 所測量的尺寸,於740做出重複於720之修整製程或停止於'75Q • 之製程的一決定。 、 修整製程可藉由使用相同或不同於一先前修整周期之一修整 配方而於730錢進行,以便更進_步地將_電極結構之二尺 寸縮小至-誠值。當已達翻望尺寸時,此製㈣巾止於步驟 11 1260098 750。或/者’可從製程流程中省略測量步驟wo與7牝之至少一者, 订修整製程—歡次數。—反應物與侧氣體之選擇可取 極電極之型式、需要之修整量、氣體與基板材 料和加工㈣元件之相容性、硬體能力以及環境因素。
锋圖8概要顯示依據本發明之一實施例之用以修整一閘極電極 兩八力工工具。加工工具800可譬如是來自日本赤阪之東京 ^ f司之一 UnkyMe^刻工具。加工工具800包含基板載入室 _ 14 820、加工系統83〇_860、機械人輸送系統870以及控制器 一 在本發明之一個實施例中,一光阻層1〇8、一 ARC層106、 閘,電極層刚(例如參見圖⑽及_含金屬層戰例如參見圖 j之電^漿蝕刻可在加工系統84〇中執行。在本發明之一個實施例 ^一反應層透過使一閘極電極結構暴露於一反應氣體之形成而 =在加工系統85G中被執行,而反應層難透過暴露於一侧氣 肢之移除而可在加工系統86〇中執行。 反應層104b之形成與移除可在如上所述之單一加工系統中, J者在”的加,系統中實行。當修整製程包含難以在—氣體暴 =以後k一加工系統排出之腐蝕性的氣體反應物時,使用用以完 之多重加工系統可能是有利的。包含腐錄的氣體 心之一景壓力可導致繼續與閘極電極層產生反 腐蝕半導體基板。 在本|明之—個實施例中,加工系統請可被使用作為用以 ^疋-閘極,極結構之-尺寸之—分析容室。基於所測量的尺 寸,可做f藉由使用相同的或另一個修整配方來執行另一個修整 周,,或停止修整製程之一決定。加工系統830可譬如是來自加 利福尼亞州之聖塔克拉拉(Santa Clara)之TIMBRE科技公司之 學數位表面粗度儀(〇dptm)。 加工工具800可以由一控制器88〇所控制。控制器88〇可以 、,至基板載入室810與820、加工系統830-860以及機械人輸送 系、、先870並與它們交換資訊。舉例而言,儲存於控制器88〇之記 12
1260098 kf中之-程式可被利肋依據—期望製程來控制加工工 之則述7〇件」亚肋執行任何與監視此製程相關的功能: 880之-例係為可從德絲斯狀奥斯丁之戴爾公司取得^一 DELL PRECISION WORKSTATION 610™(^ i 61〇 圖9頦不可在其上實現本發明之一實施例之一電腦 1201。電腦系統12〇1可能使用作為圖8之控制 可 用以執行上粒任何錢有功^—_的控繼使 1201包含一匯流排1202或其他用以連接資訊之通訊機制,以及盥 匯流排1202連接用以處理資訊之一處理器、12〇3。電腦系⑴、 亦包含連接至匯流排1202用以儲存待由處理器12〇3執 與指令之-主記憶體1204,例如-隨機存取記憶體(RA j:他 動態儲存裝置(例如動態狀M(DRAM)、靜態、μμθμμ 步DRAM(SDRAM))。此外,在由處理器12〇3執行指令期 記憶體1204可能用來儲存暫時變數或其他中間資訊腦 麗更包含連接至匯流排1202用以儲存靜態資訊與指令 理器1203使狀-唯讀記憶離〇M)12〇5或其他靜態儲存裝置 (例如可程式唯頃記憶體(PROM)、可抹除pR〇M(EpR〇M 帝 可抹除之prom(eeprom))。 迅 電腦系統1201亦包含連接至匯流排12〇2以控制用以儲 sfl與彳日令之一個或多個儲存裝置之一磁碟控制器12〇6,例如一磁 碟1207,與-抽取式媒體驅動器、簡(例如軟式磁碟機、唯讀 機、讀取/寫入光碟機、光碟櫃(jukebox)、磁;^機以及抽取式磁光 碟機)。這些儲存裝置可藉由使用一適當的裝置介面(例如,小 腦系統介面(SCSI)、整合式電子裝置(IDE)、增強型roE(E_iD 直接5己丨思體存取(DMA)或超快DMA)而加至電腦系统1201。 電腦系統1201亦可包含特殊目的邏輯元件(例如特殊用途 IC(ASIC))或可配置邏輯元件(例如簡單可程式化邏輯元件 (SPLD)、複雜可程式化邏輯元件(CPLD)以及現場可程式化問陣列 13 1260098 (FPGA))。電腦系統亦可包含一個或多個數位信號處理器(DSP), 例如來自德州儀器公司之TMS320系列之晶片,來自摩托羅拉公 司之 DSP56000、DSP56100、DSP56300、DSP56600 以及 DSP96000 系列之晶片,來自Lucent科技公司之DSP1600與DSP3200系列 或來自Analog Device公司之ADSP2100與ADS021000系列。亦 可使用其他特別設計來處理已被轉換成數位範圍之類比式信號之 處理器。電腦系統亦可包含一個或多個數位信號處理器(Dsp),例 如來自德州儀器公司之TMS32〇系列之晶片,來自摩托羅拉公司 之 DSP56000、DSP56100、DSP56300、DSP56600 以及 DSP96000 «系列之晶片,來自Lucent科技公司之DSP1600與DSP3200系列 或來自Analog Device公司之ADSP2100與ADS021000系列。亦 .可使用其他特別設計來處理已被轉換成數位範圍之類比式信號之 處理器。 電腦系統1201亦可包含連接至匯流排1202以控制一顯示器 ^川之一顯示控制器1209,例如一陰極射線管(CRT),用以顯示 f Λ、、、β黾細使用者。電腦糸統包含輸入裝置,例如一鍵盤1211 ,一指標裝置1212,用以與一電腦使用者交互作用並提供資訊給 处理1203。^曰;^裝置1212譬如可能是一滑鼠、一執跡球或一指 向桿丄用以將方向資訊與命令選擇連接至處理器12〇3並用以控制 1上之游標移動。此外’—印表機可提供藉由電腦系統 uoi儲存及/或產生之資料之列印一覽表。 電腦系統1201執行本發明之加工步驟之一部分 應執行包含於一記憶體(例如主記憶體12〇4)中個σ ΚΞίΠ之處理8⑽。這種指令可能從可 1204 , 執勺人二、可採用一多加工配置中之一個或多個處理器以 錢目麵仙取代或與軟體齡結合。 亚未又限於硬體電路與軟體之任何特別組合。 、 14 1260098 體,包含至少一電腦可讀媒體或記憶 所說明之本=之教導而計劃之指令,並用以包含於此 例子係為光^销二電腦可讀取媒體之 EEPROJV[,快門 pppn]Vyr、茱兹贡、磁光碟、PR〇M(EPR〇M, 他磁性銲體,u ^DRAM ' SRAM、SDRAM ;或任何其 卡、紙帶任何其他綱體,打孔 或任何其他電腦可從其讀取之°媒體物理媒體’ 一載波(說明於下); 軟-本ί,,含儲存在電腦可讀取舰之任何-個或-組合上之 複數個裝置,^動執行本發明之-裝置或 器、操作H 料及麵二3但絲受限赠置驅動 包含本發明之電腦產 可讀取媒體更 加工之全部或-部分現本發明中所執行之
制,包含但並未受:二任何τ判讀的或可執行的碼機 資料觀LWava等級以/完^^^^^、動態連結 加工之部分可能為了更好的性能ϋ,外’本發明之 如於此所使用之專門用語, 或成本而被分配。 指令給處理器1203以供執行媒雕項^脰”表示任何參與提供 御式,包含但並未受限於非揮=生心=2媒體可能採取多 媒體。非揮發性媒體包含譬如光 '=、軍务性媒體以及傳輪 1207或抽取式媒體驅動器12〇8 媒^^光碟,例如硬碟 如主記憶體m4。傳輸媒體包含^,脰包遗態記憶體,例 組成匯流排聰之配線。傳輸媒體亦;J取光纖,包含 例如線f料通訊期間所產生耳的^形式, 令之:個❹㈣行:個或多個指 3以供執行。舉例而言,這些指 15 1260098 二百^可能在一遠端電腦之一磁碟上被運送。遠端電腦可將這些 =以執^本發明之全部或一部份的指令遙隔載入到一動態記憶一 脰,亚藉由使用一數據機而透過一電話線傳送指令。在 ^ L201近,之一數據機可接收電話線上之資料並使用一紅外線發送 态以f資料轉換成一紅外線信號。連接至匯流排1202之一紅^卜線 偵測器可接收在紅外線信號中所運送之資料並將資料置於匯流^ 12f上。匯流排1202將資料運送至主記憶體1204,處理器1203 ^從其檢索並執行指令。由主記憶體12〇4所接收的這些指令可在
藉由處理為1203執行之前或之後,可選擇地被儲存在儲存裝置 1207 或 1208 上。 t 電腦系統1201亦包含連接至匯流排12〇2之一通訊介面 1213。通訊介面1213提供耦合至一網路連結1214之一雙向資料 通訊,此網路連結1214係連接至譬如一局部區域網路 、 (L^N)1215,或連接至另一個通訊網路1216(例如網際網路)。舉例 而口通δίΙ;ι面1213可能是一網路介面卡以加入至任何分封交換 LAN。關於另一例,通訊介面1213可能是一非對稱的數位用戶線 i(ADSL)卡、一整合服務數位網路(ISDN)卡或一數據機以提供一 資料通σίΙ連接至一對應型式之通訊線。無線連結亦可被實現。在 任^這種實施例中,通訊介面1213傳送並接收運送表示各種型式 之資訊的數位資料流之電性、電磁或光學信號。 網路連結1214—般經由一個或多個網路來提供資料通訊給其 他資料裝置。舉例而言,網路連結1214可透過一區域網路1215^ 如LAN)或透過由一服務提供者所操作之設備來提供一連接至另 一台電腦,服務提供者透過一通訊網路1216來提供通訊服務。區 j或網路1214與通訊網路1216使用譬如運送數位資料流之電性、 電磁,光學信號,以及相關的物理層(例如CAT 5電纜、同軸電纜、 光纖等)。經由各種不同網路之信號以及網路連結丨214上且經由通 吼介=1213之信號(其運送數位資料來回電腦系統12〇1),係可能 在基帶k號或載波式信號中實現。基帶信號傳遞數位資料以作為 16 !26〇〇98 Ϊίίίίϊϊίίΐ—變電脈衝’此處之_語’”位元”係 元二=符=遞至少—或更多資訊' 頻率改變鍵控信號而 因 r 位^^ 定頻率頻送Γ=ϊ‘=ίΛ在不陳基帶之一預 :=卜,網路^ 1214可經由一 LAN1215提供接貝 iH ,數位助理(PDA)膝上㈣腦或蜂巢電話了 口心i解到可旎採用本發明之 現本發明。因此,吾人理解到在以下申請專來j 發明可能不同於於此所詳細說明的方式被實行。圍之乾奇内,本 1260098 五、【圖式簡單說明】 於附圖中: 圖1A-1G顯示依據本發明之一电 結構之製程流程之概要剖面表現;A❻例之用以修整一閘極電極 圖2概要顯示依據本發明之一每 之一函數之反應層厚度; A也列之關聯於反應氣體暴露 圖3A-3C顯示依據本發明之 極結構之製程流程之概要勤表現;種貫施例之用以修整閘極電 圖4A-4B顯不依據本發明之 一 ^
電極結構之製程流程之概要咖表現;貫㈣之用贿整一閘極 圖5A絲頁不依據本發明之又足一 電極結構之製域程之概要剖面表^「心例之·譜整一閘極 之流=係為依據本發明之—實施例之用以修整—間極電極結構 之流ϊί係為依據本發明之—實施例之用以修整—閘極電極結構 結構月之一實施例之用以健^ 9係為可制以實現树日月之—翻電腦之描述 圖 主要元件符號說明: 10〜閘極電極結構 100〜基板 102〜介電層 103〜含金屬層/錫層 104〜下層/反應層/多晶矽閘極電極犀 104a〜修整的閘極電極層 曰 104b〜反應層 104c〜多晶矽層/閘極電極層 18 1260098 104d〜反應層 106〜ARC層/下層 108〜光阻層 116〜尺^寸 118〜第二水平尺寸 120〜第一水平尺寸/平版印刷尺寸 122〜第一垂直尺寸/初始平版印刷尺寸 124〜第二垂直尺寸 126〜尺寸
128〜垂直尺寸 200〜曲線 210〜曲線 220〜曲線 600〜開始 ⑽〜提供具有-第—尺寸之1極 620〜選擇一修整配方 ^稱 630〜透過與閘極電極結構之
由,爛而從問極電極匕分移除反應 形成具有小於第-尺寸之-第二尺寸之-問極 650〜結束 700〜開始 一尺寸 構之一尺寸 710〜測量一閘極電極結構之 720〜修整閘極電極結構 730〜測量修整的閘極電極結 74Ό〜疋否重複修整製程? 750〜停止 800〜加工工具 810〜基板載入室 19 1260098 820〜基板載入室 830〜加工糸統 840〜加工糸統 850〜加工糸統 860〜加工系統 870〜機械人輸送系統 880〜控制器 1201〜電腦系統 1202〜匯流排
1203〜處理器 1204〜主記憶體 1205〜唯讀記憶體(ROM) 1206〜磁碟控制器 1207〜硬碟/儲存裝置 1208〜抽取式媒體驅動器/儲存裝置 1209〜顯示控制器 1210〜顯示器 1211〜鍵盤 1212〜指標裝置
1213〜通訊介面 1214〜區域網路/網路連結 1215〜局部區域網路(LAN) 20

Claims (1)

  1. '1260098 十、申請專利範圍: ^ 種閘極電極結構之修整方法,包含以下步驟: 提供具有一第一尺寸之一閘極電極結構; 選擇一修整配方; ,過與該閘極電極結構之反應來形成—反應層;以及 6 由ΐ子蝕刻而選擇性地從閘極電極結構之未反應部分移除 極=形成具有小於該第—尺寸之—第二尺寸之一修整的 复中專利範圍第1項所述之閘極電極結構之修整方法, 其中该閘極電極結構包含一閘極電極層。 其中該卩綱^層’ 物或一金屬氧化物之至少一者。 ^ 至屬、一孟屬氮化
    』======構之修整方法, Ru以及RU〇2之至少一者。_層其包括TaN、、TaSiN、 7·如申晴專利範圍第2項所诚 其中該閘極電極結構更包含閘極氣極結構之修整方法, 8. 如申睛專利範圍第7項所 其中該ARC層包含—有機鞭層構之修整方法’ 9. 如申請專利範圍第7項所述^門;^ RC層。 其中該ARC層包含siN。 、 3極电極結構之修整方法, 10·如申請專利範圍第丨項 法’其中,成步驟包含在-熱製程或構之修整方 極結構暴露於一反應氣體。 兒水衣程中使該閘極電 1260098 、n.如申請專利範圍第1項所述之閘極電極結修敫 法,其中°亥反應層係形成於^一自我限制製程中。 12. 如申請專利範圍第10項所述之閘極電極結 法,其中该反應氣體包含一受激的含氧氣體。 ^ 13. 如申請專利範圍第!項所述之閑極電極結構之修整方 其中娜成步驟包含使制極電極結構暴露於—濕式氧化製 去㈣之閘極電極結構之修整方 ί5如吏該問極電極結構暴露於一蝕刻氣體。 15. 士申明專利靶圍弟丨項所述之閘極電極 法,其中該移除步驟包含使該閘極電極結構暴 /二 16. 如申請專利範圍第!項所述之閘極電極妹=敕 法,其中該移除步驟包含使該閘極電極結構 體,然後暴露於-熱處理巾。 興NH3乳 Π.如申請專利範圍第i項所述之閘極 法,其中絲除步驟包含使該閘極電赌構暴露; 之恥與丽3氣體,然後暴露於一熱處理中。各於响电水中 18.如申請專利範圍第!項所述之閘
    法,其中該齡步驟包含使·極電極轉暴露;構^= 法,其中縣露與移除步_在方 法,其中巧成與移除步驟係在多重加4H修-方 去申第第^項所述之閘極電極結構之修整方 法,其中該弟一尺寸係為一平版印刷尺寸。 ϋ 申請專利範圍第1項所述之閘極電極結構之修整方 法’ 下步驟.測量該閘極電極結構之該第-與第二尺寸 之至少' ^一有。 /N 23.如申請專利範圍第1項所述之閑極電極結構之修整方 22 1260098 Hi含以下步驟:重複該選擇、形成以及選擇性地移除步驟 、去,2爭4勺^申請專利範圍第1項所述之間極電極結構之修整方 以下步驟··使用該修整閘極電極層作為非等向性韻刻 法,1項所述之間極電極結構之修整方 層,、中挪成步驟包含在制㈣極之—表社職一氧化物 26種電腦可讀舰,其包含財齡賴 二’其在猎由該處理器執行時,使—加卫 = 第1項所述之該等步驟。 /、彻* h專利犯圍 27. —種半導體裝置,包含·· 二ί藉由申請專利範圍第1項所述之 28· —種加工工具,包含: 一基板載入室,設計成用以裝載與卸載包 之一閘極電極結構之一基板; U弟尺寸 一輸送系統,設計成用以在該加工工具之 少系統,設計成用以透過與該難電極反库 末^-反應層,並藉由化學飿刻而選擇性地從該閘極電極結-之該未反應部分移除該反應層;以及 以ΙΪ,’設計成用以依據—修整配方來控制該加工工且以 形成具有小於該第-财之-第二尺寸之—閘㈣極結構 29.如申睛專利範圍第28項所述之加工呈直 ^在-賴域-轉愤朗_鱗縣1^5=· 30·如申請專利範圍第28項所述之加工工具,其 含使該閘極電極結構暴露於一餘刻氣體。 八^ ° 3!•如申請專利範圍第28項所述之加工工具,更包含設計成 23 1260098 用以供電漿蝕刻使用之一進階加工系統。 32·如申請專利範圍第31項所述之加工工具,其中該進階加 工系統係設計成用以供RIE使用。 其中該至少一 更包含一加工 二尺寸之至少 :)工月今个』孕巳固珩乂6項尸坏逐i力口工工具 系統 加工系統係設計成用以供溼式加工使用。 a 34.如申請專利範圍第28項所述 ,設計成用以測量該閘極電極 刀工具 兒砭層之該第一鱼 O V X -者
    24
TW094100906A 2004-01-14 2005-01-12 A method of trimming a gate electrode structure TWI260098B (en)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US10/756,759 US6852584B1 (en) 2004-01-14 2004-01-14 Method of trimming a gate electrode structure

Publications (2)

Publication Number Publication Date
TW200529457A TW200529457A (en) 2005-09-01
TWI260098B true TWI260098B (en) 2006-08-11

Family

ID=34104885

Family Applications (1)

Application Number Title Priority Date Filing Date
TW094100906A TWI260098B (en) 2004-01-14 2005-01-12 A method of trimming a gate electrode structure

Country Status (4)

Country Link
US (1) US6852584B1 (zh)
JP (1) JP5281749B2 (zh)
TW (1) TWI260098B (zh)
WO (1) WO2005071724A1 (zh)

Families Citing this family (94)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8399934B2 (en) * 2004-12-20 2013-03-19 Infineon Technologies Ag Transistor device
US7514353B2 (en) * 2005-03-18 2009-04-07 Applied Materials, Inc. Contact metallization scheme using a barrier layer over a silicide layer
TW200734482A (en) * 2005-03-18 2007-09-16 Applied Materials Inc Electroless deposition process on a contact containing silicon or silicide
JP2007173527A (ja) * 2005-12-22 2007-07-05 Sony Corp 半導体装置及びその製造方法
US7416989B1 (en) 2006-06-30 2008-08-26 Novellus Systems, Inc. Adsorption based material removal process
US8394724B2 (en) * 2006-08-31 2013-03-12 Globalfoundries Singapore Pte. Ltd. Processing with reduced line end shortening ratio
JP2008124399A (ja) * 2006-11-15 2008-05-29 Toshiba Corp 半導体装置の製造方法
US7977249B1 (en) 2007-03-07 2011-07-12 Novellus Systems, Inc. Methods for removing silicon nitride and other materials during fabrication of contacts
US8187486B1 (en) 2007-12-13 2012-05-29 Novellus Systems, Inc. Modulating etch selectivity and etch rate of silicon nitride thin films
JP5374039B2 (ja) * 2007-12-27 2013-12-25 東京エレクトロン株式会社 基板処理方法、基板処理装置及び記憶媒体
US7981763B1 (en) 2008-08-15 2011-07-19 Novellus Systems, Inc. Atomic layer removal for high aspect ratio gapfill
US8058179B1 (en) 2008-12-23 2011-11-15 Novellus Systems, Inc. Atomic layer removal process with higher etch amount
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
US9093389B2 (en) * 2013-01-16 2015-07-28 Applied Materials, Inc. Method of patterning a silicon nitride dielectric film
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US9362130B2 (en) 2013-03-01 2016-06-07 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
US9309598B2 (en) 2014-05-28 2016-04-12 Applied Materials, Inc. Oxide and metal removal
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US9355922B2 (en) 2014-10-14 2016-05-31 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US10224210B2 (en) 2014-12-09 2019-03-05 Applied Materials, Inc. Plasma processing system with direct outlet toroidal plasma source
US9431268B2 (en) 2015-01-05 2016-08-30 Lam Research Corporation Isotropic atomic layer etch for silicon and germanium oxides
US9425041B2 (en) 2015-01-06 2016-08-23 Lam Research Corporation Isotropic atomic layer etch for silicon oxides using no activation
US11257693B2 (en) 2015-01-09 2022-02-22 Applied Materials, Inc. Methods and systems to improve pedestal temperature control
US9728437B2 (en) 2015-02-03 2017-08-08 Applied Materials, Inc. High temperature chuck for plasma processing systems
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US9691645B2 (en) 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US9349605B1 (en) 2015-08-07 2016-05-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
WO2017079470A1 (en) 2015-11-03 2017-05-11 Tokyo Electron Limited Method of corner rounding and trimming of nanowires by microwave plasma
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10522371B2 (en) 2016-05-19 2019-12-31 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
US10062575B2 (en) 2016-09-09 2018-08-28 Applied Materials, Inc. Poly directional etch by oxidation
US9934942B1 (en) 2016-10-04 2018-04-03 Applied Materials, Inc. Chamber with flow-through source
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US10062579B2 (en) 2016-10-07 2018-08-28 Applied Materials, Inc. Selective SiN lateral recess
US9768034B1 (en) 2016-11-11 2017-09-19 Applied Materials, Inc. Removal methods for high aspect ratio structures
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US10026621B2 (en) * 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
US10242908B2 (en) 2016-11-14 2019-03-26 Applied Materials, Inc. Airgap formation with damage-free copper
US10566206B2 (en) 2016-12-27 2020-02-18 Applied Materials, Inc. Systems and methods for anisotropic material breakthrough
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10403507B2 (en) 2017-02-03 2019-09-03 Applied Materials, Inc. Shaped etch profile with oxidation
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
US10319649B2 (en) 2017-04-11 2019-06-11 Applied Materials, Inc. Optical emission spectroscopy (OES) for remote plasma monitoring
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US10049891B1 (en) 2017-05-31 2018-08-14 Applied Materials, Inc. Selective in situ cobalt residue removal
US10497579B2 (en) 2017-05-31 2019-12-03 Applied Materials, Inc. Water-free etching methods
JPWO2018230377A1 (ja) * 2017-06-14 2020-04-09 東京エレクトロン株式会社 基板処理方法
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US10541184B2 (en) 2017-07-11 2020-01-21 Applied Materials, Inc. Optical emission spectroscopic techniques for monitoring etching
US10354889B2 (en) 2017-07-17 2019-07-16 Applied Materials, Inc. Non-halogen etching of silicon-containing materials
US10170336B1 (en) 2017-08-04 2019-01-01 Applied Materials, Inc. Methods for anisotropic control of selective silicon removal
US10043674B1 (en) 2017-08-04 2018-08-07 Applied Materials, Inc. Germanium etching systems and methods
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US10424487B2 (en) 2017-10-24 2019-09-24 Applied Materials, Inc. Atomic layer etching processes
US10283324B1 (en) 2017-10-24 2019-05-07 Applied Materials, Inc. Oxygen treatment for nitride etching
US10128086B1 (en) 2017-10-24 2018-11-13 Applied Materials, Inc. Silicon pretreatment for nitride removal
US10256112B1 (en) 2017-12-08 2019-04-09 Applied Materials, Inc. Selective tungsten removal
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
TWI716818B (zh) 2018-02-28 2021-01-21 美商應用材料股份有限公司 形成氣隙的系統及方法
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10497573B2 (en) 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
US10490406B2 (en) 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
WO2019226341A1 (en) 2018-05-25 2019-11-28 Lam Research Corporation Thermal atomic layer etch with rapid temperature cycling
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
EP3821457A4 (en) 2018-07-09 2022-04-13 Lam Research Corporation ETCHING ATOMIC LAYER ETCHING USING ELECTRON EXCITATION
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
JP7169866B2 (ja) * 2018-12-14 2022-11-11 東京エレクトロン株式会社 基板処理方法
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes

Family Cites Families (25)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS61154077A (ja) * 1984-12-27 1986-07-12 Toshiba Corp Mosfetの製造方法
US4749440A (en) * 1985-08-28 1988-06-07 Fsi Corporation Gaseous process and apparatus for removing films from substrates
EP0313683A1 (en) * 1987-10-30 1989-05-03 International Business Machines Corporation Method for fabricating a semiconductor integrated circuit structure having a submicrometer length device element
JP2981243B2 (ja) * 1988-12-27 1999-11-22 株式会社東芝 表面処理方法
JPH0330336A (ja) * 1989-06-27 1991-02-08 Fuji Electric Co Ltd Ldd構造を備えた半導体装置の製造方法
JPH0410535A (ja) * 1990-04-27 1992-01-14 Sony Corp 残渣除去方法
JP3086719B2 (ja) * 1991-06-27 2000-09-11 株式会社東芝 表面処理方法
US5910912A (en) * 1992-10-30 1999-06-08 International Business Machines Corporation Flash EEPROM with dual-sidewall gate
JPH06177148A (ja) * 1992-12-08 1994-06-24 Sony Corp 絶縁ゲート型電界効果トランジスタの製法
TW297142B (zh) * 1993-09-20 1997-02-01 Handotai Energy Kenkyusho Kk
JPH07326626A (ja) * 1994-05-31 1995-12-12 Sony Corp 半導体装置の製造方法
JPH098042A (ja) * 1995-06-23 1997-01-10 Nippon Steel Corp 半導体装置の製造方法
US5776821A (en) * 1997-08-22 1998-07-07 Vlsi Technology, Inc. Method for forming a reduced width gate electrode
US6204130B1 (en) * 1997-08-29 2001-03-20 Advanced Micro Devices, Inc. Semiconductor device having reduced polysilicon gate electrode width and method of manufacture thereof
US6087238A (en) * 1997-12-17 2000-07-11 Advanced Micro Devices, Inc. Semiconductor device having reduced-width polysilicon gate and non-oxidizing barrier layer and method of manufacture thereof
US6759315B1 (en) * 1999-01-04 2004-07-06 International Business Machines Corporation Method for selective trimming of gate structures and apparatus formed thereby
JP2000236091A (ja) * 1999-02-16 2000-08-29 Nkk Corp ポリゲート電極の形成方法
US6287918B1 (en) * 1999-04-12 2001-09-11 Advanced Micro Devices, Inc. Process for fabricating a metal semiconductor device component by lateral oxidization
JP2000299367A (ja) * 1999-04-15 2000-10-24 Tokyo Electron Ltd 処理装置及び被処理体の搬送方法
JP3535416B2 (ja) * 1999-06-30 2004-06-07 株式会社東芝 製造プロセス制御装置、製造プロセス制御方法、製造プロセス制御プログラムを格納したコンピュータ読み取り可能な記録媒体、および半導体装置
US6794279B1 (en) * 2000-05-23 2004-09-21 Advanced Micro Devices, Inc. Passivating inorganic bottom anti-reflective coating (BARC) using rapid thermal anneal (RTA) with oxidizing gas
US6461878B1 (en) * 2000-07-12 2002-10-08 Advanced Micro Devices, Inc. Feedback control of strip time to reduce post strip critical dimension variation in a transistor gate electrode
US6372657B1 (en) * 2000-08-31 2002-04-16 Micron Technology, Inc. Method for selective etching of oxides
US6891235B1 (en) * 2000-11-15 2005-05-10 International Business Machines Corporation FET with T-shaped gate
US6541351B1 (en) * 2001-11-20 2003-04-01 International Business Machines Corporation Method for limiting divot formation in post shallow trench isolation processes

Also Published As

Publication number Publication date
US6852584B1 (en) 2005-02-08
JP2007520879A (ja) 2007-07-26
TW200529457A (en) 2005-09-01
WO2005071724A1 (en) 2005-08-04
JP5281749B2 (ja) 2013-09-04

Similar Documents

Publication Publication Date Title
TWI260098B (en) A method of trimming a gate electrode structure
WO2005104218A1 (en) Method of controlling trimming of a gate elecrode structure
TWI276166B (en) Pattern forming method
CN1921072B (zh) 一种蚀刻高介电常数材料的方法
TW201028494A (en) Methods for depositing tungsten films having low resistivity for gapfill applications
TW200814181A (en) Etching liquid, etching method, and method of manufacturing electronic component
TW201123293A (en) Etching process for producing a TFT matrix
TW200845184A (en) Line end shortening reduction during etch
JPH03261139A (ja) 選択的異方性を用いて局部相互接続を形成する改良方法
TW200538573A (en) A method for processing a substrate
Xu et al. An aluminum gate chemical mechanical planarization model for HKMG process incorporating chemical and mechanical effects
TW202131103A (zh) 蝕刻組合物以及用於euv光罩保護結構之方法
CN109219866A (zh) 蚀刻方法
CN102315095A (zh) 等离子体处理方法以及半导体装置的制造方法
TW504767B (en) Method of anisotropic plasma etching using nonchlorofluorocarbon, fluorine-based chemistry
TWI293495B (en) Method for forming gate contact
US20120138850A1 (en) Etchant gas
TW200947560A (en) Methods for adjusting critical dimension uniformity in an etch process
JP7471492B2 (ja) 炭化タングステン膜の接着性及び欠陥を改善する技法
TW201834075A (zh) 金屬絕緣體金屬元件的製造方法
TWI291726B (en) Process for etching metal layer
CN101110360B (zh) 蚀刻高k电解质材料的方法
CN102054687B (zh) 表面氧化物的去除方法
TW200540976A (en) Method of controlling trimming of a gate electrode structure
US7166526B2 (en) Method for forming silicide film in semiconductor device

Legal Events

Date Code Title Description
MM4A Annulment or lapse of patent due to non-payment of fees