TW200540976A - Method of controlling trimming of a gate electrode structure - Google Patents

Method of controlling trimming of a gate electrode structure Download PDF

Info

Publication number
TW200540976A
TW200540976A TW94110236A TW94110236A TW200540976A TW 200540976 A TW200540976 A TW 200540976A TW 94110236 A TW94110236 A TW 94110236A TW 94110236 A TW94110236 A TW 94110236A TW 200540976 A TW200540976 A TW 200540976A
Authority
TW
Taiwan
Prior art keywords
gate electrode
electrode structure
layer
item
scope
Prior art date
Application number
TW94110236A
Other languages
Chinese (zh)
Other versions
TWI264767B (en
Inventor
Hong-Yu Yue
Lee Chen
Original Assignee
Tokyo Electron Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from US10/756,759 external-priority patent/US6852584B1/en
Priority claimed from US10/812,952 external-priority patent/US20050221513A1/en
Application filed by Tokyo Electron Ltd filed Critical Tokyo Electron Ltd
Publication of TW200540976A publication Critical patent/TW200540976A/en
Application granted granted Critical
Publication of TWI264767B publication Critical patent/TWI264767B/en

Links

Landscapes

  • Drying Of Semiconductors (AREA)

Abstract

A method and processing tool are provided for controlling trimming of a gate electrode structure containing a gate electrode layer with a first dimension by determining the first dimension of the gate electrode structure, choosing a target trimmed dimension, feeding forward the first dimension and the target trimmed dimension to a process model to create a set of process parameters, performing a trimming process on the gate electrode structure, including controlling the set of process parameter, trimming the gate electrode structure, and measuring a trimmed dimension of the gate electrode structure. The trimming process may be repeated at least once until the target trimmed dimension is obtained, where the trimmed dimension may be fed backward to the process model to create a new set of process parameters.

Description

200540976 九、發明說明: 【發明所屬之技術領域】 相關專利之交叉來考杳料 本發明係關於2004年1月4曰申請之美國專利申請案第 10/756J59 (代理人編號第071469-0307410號),將其全來 考資料併於本說明書中。 ^ 發明之領域 本發明係關於半導體製造,尤有關於在化學修整製程中帝 極結構之修整的控制方法。 甲包200540976 IX. Description of the invention: [Technical field to which the invention belongs] Cross-references of related patents The present invention relates to US Patent Application No. 10 / 756J59 (No. 071469-0307410) filed on January 4, 2004 ), And take it all into the examination materials and in this manual. ^ Field of Invention The present invention relates to semiconductor manufacturing, and in particular, to a method for controlling the trimming of an imperial structure in a chemical trimming process. A package

【先前技術】 电策慝理糸統係用於半導體、積體電路、顯示器、及其他裝 置或材料之製造及處理中。電漿處理可用以將積體電路的 微影遮罩轉印至半導體基板。微影遮罩可包含沉積於基板上^、 曝光至選定的微影圖案並顯影之耐蝕刻光阻層。除了光阻声 微影遮罩結構可包括其他遮罩層,如抗反射塗層(lRci a:^flective coatings)。ARC層常用以在微影步驟期間降低來自 基,的光反射’且犧牲遮罩可用來將基板上的區域圖案化。 靖物蝴,射瞧細_係定 体ίίΐί置f最小特徵部尺寸正接近深次微米型,以符合更 Si 理Λ及數位電路之要*。電路的關鍵尺寸(CD, 裝置之正編====對所製造的 光阻 r圖 patterned photoresist)層尺寸超過刺用 > 正圖案化的光阻(PR, 限制。在電漿侧製程期間(^的:,钱刻方法之微影技術的 5 200540976 理參數(如焦點及曝光)固定時,密集的(間 CD祕值,此仙為以巾^ )的荨向(isotropic)韻刻製程之本質。 【發明内容】 程參數整製程,包括於修整製程中控制製 其中Α域得目祕整尺寸為止, 板裝載ί :、用:Jiir多整閘電極結構。該處理工具包含-基 -傳;i統==ί=之之基板,· Ξ的=能夠由第-尺寸及目標修整G來ί立ϊϊ;ίϊ 統,用以測量閉電極結構之第一 系 【實施方式】 的處例之崎整閘電極結構 餘刻製程來修整忒閘技;藉由化學 小於光_案之微影尺寸,或其可為==1。修整後的尺寸可 圖1Α顯示含有基板100、高介 有機arc層觸、及圖案化光阻層電,ΠΜ、 層104可為一含石夕層,如非晶石夕、聚3 1〇。閘電極 或者,閘電極層104可為一含金屬層,例3組ί屬 200540976 、金屬氮化物(如额,TaSiN,™画)、或金屬 虱化物(如ru〇2)或苴任柄4 一人? ; 肋2、HfSi〇x、Zr(v或z7rSi〇m ^數層搬可例如含有 遮罩將光阻声暖来,χ或其一或夕種之組合。藉由透過 形成圖案化^層1〇8。於^未曝光的區域,可 之圖案化光阻層⑽可用?的具有起始微影尺寸122 NF3或其中二個或更多個έ 4 6 2 6或 ;〇 4 中建刚 ' 有機縱層106、及難極層104 值的微小^ ^^ 芦ωΐϋ整製程進行前可將光阻層108及有機arc 二108 ^t圖中所不,或者,於修整製程期間可使用光阻 ^於圖1C中,閘電極層104的特徵在於第一水平尺彳 垂直尺寸122。化學修整製程可進—步降低CD (第—水平尺寸 六’,圖1D)至小於微影尺寸12〇,而不改變閘電極層1〇4的等 在又D-偏移或輪廓(可能在輪扉上有極少改變)。 門雷ί L匕ί修,程中’可將圖1c中的間電極結構10暴露於與 =電極結構10等向反應的反應物氣體,以形成圖1D中所示的反 =H〇4b。於熱處理中或電衆處理中,反應物氣體可暴露於閑電 ,、、、。構,反應層104b的厚度視處理條件而定,如反應物氣體的種 ^應物氣體壓力、暴露時間、及基板溫度。反應層l〇4b的形 ,係藉由作為物理擴散障蔽而阻礙了其餘閘電極層1〇如與反應物 ,體間之進一步反應。閘電極結構1〇係暴露於反應物氣體達可形 成具有所期望厚度之反應層l〇4b之一段時間。 200540976 ,2概略地顯示根據本發明一個實施例之以反應物氣體暴露 %間為函數之反應層厚度;曲線2〇〇_22〇 ‘顯示對於不同處理條件之 ,反應=厚如圖2中所示’―開始可看到反應層厚度快速 ,加:之後隨者暴露時間增加,增加速率「趨向平緩」。「趨向平 緩」係由於自限性反應,其中反應層厚度趨近於—漸進值。實際 上σ人係在半^體製造貫際可行的時間尺度(細⑽也)上選可 有所需的控制及可重複性之反應層的製程條件,因此可發 ίϋίϊΐί應層厚度及允許對修整製程之良好可重複控制之 不同的修整處方。 時門ίίίίΓΪΐί刚而言,在製造半導體裝置實際可行的 t = 及約3G秒間),吾人可根據親處理條 ΐΐί 有厚度約介於2 nm至約5 nm之間的_ η半蔣、層反應形成Sl〇2反應層⑴牝,·利用 板激氧氣物種。若於處理系統中需要將來源自基 板私除,則〇2電漿源可為遠端電漿源。 _一個實施例中,可使用含氧氣體如〇2或氏〇來 ^化4閘電極,以形成Si〇2反應層。於本發明之又 i容ίϊ用魏化製程,該氧化製程可例如將基板浸於溫水或酸 价擇02電聚處理條件及基板溫度,以於約 集的間電極結構兩者上產生約_厚之 t規If 3 2反應層觸的厚度在約15秒後於室溫下 呈現?和,更長的暴露時邮會造成反應層祕的厚度货加。$ ^形成SWA應層勵之短處理時間造就了所要求的高曰基板產 阶成具有所敏厚度的反應層i〇4b ’ 1 ΐ ϊΐ 結構1G暴露於反應物氣體。之後,將反靜104b 自未反應的閘電極層馳去除(剝除),例如藉由暴露閘^極結 200540976 構10至蝕刻氣體即可去除反應層l〇4b。選擇能夠去除反應層i〇4b 的蝕刻氣體可視閘電極材料而定。反應層l〇4b之去除對未反應的 閘電極材料具有選擇性,且造成如圖1E中所示的修整閘電極層 104a。例如,蝕刻氣體可為册水溶液蒸氣(HF㈣)。如熟悉本技 藝者將知,HF㈣具有較Si為高之Si02選擇性,其允許自剩餘的 Si閘電極層l〇4a快速且選擇性去除si〇2反應層l〇4b。將Si02反 應層104b暴露於HF㈣银刻氣體可實施達一段足以完成去除Si02 反應層104b之預定時期。於本發明的一實例中,可將4 nm厚Si〇2 反應層104b於約10秒中去除。修整閘電極層1〇4a的特徵在於第 =水平尺寸118及第二垂直尺寸124,其分別小於圖iC中第一水 平尺寸120及第一垂直尺寸122。若欲進一步修整閘電極層1〇乜, 可重複修整製程。重複修整製程形成圖1F中的反應層1〇4d、以及 具,圖1G中之新尺寸116及126之修整閘電極層1〇4c。修整去 除氧化膜104a的另-實例為採用化學氧化物去除(c〇R, cmde removal),使用姓刻氣體取及丽3與氧化物膜反應且接著 使用熱處理以蒸發修整產物;另—個C()R實例為使用由遠端電聚 及NH3姓刻氣體;再另一個c〇R實例為使用nh4f 減來與減物膜熱反應。修整去除氧化膜驗的另— 使用濕製程。例如,濕製程可將基板浸於緩衝证溶液中。..... f整循環包括形成反應層及去除反應層。於目lc_m 二循壞降低間電極層104的第-水平尺寸12G兩倍於第 尺 =====例中,;'個細練可降錢間電極 第一水平尺寸12〇可或的:及巧尺寸約4nm。於—實例中, 寸可為为120nm而第一垂直尺寸122可為約14〇 :έ有jo個修整循環的修整製程可降低第—水平尺寸12〇 40nm且降低第一垂直尺寸122至約i〇〇nm。 、力 意圖。於圖3A中’將含金屬層103插入】 電極層104及介電層102之間。例如,含金屬層1 間 200540976 、或RU〇2材料或其任意組合。例如,高介電常 ^HfSi0x ^Zr〇2 ^ 更夕個之任忍組合。修整閘電極層104可如上面圖1B-1G中所述 來實施’以形成具有如圖3B中所示的尺寸116及126之閘電極結[Prior technology] Electrical systems are used in the manufacture and processing of semiconductors, integrated circuits, displays, and other devices or materials. Plasma processing can be used to transfer the lithographic mask of the integrated circuit to the semiconductor substrate. The lithographic mask may include an etch-resistant photoresist layer deposited on the substrate, exposed to a selected lithographic pattern, and developed. In addition to photoresistive acoustic lithographic masking structures, other masking layers may be included, such as anti-reflection coatings (lRci a: ^ flective coatings). The ARC layer is commonly used to reduce light reflection 'from the substrate during the lithography step, and a sacrificial mask can be used to pattern the area on the substrate. Jing Wu butterfly, shooting look at the _ system fixed body ί ΐ ΐ set f minimum feature size is close to the deep sub-micron type, in order to meet the requirements of more Si Λ and digital circuits. The key dimensions of the circuit (CD, device editor ==== patterned photoresist for the photoresist manufactured). The layer size exceeds the positivity > positive patterned photoresist (PR, limit. During plasma side process). (^: 5, 200540976 of the lithography technique of the money-engraving method) When the physical parameters (such as focus and exposure) are fixed, the dense (intermediate CD secret value, this fairy is the towel ^) of the isotropic rhyme carving process [Content of the invention] Process parameter adjustment process, including controlling the size of the A domain in the trimming process to control the size of the A field, the board is loaded with :, Jiir multi-gate electrode structure. The processing tool contains -base- Biography; i == ί = of the substrate, Ξ's = can be trimmed by the first dimension and target G; ϊ ϊ system, used to measure the first system of the closed electrode structure [implementation] examples Nozaki's entire gate electrode structure is used to trim the gate technology; by chemically smaller than the size of the photolithography, or it can be == 1. The size after trimming can be shown in Figure 1A, which contains the substrate 100, and high dielectric organic The arc layer touches and patterned photoresist layer. The UIM and the layer 104 may be a stone-containing layer, such as an amorphous stone layer. Poly 3 1 0. Gate electrode Alternatively, the gate electrode layer 104 may be a metal-containing layer, such as Group 3, which belongs to 200540976, metal nitride (such as TaSiN, ™), or metal lice (such as ru〇2 ) Or arbitrarily handle 4 people ?; Rib 2, HfSi〇x, Zr (v or z7rSiom) ^ several layers can be moved, for example, containing a mask to warm up the sound of the photoresistor, χ or a combination of them. The patterned layer 10 is formed by transmission. In the unexposed area, a patterned photoresist layer can be used. It has an initial lithographic size of 122 NF3 or two or more of them. 4 6 2 6 Or; 〇 Zhong Zhonggang 'organic vertical layer 106, and the extremely small value of the difficult layer 104 ^ ^ ω ω ΐϋ before the whole process can be performed, the photoresist layer 108 and organic arc II 108 ^ t in the picture, or, Photoresist can be used during the trimming process ^ In FIG. 1C, the gate electrode layer 104 is characterized by a first horizontal ruler and a vertical dimension 122. The chemical trimming process can further reduce CD (sixth horizontal dimension 6 ', FIG. 1D) It is smaller than the lithographic size of 120, without changing the D-offset or contour of the gate electrode layer 104, and there may be very few changes on the wheel hub. In the process, the inter-electrode structure 10 in FIG. 1c may be exposed to a reactant gas that reacts isotropically with the electrode structure 10 to form the reverse = H〇4b shown in FIG. 1D. In a heat treatment or an electric process In this case, the reactant gas may be exposed to the idler structure, and the thickness of the reaction layer 104b depends on the processing conditions, such as the species of the reactant gas, the pressure of the reactant gas, the exposure time, and the temperature of the substrate. The shape of 〇4b is to hinder the further reaction between the gate electrode layer 10 and the reactants by acting as a physical diffusion barrier. The gate electrode structure 10 is exposed to the reactant gas for a period of time to form a reaction layer 104b having a desired thickness. 200540976, 2 schematically shows the thickness of the reaction layer as a function of the% of reactant gas exposure according to one embodiment of the present invention; the curve 200'-22 'shows that for different processing conditions, the reaction = thickness is as shown in Figure 2. Indication '-At first, you can see that the thickness of the reaction layer is fast, plus: after that, the exposure time increases, and the rate of increase is "gradual." The "smoothing" is due to a self-limiting reaction, in which the thickness of the reaction layer approaches-a progressive value. In fact, the σ person selects the process conditions of the reaction layer that can have the required control and repeatability on the time scale (fine) that is generally feasible for semi-body manufacturing. Therefore, the thickness of the layer and the allowable Different dressing recipes with good reproducible control of the dressing process.时 门 ίίίίΓΪΐί As far as practical manufacturing of semiconductor devices is possible, t = and about 3G seconds), we can process the strips according to the prototyping process. There is a thickness of _ η half- Chiang, layer reaction between about 2 nm and about 5 nm. A S02 reaction layer was formed. • The plate was used to stimulate oxygen species. If the processing system needs to be removed from the substrate in the future, the 02 plasma source can be a remote plasma source. In one embodiment, an oxygen-containing gas such as O 2 or O 2 may be used to form the gate electrode to form a SiO 2 reaction layer. In the present invention, a Weihua process is used. The oxidation process can, for example, immerse the substrate in warm water or acid value to select the electropolymerization processing conditions and the substrate temperature, so as to generate about _Thickness of the thickness of the reaction layer of If 3 2 reaction layer will appear at room temperature after about 15 seconds. And, longer exposure will cause the thickness of the reaction layer to increase. The short processing time required to form the SWA layer has resulted in the required high substrate production level to form a reaction layer with a sensitive thickness i04b ′ 1 ΐ ϊΐ Structure 1G exposed to the reactant gas. After that, the anti-static 104b is removed (stripped) from the unreacted gate electrode layer. For example, the reactive layer 104b can be removed by exposing the gate electrode structure 200540976 to an etching gas. The choice of an etching gas capable of removing the reaction layer 104 may depend on the material of the gate electrode. The removal of the reaction layer 104b is selective to the unreacted gate electrode material and results in trimming the gate electrode layer 104a as shown in FIG. 1E. For example, the etching gas may be an aqueous solution vapor (HF㈣). As those skilled in the art will know, HF (R) has a higher selectivity for SiO2 than Si, which allows the rapid and selective removal of the SiO2 reaction layer 104b from the remaining Si gate electrode layer 104a. The exposure of the Si02 reaction layer 104b to the HF-silver etching gas can be performed for a predetermined period of time sufficient to complete the removal of the Si02 reaction layer 104b. In an example of the present invention, the 4 nm-thick Si02 reaction layer 104b can be removed in about 10 seconds. The trim gate electrode layer 104a is characterized by a first horizontal dimension 118 and a second vertical dimension 124, which are smaller than the first horizontal dimension 120 and the first vertical dimension 122 in FIG. IC, respectively. If you want to further trim the gate electrode layer 10 乜, you can repeat the trimming process. Repeat the trimming process to form the reaction layer 104d in FIG. 1F and the trimming gate electrode layer 104c with the new sizes 116 and 126 in FIG. 1G. Another example of trimming and removing the oxide film 104a is the use of chemical oxide removal (COR, cmde removal), using the last gas and Li 3 to react with the oxide film, and then using heat treatment to evaporate the trimmed product; another C () R example is the use of remotely polymerized and NH3 engraved gas; another example of CO is the use of nh4f subtraction to thermally react with subtractive film. Trim to remove oxide film inspection-use wet process. For example, a wet process can immerse a substrate in a buffer solution. ..... f The entire cycle includes forming a reaction layer and removing the reaction layer. In the case of lc_m, the second horizontal dimension of the inter-electrode layer 104 is reduced to 12G, which is twice as large as the rule ======. In the example, the first horizontal dimension of the inter-electrode layer can be reduced to 120 °. Coincident size is about 4nm. In the example, the inch may be 120nm and the first vertical dimension 122 may be about 14 °: the trimming process with a number of trimming cycles may reduce the first horizontal dimension of 1240nm and reduce the first vertical dimension of 122 to about i 〇〇nm. , Force intention. In FIG. 3A, 'the metal-containing layer 103 is inserted] between the electrode layer 104 and the dielectric layer 102. For example, one metal-containing layer 200540976, or RU〇2 material or any combination thereof. For example, a high dielectric constant ^ HfSi0x ^ Zr〇2 ^ more arbitrary combination. Trimming the gate electrode layer 104 may be performed as described above in FIGS. 1B-1G to form a gate electrode junction having dimensions 116 and 126 as shown in FIG. 3B.

Γ來,可使用修制電極層1G4e作為非等向⑽刻製程 中的逑罩層,以於含金屬層103中定義次微影蝕刻特徵部,如圖 3C所不。根據此等層之蝕刻比例,含金屬層1〇3的蝕刻降低了閘 電極層104c的尺寸126。於聚矽層i〇4c及TiN層1〇3的實例中, 侧比例可為約L5 (妙舰)。所以,為了剌職望之垂直 尺寸128,可根據層104及1〇3之蝕刻比例來選擇尺寸126。利用 以鹵素為基礎的氣體(例如氯氣)可對TaN、TiN、及了沾沉材料 進打電椠姓刻。例如,利用氧及氯氣混合物可對含化讀料進行電 漿蝕刻。或者,如圖4A-4B中所示,可使用無機ARC層以避免降 低尺寸126同時姓刻含金屬層1〇3。 圖4A-4B顯示根據本發明再另一實施例之用以修整閘電極結 構的處理流程之橫剖面示意圖。圖4A中的閘電極結構1〇含有^ 閘電極層104 —起修整的無機arc層1〇6,以形成圖4B中之修 整閘電極結構10。例如,無機ARC層1〇6可含有SiN,而介電石 102可選自si〇2、SiOxNy、或高介電常數材料如Hf〇2、HfSi〇 : Zr〇2、或 ZrSiOx。 x :—藉由將閘電極結構10暴露於〇2電漿中之受激氧氣物種,可 進^SiNARC層106及聚矽閘電極層1〇4的修整。於siNARC層 及聚矽閘電極層上之反應層的生長速率可以改變,但是預期於 及聚矽材料上的漸進反應層厚度較小。 圖5A-5D顯示根據本發明又另一實施例之用以修整閘電極結 ,的處理流程之橫剖面示意圖。閘電極結構1〇含有基板1〇〇、介 電層102、閘電極層1〇4、無機ARC層106、及有圖案化光阻層 1〇8。例如,無機ARC層106可含有SiN,而介電層102可選^ ⑽2、Si〇xNy、及高介電常數材料如Hf〇2、HfSi〇x、Zr〇2、及 200540976 圖5A顯示在電漿蝕刻無機ARC層1〇6及部份蝕刻閘電 .後的閘電極結槿。圖5B黯示力一旅敕低搽你—& # μ aFrom Γ, the modified electrode layer 1G4e can be used as a mask layer in the anisotropic etching process to define a sub-lithographic etching feature in the metal-containing layer 103, as shown in FIG. 3C. According to the etching ratio of these layers, the etching of the metal-containing layer 103 reduces the size 126 of the gate electrode layer 104c. In the example of the polysilicon layer 104 and the TiN layer 103, the side ratio may be about L5 (wondership). Therefore, in order to achieve the desired vertical size of 128, the size of 126 may be selected according to the etching ratio of the layers 104 and 103. Using halogen-based gases (such as chlorine), TaN, TiN, and dipping materials can be electrocuted. For example, a mixture of oxygen and chlorine gas can be used to perform plasma etching on chemical readings. Alternatively, as shown in Figs. 4A-4B, an inorganic ARC layer may be used to avoid reducing the size 126 while engraving the metal-containing layer 103. 4A-4B are schematic cross-sectional views of a processing flow for trimming a gate electrode structure according to still another embodiment of the present invention. The gate electrode structure 10 in FIG. 4A includes a gate electrode layer 104-a trimmed inorganic arc layer 106 to form the trimmed gate electrode structure 10 in FIG. 4B. For example, the inorganic ARC layer 106 may contain SiN, and the dielectric stone 102 may be selected from SiO2, SiOxNy, or a high dielectric constant material such as Hf02, HfSi0: Zr02, or ZrSiOx. x:-By exposing the gate electrode structure 10 to stimulated oxygen species in the 02 plasma, the SiNARC layer 106 and the polysilicon gate electrode layer 104 can be trimmed. The growth rate of the reaction layer on the siNARC layer and the polysilicon gate electrode layer can be changed, but the progressive reaction layer thickness on the polysilicon material is expected to be smaller. 5A-5D are schematic cross-sectional views of a processing flow for trimming a gate electrode junction according to yet another embodiment of the present invention. The gate electrode structure 10 includes a substrate 100, a dielectric layer 102, a gate electrode layer 104, an inorganic ARC layer 106, and a patterned photoresist layer 108. For example, the inorganic ARC layer 106 may contain SiN, and the dielectric layer 102 may be selected from ⑽2, SiOxNy, and high dielectric constant materials such as Hf〇2, HfSiox, Zr〇2, and 200540976. FIG. 5A shows The inorganic ARC layer 106 is etched by a slurry and a part of the gate electrode is etched. Figure 5B: The power of a journey is low and you are low— &# μ a

ZrSiOx。圃j八綱不隹冤浆蝕刻無機ARC層1(>6及部份蝕刻閘電 極層104後的閘電極結構。圖5B顯示在一修整循環後之修整閘電 極結構10,且圖5C顯示在兩修整循環後之修整閘電極結構1〇, 而圖5D顯示在閘電極層1 〇4c之非等向性蝕刻後之閘電極結構1 〇。 圖6為根據本發明一實施例之用以修整閘電極結構之产 圖。於600,製程開始。於610,將包含具有第一尺寸之 ^ 的閘電極結構設置於處理系統中。於62〇,選擇一種修整 ^ 擇-種能财施閘電極結構之所魅健之健處ϋ㈣^ 極結構反應而形成反應層。於本發明的―實二 =可猎由賴處理或電漿處理中將閘電極結縣露 ^ 因而形成具有小於第-尺寸之第二^^_晶移除’ 的-實施例中,藉由將反應層暴露於反=發明 刻氣體,可將反應層去除。 、雜蝴反應層的餘 於本發明的一實施例中,提供一 極結構之修整之方法。製程模g : 型以控制閘電 數學函數以將製程參數與反寸。製程模型可利用 t = f(x) + b ⑴、⑴甲所不: 其中t為反應層厚度,b為音垂 ,程的-製程參數組。例如函數系統用來進行修 電聚功率、及處理時間。兹將理H體壓力、基板溫度、 厚度間之關係稱為健n 4 ()巾之製程參數與反應層 他製程參數保ϊϋΐϊί:曲J選2含有單-可變製程參數且a 200540976 t g(p) + c (2) 理氣體壓力,c為常數。將處理氣體壓力與反應廣 子又間之關係稱為以壓力為基礎之修整曲線。 …曰 或^ ’藉由改變至少一額外製程參數、(如電聚功率),即 到-群墾力修整曲線。一群可利用的壓力修整 操作條件上的限制,如壓力控制極限:以 限、氣體流置控制器解析度。 手枝 藉由κ Μ重修整製程及使不同製程參數與得 ,可建立含有—修整曲線群組的^程:Ξ 厚度,可利用製程模型來控制製程參數組,如 方程式(3)中所示: ' X = f1㈣ (3) 其中f為f的反函數。 ^明的一實施例中,閘電極結構的第一尺 =寸CDq (如於圖1C中的尺寸120),而目標修整尺寸 標關鍵尺寸CDt (如於圖1E中的尺寸m或於圖ig中=2目 Ϊ。ίΐ將尺寸CD〇&CDt前饋至用以根據方程式⑷來:十曾 目標反應層厚度之控制器: )不 t = (CD〇 一 CDt)/2 (4) 於利用處理氣體勤p作為單—可變製 寸CD〇及目標修整尺寸CDt之基板之實例中,由尺 算獲得目標修整尺寸CDt所需之處理氣體麗力ρ :式()可什 p 二 g-1((CD〇 —CDt)/2 — c) ⑺ 心=可厚度減去反應層厚度之值大於在單-知中了達到的置,可能需要進行多重修整 夕ZrSiOx. The gate electrode structure after the etching of the inorganic ARC layer 1 (> 6 and part of the gate electrode layer 104 after etching the gate electrode structure 104. Fig. 5B shows the gate electrode structure 10 after a trimming cycle, and Fig. 5C The gate electrode structure 10 is trimmed after two trimming cycles, and FIG. 5D shows the gate electrode structure 10 after the anisotropic etching of the gate electrode layer 104c. FIG. 6 is a schematic diagram of a gate electrode structure 10 according to an embodiment of the present invention. The production map of the gate electrode structure is trimmed. At 600, the manufacturing process begins. At 610, the gate electrode structure including the first dimension ^ is set in the processing system. At 62, select a trimming ^ Optional-a kind of energy can be used for the gate The health of the electrode structure is as follows: ^ The electrode structure reacts to form a reaction layer. In the present invention, ―Second = Huntable by Lai processing or Plasma processing, the gate electrode is exposed ^, so it has less than the first- In the second embodiment of the size-removing crystal, the reaction layer can be removed by exposing the reaction layer to a reverse etching gas. The remaining part of the hybrid reaction layer is an embodiment of the present invention. In order to provide a method of trimming the one-pole structure, the process die g: type is used to control the gate. Mathematical function to inverse process parameters. The process model can use t = f (x) + b ⑴, ⑴ 甲 所: where t is the thickness of the reaction layer, b is the pitch, and the process is the process parameter group. For example, the function The system is used to repair the power and processing time. The relationship between the body pressure, substrate temperature, and thickness is referred to as the process parameters of the n 4 () towel and other process parameters of the reaction layer. 2 Contains single-variable process parameters and a 200540976 tg (p) + c (2) physical gas pressure, c is a constant. The relationship between the pressure of the processing gas and the reaction is called the pressure-based trimming curve.… Or ^ 'By changing at least one additional process parameter, (such as the power of electricity), to the -Qianken force trimming curve. Limitations on a group of available pressure trimming operating conditions, such as pressure control limits: limit, gas Resolution of flow controller. By re-trimming the process with κ Μ and making different process parameters available, you can create a process with 修 trimming curve group: Ξ thickness. You can use the process model to control the process parameter group, such as As shown in equation (3): 'X = f1㈣ (3) where f is an inverse function of f. ^ In one embodiment, the first dimension of the gate electrode structure is inch CDq (as in size 120 in FIG. 1C), and the target trimming dimension is the key dimension CDt. (As in the dimension m in FIG. 1E or in the figure ig = 2 meshes. Ϊ́ 前 feed forward the size CD0 & CDt to the controller used to according to the equation: 10 times the target reaction layer thickness:) t = (CD〇 一 CDt) / 2 (4) In the example of using the process gas as the single-variable inch CD0 and the target trimmed size CDt substrate, the processing required to obtain the target trimmed size CDt is calculated by a ruler. Gas Lili ρ: formula () can be p 2 g-1 ((CD〇—CDt) / 2 — c) ⑺ center = the thickness minus the thickness of the reaction layer is greater than the value achieved in the single-knowledge, May require multiple trimmings

重修整製程以產生相同反應層厚度或者不同反應層、厚戶L 圖7為根據本發明-實施例之用以控制 流程圖。於762,製程開始。於764,在修 匕::J修整的 電極結構之第-尺寸;將第一尺寸及驟中,量間 你V正尺寸輸入至製程模 12 200540976 ί 2 3根尺寸及目標修整尺寸來建立一製程參數組。隨 度里步驟中測量修整尺寸。 若修整製程768產生大於所期望之目標修整尺寸之修整尺 製程768重複至少一次直至獲得目標修整尺寸。該 ,,可更U括將修整尺相饋至製程麵766,赠立—新的製程 行多次修整製程,直至獲得目標修整尺 各修整製程前可建立一新的製程參數組。當得到 目私修整尺寸時,製程於768結束。 槿之卢略地f示i艮據本發明一實施例之用以修整間電極結 iii丨^ λ 工具_可為例如日本赤坂的東京威 々科f 有限么司(Tokyo Electron Limited,Akasaka,japan)之 U_Me钱刻工具。處理工具_含有基板裝載室8i〇及娜、處 理土統83^860、自動轉移系統87〇、及控制器_。 =將光阻層108、ARC層106、間電極層刚(如見 二推>。^二】i〇3i·如見圖3)之電漿钱刻可於處理系統840 物以二二貫施例中,透過將閘電極結構暴露於反應 可:系統㈣中執行,且透過將閘電極 齡、:構暴路於侧㈣財除反應層祕可於纽 _ 行。 ^ 者於ί及去除可如上述般地於單—處理系統中或 修整循壞可有助盈。含有腐姓性氣體反應物之高背景壓 其與閘電極層之持續反應且可腐蝕半導體基板。 ° 於本發明的-實施例中,可使用處理系 極結構尺寸之分析室。根據所測量的尺寸,可 另-修整處方來進行另-修整循環或停止修整製程,I理系m 13 200540976 可為例如來自TIMBRE TephnnWY. 位輪廓儀(odptm)或婦i=clara,CA),的光學數 v拖式電子顯微鏡(SEM)。The trimming process is re-trimmed to produce the same reaction layer thickness or different reaction layers, and the thickness is larger. Figure 7 is a flow chart for controlling according to an embodiment of the present invention. At 762, the process begins. At 764, in the first dimension of the electrode structure trimmed by DJ :: J; enter the first dimension and step, the positive dimension of the dimension V into the process mold 12 200540976 ί 2 3 dimensions and the target trim size to create a Process parameter group. Measure the trim size in steps. If the trimming process 768 produces a trimming ruler larger than the desired target trimming size, the process 768 is repeated at least once until the target trimming size is obtained. This can include feeding the trimming ruler to the process surface 766, and setting up a new process. Repeat the trimming process until the target trimming ruler is obtained. A new process parameter group can be established before each trimming process. When the trim size is obtained, the process ends at 768. Fig. 1 shows a method for trimming an electrode junction according to an embodiment of the present invention. The tool _ can be, for example, Tokyo Electron Limited, Akasaka, Japan, Akasaka, Japan. ) U_Me money carving tool. The processing tool_ includes a substrate loading chamber 8i0 and Na, a processing soil 83 ^ 860, an automatic transfer system 87o, and a controller_. = Plasma money of photoresist layer 108, ARC layer 106, and inter-electrode layer (as shown in the second push >. ^ 二] i〇3i · as shown in Figure 3) can be carved in the processing system 840 in two consecutive In the embodiment, by exposing the gate electrode structure to the reaction, it can be performed in the system: and by exposing the gate electrode to the side, the reaction layer can be removed in a row. ^ In addition and removal can be in the single-processing system as described above or repair cycle can help profit. High background pressure containing humic gas reactants It continuously reacts with the gate electrode layer and can corrode the semiconductor substrate. ° In the embodiment of the present invention, an analysis chamber that processes the structure size of the electrode can be used. According to the measured size, another trimming prescription can be used to perform another trimming cycle or stop the trimming process. The Department of Science and Technology m 13 200540976 can be, for example, from TIMBRE TephnnWY. Position profilometer (odptm) or women (clara, CA). Optical number v drag electron microscope (SEM).

處理工具80()可由控制器880控制。枰制哭88〇 A 板裝載室810及820、處理糸絲嫌=&制益880可連接至基 盥這此梦署六搞〜 統〇_860、及自動轉移系統870並 。Γ,儲存於控制器880的記憶體中之程 據f期望之製程來控制處理工具_的前述構件,並 -之任何功能。此外,控制器88G可將用以建立 中。、:哭、_Λ 整製程的製程模型儲存於處理工具_The processing tool 80 () may be controlled by the controller 880. The system is equipped with 880 A plate loading chambers 810 and 820, and the processing system can be connected to the basic system, including the system _860, and the automatic transfer system 870. Γ, the process stored in the memory of the controller 880 controls the aforementioned components of the processing tool according to the process desired by f, and any function. In addition, the controller 88G can be used to establish. ,: Crying, _Λ The process model of the entire process is stored in the processing tool_

Austin% 〇°、λα 只例為可蹲自戴爾公司(Dell Corporation, 土 1, as)的 DELL PRECISI0N WORKSTATION 610'或 者’ i理^具_可包含—架以上的控制11以執行上述功能’ ποΛΙΐΙ其中架設本發明實施例的電腦系統120卜電腦系統 1\作^的控制11 ’或可餘進行任何或所有上述功能之 =似控制|§。電腦系統1201包括用以傳遞資訊的匯流排㈣或 二他傳遞機構,及與匯流排1202連結以處理資訊之處理器1203。 =系統1201也包括連結至匯流排12〇2之主記憶體12〇4,如隨 機存取圮憶體(RAM,random access memory)或其他動態儲存裝 置(如動恶 RAM( DRAM, dynamic RAM)、靜態 RAM( SRAM,static RAM)、及同步 DRAM (SDRAM, synchronous DRAM)),以儲存 資吼及欲由處理器1203執行的指令。此外,主記憶體12〇4可於 處理器1203執行指令期間儲存暫時變數或其他中間資訊。電腦系 統1201更包括連結至匯流排12〇2之唯讀記憶體(R〇M,read〇nly memory) 1205或其他靜態儲存裝置(如可程式化ROM (PROM, programmable ROM)、可抹除 PROM (EPROM,erasable PROM)、’ 及可電抹除 PROM (EEPROM,electrically erasable PROM)),以儲 存靜態資訊及處理器1203之指令。 電腦系統1201也包括連結至匯流排1202之磁碟控制器 1206,以控制甩以儲存資訊及指令之一或更多儲存裝置,如磁性 硬碟1207及卸除式媒體磁碟機1208 (如軟式磁碟機、唯讀光碟 14 200540976 機、讀/寫光碟機、光碟櫃、磁帶機、及卸除式磁光機)。利用適當 裝置介面(如小型電腦系統介面(SCSI,small computer system interface )、整合驅動電子介面(ide,integrated device electronics )、 直接^憶體存取(DMA, direct memory access)、或超-DMA)可將 儲存裝置附加至電腦系統1201。Austin% 〇 °, λα are only examples of DELL PRECISI0N WORKSTATION 610 'which can be squatted from Dell Corporation (D1, as), or' i Administrative Tools _ may include-control 11 above the rack to perform the above functions' ποΛΙΐΙ The computer system 120 in the embodiment of the present invention is set up, and the computer system 1 \ work control 11 ′ may be used to perform any or all of the above functions. The computer system 1201 includes a bus or other transmission mechanism for transmitting information, and a processor 1203 connected to the bus 1202 to process information. = System 1201 also includes main memory 1204, such as random access memory (RAM) or other dynamic storage devices (such as DRAM, dynamic RAM), connected to the bus 1202. , Static RAM (SRAM, static RAM), and synchronous DRAM (SDRAM, synchronous DRAM)) to store data and instructions to be executed by the processor 1203. In addition, the main memory 1204 can store temporary variables or other intermediate information during the execution of instructions by the processor 1203. The computer system 1201 further includes a read-only memory 1205 connected to the bus 1202 or other static storage devices (such as a programmable ROM (PROM, programmable ROM), erasable PROM). (EPROM, erasable PROM), 'and PROM (EEPROM, electrically erasable PROM)) to store static information and instructions of the processor 1203. The computer system 1201 also includes a disk controller 1206 connected to the bus 1202 to control one or more storage devices such as a magnetic hard disk 1207 and a removable media drive 1208 (such as a floppy disk drive) to store information and instructions. Drives, read-only discs 14 200540976 drives, read / write drives, drive cabinets, tape drives, and removable magneto-optical drives). Use appropriate device interface (such as small computer system interface (SCSI), integrated device electronics (ide, integrated device electronics), direct memory access (DMA, direct memory access), or super-DMA) A storage device can be attached to the computer system 1201.

電腦系統1201也可包括特殊用途邏輯裝置(如特殊用途積體 電路(ASICs,application specific integrated circuits)、簡單可編程 邏輯裝置(CPLDs,complex programmable logic devices)、及場效 可編程閘矩陣 FPGAs,Field Programmable Gate Arrays))。電腦系 統也可包括一或多個數位信號處理器(DSPs,digital signal processors)如來自德州儀器之TMS320系列、來自摩托羅拉的 DSP56000、DSP56100、DSP56300、DSP56600、及 DSP96000系列晶片、來自朗訊科技的DSP1600及DSP3200系列 或來自 Analog Devices 的 ADSP2100 及 ADSP21000 系列。也可使 用其他特別设计來處理已被轉變成數位領域之類比信號的處理 器。電腦系統也可包括一或多個數位信號處理器(DSPs,digital signal processors )如來自德州儀器之TMS320系列、來自摩拢羅 拉的 DSP56000、DSP56100、DSP56300、DSP56600、及 DSP96000 系列晶片、來自朗訊科技的DSP1600及DSP3200系列或來自 Analog Devices 的 ADSP2100 及 ADSP21000 系列。也可使用其他 特別設計來處理已被轉變成數位領域之類比信號的處理器。 電腦系統也可包括連接至匯流排12〇2之顯示控制器12〇9,以 控制用來顯示資訊給電腦使用者的顯示器丨21〇,如陰極射線管 (CRT cathode ray tube)。電腦系統包括輸入裝置,如鍵盤丨如 及才a示裝置1212,以便與電腦使用者互動並提供資办處 例如,指示裝置1212可為用以傳遞方向^訊 處理益1203及用以控麵示器121〇上的游標移動之滑鼠、軌跡 ϋΛΙ示桿(pointing stick)。此外,印表機可提供由電腦系統 1201儲存及/或產生之列印資料清單。 15 200540976 包含驟,以回應執行 _中的指令^ 處f器來執行包含於主記憶體 人體彳"。因此,實施例不限於任何特定硬體電路及軟= 統丨2。1包括至少""電腦可讀舰或記憶體, :ίί、上錄妒2本文中所述的其他資料。電腦可讀媒體t =PR〇M)、D罐、s趣,(、 的實體媒體、載波(說明於下)、或任I- 十發明包括儲存於任一或電腦可讀女某體之组合上之用 =f統12 G1、驅動裝置或執行本發明之& 類使用修印刷生產人員)互動之軟體電二= (但不限於)裝置,轉器、操作系統、發展 此電腦可讀舰更包括収進行 份(若處理為分散式)處理之本發明電腦程式丁的所有或部 電腦程式碼裝置可為任何譯碼(in卿咖此 式機構’包括但不限於手跡(似⑻、譯碼程式、動能 =接程 $ 庫(DLLs,dynamic link libraries)、爪哇程式集(Java 心 I ·)二f完全可執行的程式。再者,可將部份本發明之處理八 政,以獲得更佳效能、可靠度、及/或成本。 刀 户理使㈣賴「電·讀雜」意财與提供指令至 處理益1203執行之任何媒體。電腦可讀媒體可採取許多形式,包 16 200540976 独暫媒體、短暫媒體、及倾舰。賴暫媒體包 括例如^子、磁碟、及磁光碟,如硬碟12〇7或卸除 磁 =有意f,如主記憶體1204。傳二某體包 3有、、且成匯机排1202之電線的同轴電纔 個序以 ίίϊίίίΐ 2端電腦可在遠處將用以執行全部‘份本ί 户,:己麵中’並透過利用數據機之電話線寄送 Z紅外線發送^將資料轉換成紅外線信號。連制匯流排= 的紅外線L可接收載送於紅外線信 上。隨排1202攜帶資訊至主記憶體^ = 隨12G4檢索並執行指令。由主記憶體· 1112G3執狀前或讀㈣顧存於儲存 電腦系統1201也包括連接至匯流排12〇2之通訊介面1213。 it!面=1供f結至網路鍵接1214之雙向資料通訊,該網 路鏈接1214係與例如局部區域網路(LAN, local area 論(_215或另-通訊網路1216(如網際網路)相連接 , 通訊介面1213可為連接至任何封包交換式LAN之鱗介 作為另-實例’通訊介面1213可為非對稱數位用戶專線l asymmetrical digital subscriber line)卡、整合服務數位網路(isdis〇 卡,數據機’以提供至械紐贿_型之:祕通訊連 可^施無線鏈,。在任何賴裝置巾,軌介面1213寄送並接收 攜帶代表不同資訊類型的數位資料流之電、電磁或光學信號。 網路鏈接1214通常經由-或多個網路提供資料通訊^他資 料裝置。例如,網路鏈接1214可經由局部網路1215 (如lan)' 17 200540976 或、、、二由服矛力kt、者(其透過通訊網路1216來The computer system 1201 may also include special-purpose logic devices (such as application-specific integrated circuits (ASICs), complex programmable logic devices (CPLDs), and field-effect programmable gate array FPGAs, Field Programmable Gate Arrays)). The computer system can also include one or more digital signal processors (DSPs, digital signal processors) such as TMS320 series from Texas Instruments, DSP56000, DSP56100, DSP56300, DSP56600, and DSP96000 series chips from Motorola, DSP1600 and Lucent Technologies DSP3200 series or ADSP2100 and ADSP21000 series from Analog Devices. Other processors specifically designed to handle analog signals that have been transformed into digital domains can also be used. The computer system may also include one or more digital signal processors (DSPs, digital signal processors) such as TMS320 series from Texas Instruments, DSP56000, DSP56100, DSP56300, DSP56600, and DSP96000 series chips from Motorola, DSP1600 and DSP3200 series or ADSP2100 and ADSP21000 series from Analog Devices. Other processors specifically designed to handle analog signals that have been transformed into digital domains can also be used. The computer system may also include a display controller 1209 connected to the bus 1202 to control a display for displaying information to a computer user, such as a CRT cathode ray tube. The computer system includes an input device, such as a keyboard and a display device 1212, to interact with the computer user and provide an office. For example, the pointing device 1212 may be used to transmit directions, information processing benefits 1203, and to control surface display. The mouse moved by the cursor on the device 121 and the track ϋΛΙ pointing stick. In addition, the printer may provide a list of print data stored and / or generated by the computer system 1201. 15 200540976 Contains steps to execute the instructions contained in _ at the ^ device to execute the body contained in main memory. Therefore, the embodiment is not limited to any specific hardware circuit and software. 2.1 includes at least " " computer-readable ship or memory, and other information described in this article. Computer-readable media (t = PROM), D can, squ, (, physical media, carrier wave (described below), or any of the tenth invention includes a combination stored in any or computer-readable female body The use of the above = f system 12 G1, the driving device or the implementation of the & type printing and printing production personnel of the present invention) interactive software electric two = (but not limited to) device, converter, operating system, development of this computer readable ship It also includes all or part of the computer code device of the computer program of the present invention that is processed (if the processing is decentralized), which can be any decoding (in this type of agency 'including but not limited to handwriting (like Code programs, kinetic energy = DLLs (dynamic link libraries), Java programs (Java core I ·), two completely executable programs. In addition, some of the inventions can be processed in order to obtain Better performance, reliability, and / or cost. Anyone can rely on "electricity · reading miscellaneous" for any kind of media and providing instructions to process 1203. Computer-readable media can take many forms, including 16 200540976 Independent media, ephemeral media, and dumping ship. The body includes, for example, a hard disk, a magnetic disk, and a magneto-optical disk, such as a hard disk 1207 or a demagnetized = intentional f, such as the main memory 1204. It is transmitted to a certain body package 3, and it is a wire of the exchange machine row 1202 The order of the coaxial cable is ίίϊίίίΐ The 2-terminal computer can be used to execute all of the "copy of this account" in the distance, and send it through the infrared line of the modem to send Z-infrared ^ to convert the data into Infrared signal. Connected bus = Infrared L can be received and carried on the infrared signal. Carry information with the main 1202 to the main memory ^ = Retrieve and execute the command with 12G4. The main memory or 1112G3 will execute the status report or read it The storage computer system 1201 also includes a communication interface 1213 connected to the bus 1202. it! Surface = 1 is used for two-way data communication to the network connection 1214, which is connected to, for example, a local area Network (LAN, local area) (_215 or another-communication network 1216 (such as the Internet) is connected, the communication interface 1213 can be a scale interface connected to any packet-switched LAN as another-example 'communication interface 1213 can be Asymmetrical digital subscriber line r line) card, integrated service digital network (isdis 0 card, modem) to provide the best-in-class bribery type: secret communication link can be used to implement wireless links, and send it on any device, rail interface 1213 and Receive electrical, electromagnetic, or optical signals that carry digital data streams representing different types of information. Network link 1214 typically provides data communication via-or multiple networks. Other data devices. For example, network link 1214 may be via local network 1215 (Such as lan) '17 200540976 or ,,,, two by serving the force kt, (which comes through the communication network 1216

作的設備而提供至另-β 4 W 锢玖it 月之連接。例如,局部網路1215及通訊 3體層(如CAT 5魏、同轴_、光纖等)。“不同網^ 在網路鏈接1叫上與透過通訊介面咖的健崔 1位貧料往來於電腦系統121G)可於基頻信號或以載^為二 ,號1=二基頻信號以描述數位資料位元流之未ΐ變 (unmodulated)電脈波形式來傳遞數位資料,其中 將廣義地解釋為符號’而各符號傳遞至少 可使用數位資料來調變載波,如利用透過傳導二二傳:』 1st皮傳輸魄幅、相妓_轉換鍵控 、sftitt keyed)仏5虎。因此,盤办咨刺_^r、采、^ 「,一 以夫,嘭其中、、,數位科可透過有線」通訊頻道而 頻^的預定頻帶内 替而l?n,㈣《 = 及16、網路鍵接1214、及通 ^,網路键接ΐΙΐΓ可:rf01可傳送及接受資料(包括程式碼)。此 個人數位助理(PDA)、膝上型雷腦力動衣置217 (士 m⑸9m 電自或行動電話)之連接。 包月自糸、、充1201可用來執行本發明Connection to another -β 4 W 锢 玖 it month. For example, the local network 1215 and communication 3 layer (such as CAT 5 Wei, coaxial _, fiber, etc.). "Different networks ^ On the network link 1 call and communicate with the Jian Cui 1 bit through the communication interface to the computer system 121G) Can be described by the baseband signal or the carrier ^ as two, No. 1 = two baseband signals to describe Digital data is transmitted in the unmodulated electrical pulse form of the digital bit stream, which is broadly interpreted as a symbol, and each symbol can be transmitted using at least digital data to modulate the carrier, such as through transmission. : "1st leather transmission, breadth, prostitute_switch keying, sftitt keyed) 仏 5 tiger. Therefore, the consultation office _ ^ r, mining, ^", one husband, one of them, and the digital department can pass "Wired" communication channel instead of a predetermined frequency band l? N, ㈣ "= and 16, network key 1214, and communication ^, network key ΐΙΐΓ can: rf01 can send and receive data (including code ). The connection between this personal digital assistant (PDA), laptop minebrain power suit 217 (taxi m 士 9m phone or mobile phone). Monthly subscription, charge 1201 can be used to implement the present invention

構上執行修整製飾製造料㈣ *叫由在閘电極L 組- 统1201安梦央1 聿置。根據本發明,可將電腦系 、、充〇1女衣末建立利用製程模型及控 解在Ϊ行本發辦可_本發明之各種錢及變化。所 以應瞭解··在隨附的申請專利簕圚 又斤 明確說明的其他方式實行。 $ ’柄明可以如此處所 圖式簡單說明】 圖1A-1G顯示根據本發明一者 圖 處理流程之橫剖面示意㈤ 心例之㈣修整閘電極結構的 圖2概略地顯示根據太癸昍—每 毛月5知例之以反應物氣體暴露為 18 200540976 函數之反應層厚度; 圖3A-3C顯示根據本發明另—實施 以 的處理流程之橫剖面示意圖; ;之用以修正閘電極結構 圖4A-4B顯示根據本發明再另一告 構的處理絲之橫剖面示意圖;θ &例之用以修整間電極結 圖5A-5D顯示根據本發明又另一實 構的處理流程之橫剖面示意圖; 、 用乂<乡正閘黾麵結 —實施例之肋修整㈣極結構之流、 流程^柄明一實施例之用以控制閑電極結構之修楚的 工具據本發ι實施狀肋修整㈣極結構之· 圖9為可執行本發明的顧電腦說明圖示。 元件符號說明: 10〜閘電極結構 100〜基板 102〜高介電常數層 103〜金屬層 104〜閘電極層 104a〜除反應層外的閘電極層 104b〜反應層 104c〜修整閘電極層 104d〜反應層 106〜有機ARC層 108〜圖案化光阻層 116及126〜新的尺寸 118〜第二水平尺寸 120〜第一水平尺寸 19 200540976 122〜起始微影尺寸(圖ΙΑ) 122〜第一垂直尺寸(圖1C) 124〜第二垂直尺寸 128〜所期望之垂直尺寸 800〜處理工具 810及820〜基板裝載室 830-860〜處理系統 870〜自動轉移系統 880〜控制器 1201〜電腦系統 馨 1202〜匯流排 1203〜處理器 1204〜主記憶體 1205〜唯讀記憶體 1206〜磁碟控制器 1207〜磁硬碟 1208〜卸除式媒體磁碟機 1209〜顯示控制器 1210〜顯示器 • 1211〜鍵盤 _ 1-212〜指示裝置 1213〜通訊介面 1214〜網路鏈接 1215〜局部網路 1216〜通訊網路 1217〜行動裝置 20Structurally perform trimming and manufacturing of decorative materials * Called by the gate electrode group L-system 1201 Anmengyang 1 set. According to the present invention, it is possible to establish a computer system, a computer model, and a process model and control the production process of the women's clothing, and control the various kinds of money and changes in the present invention. Therefore, it should be understood that it is implemented in other manners clearly stated in the attached patent application. $ '柄 明 can be simply explained as shown here.] Figure 1A-1G shows a schematic cross-section of the processing flow according to one of the present invention. Figure 2 schematically shows the structure of the trimmed gate electrode. Mao Yue 5 knows the thickness of the reaction layer with the reactant gas exposure as a function of 18 200540976; Figures 3A-3C show a schematic cross-sectional view of another process according to the present invention; Figure 4A is used to modify the structure of the gate electrode -4B shows a schematic cross-sectional view of a processing wire according to another embodiment of the present invention; θ & for example, for trimming an electrode junction. Figs. 5A-5D show a schematic cross-sectional view of another processing process according to the present invention. , The flow of trimming the pole structure with the ribs of the township gate—the ribs of the embodiment, the procedure ^ handles the tool of one embodiment to control the repair of the idle electrode structure according to this implementation Trimming the pole structure. Fig. 9 is an illustration of a computer that can execute the present invention. Description of component symbols: 10 ~ gate electrode structure 100 ~ substrate 102 ~ high dielectric constant layer 103 ~ metal layer 104 ~ gate electrode layer 104a ~ gate electrode layer 104b ~ reaction layer 104c ~ repair gate electrode layer 104d ~ Reaction layer 106 to organic ARC layer 108 to patterned photoresist layers 116 and 126 to new size 118 to second horizontal size 120 to first horizontal size 19 200540976 122 to initial lithographic size (Figure IA) 122 to first Vertical size (Figure 1C) 124 to second vertical size 128 to desired vertical size 800 to processing tools 810 and 820 to substrate loading chamber 830 to 860 to processing system 870 to automatic transfer system 880 to controller 1201 to computer system 1202 ~ bus 1203 ~ processor 1204 ~ main memory 1205 ~ read-only memory 1206 ~ disk controller 1207 ~ hard disk 1208 ~ removable media drive 1209 ~ display controller 1210 ~ monitor 1211 ~ Keyboard_ 1-212 ~ pointing device 1213 ~ communication interface 1214 ~ network link 1215 ~ local network 1216 ~ communication network 1217 ~ mobile device 20

Claims (1)

200540976 十、申請專利範圍: 1· 一種半導體裝置的製造方法,包含: 決定一閘電極結構之第一尺寸; 選擇一目標修整尺寸; ’ 將。亥第尺寸及该目標修整尺寸前饋至一 藉彳 一製程參數組;及 衣耘拉型,以建立 在該閘電極結構上施行一修整製程,包括·· 於該修整製程中控制該製程參數組,及 修整该閘電極結構。 2·如申請專利範15第1項之半導體裝置 該修整過之閘電極結構之一修整尺寸。、方去,更包含測量 3·如申請專利範圍第2項之半導體裝置的製造方法 程之,至少—次’直至獲得該目標修整尺^ 3重m 制專,第3項之半频裝置的製造方法,其中該修敫 痛修整尺寸至該製程模型以建立-新的製 1糾瓣賴_,其中該製程 自該第一尺寸、該修整尺寸、該目標修整 固 更多個之組合來計算反應層厚度;及了次,、中一個或 _基於該反應層厚度以決定該製程參數組。 6奋如申請專利範圍第5項之半導體裝置的製造方法, J數組之決定更包含選擇至少—製程參數而保持其师程參g 7办如申請專利範圍第i項之半導體裝置的製造方法’其中該製 包含處理氣體壓力、基板溫度、電漿功率、錢理時間、 或其中二個或更多個之組合。 8.如申請專利範圍第2項之料體裝置的製造方法,其中該測 更包括利用散射技術、掃描式電子顯微鏡(SEM)、或兩者來決定 21 200540976 戎苐一尺寸 9包$申請專利範園第1項之半導體裝置的製造方法,其中該修整 極結構之反應而形成反應層;及 該反應^ 選擇性地自該閑電接結構的未反應部份去除 ι〇·如申請專利範園第糸墓鱗壯班 層係形成於自限性製程中、。¥體衣置的製造方法,其中該反應 11·如申凊專利範圍第9頂车壯 包含在熱處理、電漿處理或去直衣置的製造方法,其中該形成 物氣體。 或兩者中’將該閑電極結構暴露於反應 12. 如申請專利範圍第丨丨項之 壯 應物氣體包含受激含氧氣俨、。 豆衣置的製造方法,其中該反 13. 如申請專利範圍第7項體之半導體壯署 包含將該間電極結構暴露的置的製造方法,其中該形成 14·如申請專利範圍第9項之 性地去除包含將該閘電極結構的製造方法,其中該選擇 性地去除包含將該閘電極結構的製造方法,其中該選擇 16·如申請專利範圍第9項之半口壯工 性地去除包含將該閘電極結構霖;^的製*方严,其中該選擇 於熱處理。 。於HF及_氧體,然後暴露 17. 如申請專利範圍第9項之半導 性地去除包含將該閘電極結構聂’二二^的衣造方去,其中該選擇 體,然後暴露於熱處理。* ;電漿中的NF3及NH3氣 18. 如申請專利範圍第9項之 性地去除包含將該閘電極結構的製造方法’其中該選擇 19. 如申請專利範圍第9項製程。 及該去除係實施於單一處理系統中^衷置的製造方法,其中該形成 22 200540976 專利棚第9項之半導·置的製造方法,其中該形 成及该去除係實施於多重處理系統中。 〜 範圍第9項之半導體裝置的製造方法,其中· 第9項之半賴裝置的製造方法,其中該形成 匕括在"亥閘電極表面上形成氧化物層。 利範圍第1項之半導體裝置的製造方法,其中該間電 極結構包含閘電極層。 /、丁必W迅 圍第23項之半導體裝置的製造方法,其中該閑電 極層已3含石夕層、含金屬層、或兩者。 細第24項之半導體錢的製造方法,財該閘電 =ί;ί:;:,包含非—、或= ,247Λ^裝Λ的製造方法,其中該間電 氧化物、或i中=多3=f:含金屬、金屬氣化物、金屬 2屬7ΐ:==第26項之半導趙裝置的製造方法,直中該含全 、脑N、Ru、或Ru02或其中二個U; 28·如申請專利範圍第23項之半導_梦胃 極結構更包含ARC層。传體衣置的製造方法,其中該閘電 29·如申請專利範圍第28項之半導許梦 層包含有機ARC層或無機arc層。"、衣&方法,其中該ARC 30·如申料娜目第28項之轉 層包含SiN。 罝们表以方法,其中該ARC 31·如申請專利範圍第1項之半導濟駐 該修整閘電極結構作為鮮層叫方法,更包含利用 32·-種電腦可讀媒體,包含有用H向性_ ° 當該程式指令由處理理器上執行的程式指令, 处理工具執行申請專利範圍 23 200540976 第1項之步驟。 33· —種半導體装置,包含·· 法所形ΐ修i之閘電極結構’其係由巾請專機圍第1項之該方 34·—種處理工具,包含: 之紐基板裝魅,肋錢及卸下具有第_尺寸之㈣極結構 t用以在該處理工具内傳送該基板; 成修整i寸?m肋在·電極結構上施行修整製程以形 來建存能夠由該第—尺寸及目標修整尺寸 程Σ數組ΐϊ 製程模型,及控制在該修整製程中的該製 整尺^或=线’肋測韻閘電極結構之該第-尺寸、該修 Ϊ用34項之處理卫具,其巾該至少—處理系統 ^。 i衣程之施行至少一次,直至獲得該目標修整尺 3量纖㈣35項之處理u巾該另—處理系統測 及回饋祕整尺寸至該至少一控制器,以建立-新 37·如申請專利範圍第34項之處理工 豆 ,第-尺寸、該修整尺寸、該目標修整至少其中計 异反應層厚度;及 木丁 基於該反應層厚度以決定該製程參數組。 =·如申請專利範圍第37項之處理工具,其中該至少_控制器係藉 △在保持其他製程參數固定時選擇至少一製程參數來決定該製^ 翏數組。 39.如申請專利範圍第34項之處理工具,其中該製程參數組包含處 24 200540976 理氣體壓力、基板溫度、電漿功率、處理時間、或其中二個或 多個之組合。 八" 40·如申請專利範圍第34項之處理工具,其中該另一處理系統包 含散射技術、掃描式電子顯微鏡(SEM)、或兩者。 41·如申請專利範圍第34項之處理工具,其中該至少一處理系統: 經由與該閘電極結構之反應而形成反應層;及 利用化學蝕刻而選擇性地自該閘電極結構的未反應部份去除 該反應層。 41項之處理工具,其中該反應層係形成於200540976 X. Scope of patent application: 1. A method for manufacturing a semiconductor device, including: determining a first size of a gate electrode structure; selecting a target trimming size; The Heidi dimension and the target trimming size are feed forward to a borrowing and one process parameter group; and a clothing pull type to build a trimming process on the gate electrode structure, including ... controlling the process parameters in the trimming process Group, and trim the gate electrode structure. 2. If the semiconductor device according to item 15 of the patent application is applied, one of the trimmed gate electrode structures is trimmed. Fang Qu, including measurement 3. If the method of manufacturing a semiconductor device in the scope of the patent application No. 2 process, at least-times' until the target trimming rule ^ 3 heavy m system, the third half-frequency device Manufacturing method, wherein the repairing pain trimming size is established to the process model to create a new manufacturing process, wherein the manufacturing process is calculated from a combination of the first size, the trimming size, and the target trimming more The thickness of the reaction layer; and one, one, or _ based on the thickness of the reaction layer to determine the process parameter set. 6 Fenru's method of manufacturing a semiconductor device under the scope of patent application No. 5, the decision of J array includes selecting at least process parameters while maintaining its process parameters. The system includes processing gas pressure, substrate temperature, plasma power, money processing time, or a combination of two or more of them. 8. The manufacturing method of the material device according to item 2 of the scope of patent application, wherein the measurement includes the use of scattering technology, scanning electron microscope (SEM), or both to determine 21 200540976 Rong Yi one size 9 packs $ patent application The method for manufacturing a semiconductor device according to item 1, wherein the reaction of the trimming electrode structure forms a reaction layer; and the reaction ^ selectively removes unreacted portions of the idle electrical connection structure. The scale class of the scale of the Yuandi tomb was formed in a self-limiting process. ¥ The method of manufacturing a body garment, wherein the reaction 11. The ninth car top of the patent application scope includes a manufacturing method of heat treatment, plasma treatment, or de-dressing, wherein the formation gas. Either or both 'expose the free electrode structure to the reaction 12. The strong reactant gas as described in item 丨 丨 of the patent application range includes stimulated oxygen-containing gas. The manufacturing method of Douyizhi, wherein the anti-13. The semiconductor development department of the seventh aspect of the patent application scope includes the manufacturing method of the exposed electrode structure, wherein the formation 14. The selective removal includes a manufacturing method of the gate electrode structure, wherein the selective removal includes the manufacturing method of the gate electrode structure, wherein the option 16. The semi-portable removal of The gate electrode structure is manufactured by Fang Yan, where the selection is made by heat treatment. . HF and _ oxygen body, and then exposed 17. If the semi-conductive removal of item 9 of the scope of patent application includes fabricating the gate electrode structure, the selected body is then exposed to heat treatment . *; Plasma NF3 and NH3 gas 18. The method of removing the gate electrode structure according to item 9 of the scope of the patent application includes the manufacturing method of the gate electrode structure, among which the option 19. The process of the scope of the patent application, item 9. And the removal is implemented in a single processing system, a manufacturing method in which the formation and removal are performed in a multiple processing system. ~ The method of manufacturing a semiconductor device according to item 9, wherein the method of manufacturing a semiconductor device according to item 9, wherein the formation is to form an oxide layer on the surface of the "Hieran" electrode. The method of manufacturing a semiconductor device according to claim 1, wherein the inter-electrode structure includes a gate electrode layer. / Ding Bi, the method of manufacturing a semiconductor device according to item 23, wherein the idle electrode layer has a stone-containing layer, a metal-containing layer, or both. For the manufacturing method of semiconductor money, please refer to item 24. The manufacturing method includes the non-, or =, 247Λ ^ Λ manufacturing method, where the intermetallic oxide, or i = = 3 = f: Metal, metal vapor, and metal 2 belong to 7ΐ: == The method of manufacturing the semiconductive Zhao device of item 26, which directly contains the whole, brain N, Ru, or Ru02 or two of them U; 28 · Semiconductor _ Mengwei pole structure, such as the scope of application for patent, includes ARC layer. A method for fabricating a body garment, wherein the gate 29. The semi-conductive Xu Meng layer such as the 28th in the scope of patent application includes an organic ARC layer or an inorganic arc layer. ", clothing & method, wherein the transfer layer of the ARC 30 · 28 item includes SiN. We show the method, in which the ARC 31 · as the semi-conductor of the patent application scope No. 1 repair the gate electrode structure as a fresh layer method, but also includes the use of 32 ·-computer-readable media, including useful H-direction _ ° When the program instruction is a program instruction executed on a processor, the processing tool executes step 1 of the scope of patent application 23 200540976. 33 · —A semiconductor device, including the gate electrode structure of the repair method, which is provided by the method of the first side of the special machine 34.—A processing tool, including: The first electrode structure t with the _th dimension is used to transfer the substrate in the processing tool; a trimming process is performed on the electrode structure by trimming the i-inch ribs, which can be built by the first dimension And the target trimming size Σ arrayΐϊ process model, and the trimming rule ^ or = line 'rib' rhyme gate electrode structure that is controlled in the trimming process, the -dimension of the rhyme gate electrode structure, and 34 items of treatment sanitation equipment , Its towel should be at least-treatment system ^. i The clothing process is executed at least once until the target trimming ruler is measured for 3 items of fiber and 35 items. The other-the processing system measures and returns the secret size to the at least one controller to establish-new 37. If you apply for a patent In the 34th item of the scope of science and technology, the -size, the trim size, and the target trim include at least one of the reaction layer thicknesses; and the wood chip determines the process parameter group based on the reaction layer thickness. = · If the processing tool of the scope of patent application No. 37, wherein the at least _ controller is to determine the system by selecting at least one process parameter while keeping other process parameters fixed. 39. The processing tool according to item 34 of the patent application scope, wherein the process parameter set includes processing gas pressure, substrate temperature, plasma power, processing time, or a combination of two or more of them. & 40. The processing tool of claim 34, wherein the other processing system includes scattering technology, a scanning electron microscope (SEM), or both. 41. The processing tool according to item 34 of the application, wherein the at least one processing system: forms a reaction layer by reacting with the gate electrode structure; and uses chemical etching to selectively remove unreacted portions of the gate electrode structure The reaction layer was removed in portions. The processing tool according to 41, wherein the reaction layer is formed at 43.如申請專利範圍第41項之處理工具,其中該至少一處理系統 1於、、處理、電聚處理或兩者中將該閘電極結構暴露於反應物氣 體,以形成該反應層。 44·如申請專利範圍第43項之處理工具,其中該反應物氣體包含 受激含氧氣體。 45二如申睛專利範圍第41項之處理工具,其中該至少一處理系統 =該閘電i極結構暴露於濕氧化製程以形成該反應層。 二如申凊專利範圍第41項之處理工具,其中該至少一處理系統 亥閘笔極結構暴露於飯刻氣體以去除該反應層。 如申凊專利範圍第41項之處理工具,其中該至少一處理系統 ^該Γ電極結縣露於HF㈣以去除該反應層。 _ 申睛專利範圍第41項之處理工具,其中該至少一處理系統 的该間電極結構暴露於HF及Nh3氣體,然後暴露於熱處理。 將兮如申請專利範圍第41項之處理工具’其中該至少一處理系統 處=閑電極結構暴露於遠端電漿中的NF3及Nil·,然後暴露於熱 如申睛專利範圍第41項之處理工具,其中該至少一處理系統 將該閘電極結構暴露於濕製程。 51·如申凊專利範圍第41項之處理工具,其中該至少一處理系統 25 200540976 係由單一處理系統所組成。 ,中睛專利範圍第41項之處理 包含多重處理系統。 ,、/、中该至少一處理系統 53·如申請專利範圍第%項之工且 尺寸。 ,、具中该弟一尺寸為微影 54·如申請專利範圍第❿頁之處理工具, 申形餘化㈣轉為該反^統在 電極層r專利範圍第34項之處理工具,其中該閘電極結構包含間 i6·如含申狀處社具,射朗馳層包含含石夕 範圍第56項之處理工具,其中該閘電極層包含含石夕 包含非晶石夕、聚砍、或SiGe、或其中二二益。 ΐ?申圍第56項,處理工具’其中該閘電極層包含含金 屬^ ί ^ _包含金屬魏化物、金屬氧化物、或其中 二個或更多個之組合。 I、τ =如ίϊ專利範圍第58、項之處理工具,其中該含金屬層包含 a、iN、TaSiN、Ru、或Ru〇2、或其中二個或更多個之组合。 60.如申請專利範圍第55項之處理工具,其中該閘電極結構更包含 ARC 層。 61·如申請專利範圍第6〇項之處理工具,其中該ARC層包含有機 ARC層或無機ARC層。 62. 如申請專利範圍第60項之半導體裝置的製造方法,其中該ARC 層包含SiN。 63. 如申睛專利範圍第34項之處理工具,其中該至少一處理系統係 裝没來用於濕製程。 64·如申請專利範圍第34項之處理工具,更包含裝設來用於電漿蝕 刻之附加處理系統。 26 200540976 65.如申請專利範圍第64項之處理工具,其中該附加處理系統係裝 設來用於RIE。 十一、圖式:43. The processing tool according to item 41 of the application, wherein the at least one processing system 1 exposes the gate electrode structure to a reactant gas in a process, a process, an electropolymerization process, or both to form the reaction layer. 44. The processing tool according to item 43 of the application, wherein the reactant gas comprises a stimulated oxygen-containing gas. 45. The processing tool of item 41 in the patent scope, wherein the at least one processing system = the gate electrode structure is exposed to a wet oxidation process to form the reaction layer. Second, the processing tool of claim 41 in the patent scope, wherein the at least one processing system is exposed to a gas engraved to remove the reaction layer. For example, the processing tool of claim 41 in the patent scope, wherein the at least one processing system is exposed to the HF electrode to remove the reaction layer. _ The processing tool according to item 41 of Shenyan's patent, wherein the inter-electrode structure of the at least one processing system is exposed to HF and Nh3 gas, and then to heat treatment. Exposing the processing tool of item 41 in the scope of patent application 'wherein the at least one processing system = the free electrode structure is exposed to NF3 and Nil · in the remote plasma, and then exposed to heat as described in item 41 of the scope of patent application A processing tool, wherein the at least one processing system exposes the gate electrode structure to a wet process. 51. The processing tool of claim 41 in the scope of patent application, wherein the at least one processing system 25 200540976 is composed of a single processing system. The treatment of item 41 in the middle patent scope includes multiple processing systems. The at least one processing system, such as ,,,, etc. 53. Such as the application of the scope of the patent, the size and size. The size of this brother is lithography 54. If the processing tool on the first page of the scope of the patent application is applied, the application of Shenxing Yuhuan will be converted to the processing tool of the system in the electrode layer r patent scope of item 34, where the The gate electrode structure includes the i6. If the application includes a processing device, the Shelangchi layer contains the processing tool of item 56 in the range containing Shixi, where the gate electrode layer contains Shixi including amorphous stone, polychop, or SiGe, or two or two of them. For example, claim 56. The processing tool ’wherein the gate electrode layer includes a metal containing metal ^ ί ^ _ contains a metal compound, a metal oxide, or a combination of two or more of them. I, τ = The processing tool according to item 58 of the patent scope, wherein the metal-containing layer includes a, iN, TaSiN, Ru, or Ru02, or a combination of two or more thereof. 60. The processing tool of claim 55, wherein the gate electrode structure further includes an ARC layer. 61. The processing tool according to claim 60, wherein the ARC layer includes an organic ARC layer or an inorganic ARC layer. 62. The method for manufacturing a semiconductor device according to claim 60, wherein the ARC layer includes SiN. 63. The processing tool of claim 34, wherein the at least one processing system is installed for a wet process. 64. The processing tool according to item 34 of the patent application scope further includes an additional processing system installed for plasma etching. 26 200540976 65. The processing tool of claim 64, wherein the additional processing system is installed for RIE. Eleven schemes: 2727
TW94110236A 2004-01-14 2005-03-31 Method of controlling trimming of a gate electrode structure TWI264767B (en)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US10/756,759 US6852584B1 (en) 2004-01-14 2004-01-14 Method of trimming a gate electrode structure
US10/812,952 US20050221513A1 (en) 2004-03-31 2004-03-31 Method of controlling trimming of a gate electrode structure

Publications (2)

Publication Number Publication Date
TW200540976A true TW200540976A (en) 2005-12-16
TWI264767B TWI264767B (en) 2006-10-21

Family

ID=37974391

Family Applications (1)

Application Number Title Priority Date Filing Date
TW94110236A TWI264767B (en) 2004-01-14 2005-03-31 Method of controlling trimming of a gate electrode structure

Country Status (1)

Country Link
TW (1) TWI264767B (en)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI453793B (en) * 2006-12-25 2014-09-21 Univ Nagoya Nat Univ Corp A pattern forming method and a method of manufacturing the semiconductor device
TWI776034B (en) * 2018-04-24 2022-09-01 美商格芯(美國)集成電路科技有限公司 Methods, apparatus, and system for reducing leakage current in semiconductor devices

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI453793B (en) * 2006-12-25 2014-09-21 Univ Nagoya Nat Univ Corp A pattern forming method and a method of manufacturing the semiconductor device
TWI776034B (en) * 2018-04-24 2022-09-01 美商格芯(美國)集成電路科技有限公司 Methods, apparatus, and system for reducing leakage current in semiconductor devices

Also Published As

Publication number Publication date
TWI264767B (en) 2006-10-21

Similar Documents

Publication Publication Date Title
TWI260098B (en) A method of trimming a gate electrode structure
US20070111338A1 (en) Method of controlling trimming of a gate electrode structure
TWI831756B (en) Method and apparatus for forming metal film
CN100587923C (en) Selective plasma processing method and plasma processing device
KR102513424B1 (en) Borane mediated dehydrogenation process from silane and alkylsilane species for spacer and hardmask application
JP5229711B2 (en) Pattern forming method and semiconductor device manufacturing method
CN101151721B (en) Method of fabricating insulating layer and device of processing semiconductor device
TW201028494A (en) Methods for depositing tungsten films having low resistivity for gapfill applications
US20130113085A1 (en) Atomic Layer Deposition Of Films Using Precursors Containing Hafnium Or Zirconium
TWI249847B (en) Method of forming ONO-type sidewall with reduced bird's beak
JP2008513977A (en) Unidirectional diffusion of metal silicide in semiconductor devices.
JP2002275631A (en) Method for depositing organosicate layer
KR20190104067A (en) Isotropic Etching of Films Using Atomic Layer Control
TW202016351A (en) Methods for forming a silicon nitride film
JPH11340463A (en) Method for converting semiconductor material, into high melting point metal and mos device fabricated using that method
TW200540976A (en) Method of controlling trimming of a gate electrode structure
US10790188B2 (en) Seamless ruthenium gap fill
Pargon et al. New route for selective etching in remote plasma source: Application to the fabrication of horizontal stacked Si nanowires for gate all around devices
US20230122224A1 (en) Selective deposition of metal oxide by pulsed chemical vapor deposition
TW200423259A (en) Silicide with fine line width and method for producing the same
CN112992669B (en) Semiconductor structure and forming method thereof
JP2006319365A (en) Manufacturing method for semiconductor device
CN116487254A (en) Chemical modification of hard mask film for enhanced etching and selective removal
CN101523574A (en) Plasma oxidizing method, storage medium, and plasma processing apparatus
JP3771841B2 (en) Method and apparatus for etching a gold metal layer using a titanium hard mask

Legal Events

Date Code Title Description
MM4A Annulment or lapse of patent due to non-payment of fees