TWI224862B - Schottky barrier transistor and method of manufacturing the same - Google Patents

Schottky barrier transistor and method of manufacturing the same Download PDF

Info

Publication number
TWI224862B
TWI224862B TW092136989A TW92136989A TWI224862B TW I224862 B TWI224862 B TW I224862B TW 092136989 A TW092136989 A TW 092136989A TW 92136989 A TW92136989 A TW 92136989A TW I224862 B TWI224862 B TW I224862B
Authority
TW
Taiwan
Prior art keywords
gate
layer
manufacturing
item
patent application
Prior art date
Application number
TW092136989A
Other languages
English (en)
Other versions
TW200423400A (en
Inventor
Woo-Seok Cheong
Seong-Jae Lee
Moon-Gyu Jang
Original Assignee
Korea Electronics Telecomm
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Korea Electronics Telecomm filed Critical Korea Electronics Telecomm
Publication of TW200423400A publication Critical patent/TW200423400A/zh
Application granted granted Critical
Publication of TWI224862B publication Critical patent/TWI224862B/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/80Field effect transistors with field effect produced by a PN or other rectifying junction gate, i.e. potential-jump barrier
    • H01L29/812Field effect transistors with field effect produced by a PN or other rectifying junction gate, i.e. potential-jump barrier with a Schottky gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28026Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor
    • H01L21/28114Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor characterised by the sectional shape, e.g. T, inverted-T
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28026Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor
    • H01L21/28123Lithography-related aspects, e.g. sub-lithography lengths; Isolation-related aspects, e.g. to solve problems arising at the crossing with the side of the device isolation; Planarisation aspects
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/665Unipolar field-effect transistors with an insulated gate, i.e. MISFET using self aligned silicidation, i.e. salicide
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66568Lateral single gate silicon transistors
    • H01L29/66643Lateral single gate silicon transistors with source or drain regions formed by a Schottky barrier or a conductor-insulator-semiconductor structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7839Field effect transistors with field effect produced by an insulated gate with Schottky drain or source contact
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/786Thin film transistors, i.e. transistors with a channel being at least partly a thin film
    • H01L29/78651Silicon transistors
    • H01L29/78654Monocrystalline silicon transistors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66568Lateral single gate silicon transistors
    • H01L29/66636Lateral single gate silicon transistors with source or drain recessed by etching or first recessed by etching and then refilled

Landscapes

  • Engineering & Computer Science (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Ceramic Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • Chemical & Material Sciences (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Electrodes Of Semiconductors (AREA)
  • Thin Film Transistor (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)

Description

1224862 五、發明說明(l) 【發明所屬之技術領域】 本發明是有關於-種電晶體及其製 有關於一種在金屬與半導髀夕n^方法且特別疋 摇” SB雷曰鲈,Μ μ ^ Β導體之間形成蕭特基能障(以下簡 稱SB電日日體)的電晶體,及其製造方法。 【先前技術】 半導體元件製造技術目前水平,已達到可以製 小於10 0 nm之短通道電b,。梦而 # 又 加電晶體積集度,;由縮小尺寸來增 M U Μ Γ 問趨。最重要的問題是由於 、、、、i、,以及源極/汲極的摻雜以降低源極/汲極 的電阻時,所導致的短通道效應(SCE)。 一 尤八、離子植入法形成源極/沒極的傳統電晶體Μ造 方法,伴隨產生以下各種問胃。首先,很難調 度。因為在深度與通道方向皆有摻質擴散發 短通道效應。就這一點而言,快速加熱製法(rapid、方止 thermal process ’RTP)、雷射回火(laser annealing)、固相擴散(solid phase diffusi〇n , SPD) ’都被提議來取代離子植入法。然而,對深度小於工〇 ηπι的通道,難以防止短通道效應。第二點,飽和電流將 可避免地被降低。也就是說,元件積集度變高,接= 薄’以及薄片電阻的增加,都將因此降低了飽和電流 三點,必須在高於80(TC之高溫熱處理,進行源極/汲極摻 質植入的活化作用。因此,不能使用金屬閘極。第四點, 接面介面有高的軟錯記比率以及可能發生浮體效應 (floating body effect) ° 心
1224862 五、發明說明(2) _為了解決這些問題,有建議使用蕭特基電晶體製造技 術’其係以金屬矽化物作為源極/汲極的材料。根據這個 技術,因為這些源極/汲極摻雜產生的問題不會發生,可 以大虿地降低源極/汲極的電阻。另外,也能省略高溫熱 處理製程。因此,這技術可以與金屬閘電極的製程相容, ,足元件低消耗能量(low power c〇nsunipti〇n)^^速處理 逑度(rapid processing speed)的需求。 决/Λ蕭,特基電晶體製造方法,是由加熱沉積金屬以產 ^化金屬反應,來形成源極/汲極,之後,以選擇性渴 ==除未反應金屬。石夕化金屬反應與選擇性濕式姓刻 太乎矽化金屬製程。然❿’將蕭特基電晶體當作 =未電子7〇件的興趣最近才提高,蕭特基電晶體 製造製程的最佳化,始終未被破立。 而& :要,效的調整蕭特基能障,其忿響甚有 鉅,同時找出製造極小化元件的最佳製们生貝甚 尤其是,蕭特基電晶體製造方法的— 製程,是移除未反應金屬之選擇性^ 重要且困難的 濕蝕刻的困難纟,是依金屬之型態與^刻。各種選擇性 而使用貝金屬(noble metals)與形成精细 …、 pattern),會增加選擇性濕蝕刻的困、,圖案(a flne丨 蕭特基電晶體製造方法的另一個 X 是移除製程時所造成的蝕刻損害。要且困難的製程, 屬矽化物層(metal silicide Uye 寺基電晶體中,金 性質非常重要。在閘極側壁的間 =矽基底之間的介面 少成期間所產生蝕刻
1224862 五、發明說明(3) ^ ----- 損害’是對金屬石夕化物層與矽基底之間介面性質造成不利 衫響的主要因素。截至目前為止,並沒有有效的方法以解 決此钱刻損害。 【發明内容】 ’其具有理想必電特性 這是因為其可以省略選 本發明提供一種蕭特基電晶體 (electrical characteristics), 擇性濕式蝕刻,並降低蝕刻損害。 本發明也提供一種蕭特基電晶體的製造方法,此方法 能夠省略選擇性濕式蝕刻,並降低蝕刻損害。 依據本發明的目的,本發明提供一種蕭特基電晶體, ,蕭特基電晶體包括一閘極,形成在一基底上且在閘極與 基底之間係形成有一閘極絕緣層(gate insuUting layer)。一間隙壁,形成在閘極的側壁上,並暴露閘極的 上面邊緣。一升高金屬矽化源極/汲極(An elevMed sihcude s〇urce/drain),形成在基底上並鄰接於閘 f : -:晶矽層,形成在間隙壁上,$覆蓋閘 與上面邊緣。 的Λ據Λ發明的再一目’本發明提供一種蕭特基電晶體 :::方法。此方法包括:纟一基底上形成一閘極,並在 ίί二=間形成一閘極絕緣層。之後’在間極的側壁 =-間㈣。再之後’使用選擇㈣成長方&, :極與基!上成長一多晶矽層與一單晶矽4。然後夕 層上沉積一金屬。然後使金屬與多晶“ 早曰曰矽層的矽反應’以形成-自行對準金屬矽化物 iHrm
12891pif.ptd 第10頁 發明說明(4) 性矽成長方《明’在閘極頂上形成-多晶矽層是使用選擇 緣。因此層係覆蓋閉極的上表面與上面邊 於金屬石夕化製程其例如是不會被用 石夕層”低在間隙壁餘刻期間之❹,成長之早晶 易懂:、之ΐ述和其他㈣、特徵和優點能更明顯 說明如下文特舉一較佳實施例,並配合所附圖式,作詳細 【實施方式】 本發實Γ與所附圖式以詳細說明 定於以下所述之實施彳;夕不5,形式來實施’而並非限 露,並能完聲的^ r例。而這貫施例是用來提供完整揭 之型離传绔大:傳達給熟習該項技術者。纟圖式中,元件 義於瞭解,所定 筮1圓β分祕丄不表明圖式中一般所定義之元件。 視圖。請參照第1圖發明一—^佳/ ^ ^ 有石夕晶圓i且有一U〇r,S〇I)晶圓1之表面上。絕緣層上 層、埋入氧化層1()作\堆隔4離^構包括以石夕基底層5為底 -閘™成在、
1224862 五、發明說明(5) 極6 0 a與絕緣層上有石夕晶圓1之間係插入有一閘極絕緣層 30。閘極60a之材質例如是摻入高濃度摻質的多晶矽或金 屬,金屬例如是鎢或鋁。一絕緣材料之間隙壁8 〇 a係形成 在閘極60a的側壁上,並且暴露閘極60a的上面邊緣。 一升高金屬矽化源極/汲極丨30係形成在絕緣層上有石夕 晶圓1上並鄰接於閘極60a,其係藉由在絕緣層上有矽晶圓 1成長一單晶矽層並接著進行矽化反應以形成。間隙壁8〇a 可防止閘極60a與源極/汲極130之間的短路(sh〇rt circui t)問題。 一多晶矽層100係形成在間隙壁8〇a之上方,並覆蓋閘 極60a上表面及上面邊緣。一矽化金屬層12〇&係形成在多· 晶矽層1〇〇之上。在此,源極/汲極13〇與矽化金屬層i2〇a 疋以金屬石夕化物作為材質,例如是始、嫣、鎳、把,或是 欽金屬碎化物。 多晶矽層100係形成在閘極6〇a之頂部,並覆蓋閘極6〇a 上表面及上面邊緣。因此,當於沈積矽化金屬製程之金屬 時,間隙壁80a產生一遮蔽區域“^北〜area),其例如是 個不會被金屬沉積於上之區域。此遮蔽區域可以阻止矽 化金屬層1 2 0 a與源極/汲極丨3 〇之間的連接,且矽化金屬反 應之後,可省略移除殘餘金屬之選擇性濕式蝕刻製程。籲 者明參照第2圖至第11圖所示,其繪示說明本發明一較佳 貝靶例之蕭特基電晶體製造流程的剖視圖。 傳統的石夕基底也是可以,然而,但若考慮以最佳的方 式製造僅有微小遺漏電流之極小化蕭特基電晶體,最好是
1224862
使用絕緣層上有矽晶m。請參考第2ffl,在矽基底 上形成一埋入式氧化層10,並在埋入式氧化層1〇之丄形 一極薄單晶矽層20,以獲得一絕緣層上有矽晶圓i。 請參考第3®,在絕緣層上有石夕晶限之上形成一閉極 絕緣層30,並在閘極絕緣層3〇之上形成一導電層4〇以作為 閘電極。閘極絕緣層3 〇是一氧化層,例如是矽氧化声: 鈦氧化層或是鈕氧化層。使用傳統沉積法可形成閘極;邑緣 層30,例如是化學氣相沉積vap〇r deposition,CVD)、次大氣壓化學氣相沉積法
(sub-atmospheric CVD,SACVD)、低壓化學氣相沉積法 a〇w ptessufe CVD,lpCVD)或是電漿增益型化學氣相沉 積法(plasma enhanced CVD,PECVD)。另外,閘極絕緣層 30了由熱氧化卓晶石夕層2〇來形成一氧化層。導電層是摻 入南濃度摻質的多晶矽層或一金屬層。其中摻入高濃度摻 質的多晶石夕層的形成例如是在低壓化學氣相沉積系統中進 行’其製程溫度例如是500 °C到70 0 t。由於純多晶矽的沉 積並接著進行砷或磷的離子植入,因此,在高溫中的高滚 度摻質的多晶矽層具有導電性。另外,摻質可以在沉積期 間臨場摻雜(in-situ doped)。導電層40是以鎢或是鋁作
為材質。然後,在導電層40上形成一光阻層,接著進行曝 光與顯影之後,即形成一罩幕5 〇,用以圖案化閘極。 使用罩幕50 ’並以反應式離子束餘刻方法(i〇n beam etching ,RIE),蝕刻導電層40,以形成一閘極60。第4 圖顯示為移除罩幕5 0之後的一晶圓結構。
12891pif.ptd 第13頁 1224862 五、發明說明(7) 、絕緣間隙壁的形成是為了防止閘極與源極/汲極之間的 短路’所以絕緣間隙壁對蕭特基電晶體結構的完成是不可 缺少的部份。就這一點而言,在第5圖與第6圖、及第7圖 與第8圖之圖式,係顯示兩種形成間隙壁的方法。請參考 第5圖與第6圖,根據第一種方法,使用低壓化學氣相沉積 法(請參考第5圖)在閘極6〇之上形成一絕緣層,之後, 使用離子束之非等向性的蝕刻方法進行蝕刻,以在閘極6 〇 的側壁上形成一間隙壁7〇a(請參考第6圖)。絕緣層7〇例如 是一。氮化石夕層。在此,氮化矽層是由SiH4與〇3在500 °C至 85 0 °C溫度下反應所形成。絕緣層7〇被蝕刻的深度是與閘丨 極6 0的高度成比例的。然而,因後續製程之考量,最好是 進一步餘刻基底,其例如是單晶矽基底2 〇,其餘刻的厚度 例如是在2 0 0埃至5 0 0埃之間。當然,最好是形成一間隙壁 70a,以便暴露閘極6〇上面邊緣的閘極材質(gate materials)。以溫性濕式蝕刻(mi id wet etching )形成 間隙壁7 0 a之後,或是後面將要描述的選擇性矽成長的初 期(initial stage )的臨場清洗(in — situ cleaning )之 後’將會使閘極之上面邊緣暴露出來。 請參考第7圖與第8圖,根據第二種方法,閘極6〇經熱 氧化法,以形成一熱氧化層(請參考第7圖),然後,用離籲 子束蝕刻法,以形成一間隙壁80a(請參考第8圖)。雖然在 第7圖與第8圖顯示熱氧化是一個高溫製程,但其優點是可 以形成一個寬度較乍的閘極6 〇 a。類似上面之第一種方 法,熱氧化層80被蝕刻的深度是與閘極6〇的高度成比例
1224862 五、發明說明(8) 的。然而,最好是進一步蝕刻單晶矽層2〇,其蝕 例如是在2 0。埃至500埃之間。同樣地,最好是形 壁8 0a,以暴露閘極6〇a上面邊緣的閘極材質。在以况其、 式蝕刻形成間隙壁80a之後,或是在選擇性矽成長的^期… 的臨場清洗製程之後,可使閘極之上面邊緣暴露出來。’ 第9圖至第11圖顯示第8圖之後的製程。然而, 項技術者應知第9圖至第11圖之製程,也可以 β圄 製程之後。 &牧杜弟b圖 第9圖至第11圖是依序說明本發明非常重要的 形成間隙壁8〇a之後,在閘極6〇a之上形成一多晶石夕層在 100,同時,在晶圓區域之上形成—單晶碎層11G,^處係 預定使用選擇性矽成長方法以形成源極/汲極,如第9圖所 示。多晶矽層100所形成之厚度例如為200埃至5〇()埃之 間。如上所述,在第7圖的間隙壁8〇a的形成期間,閘極 60a上2面邊緣的閘極材質並未被暴露。在進行選擇性矽成 長之刖,先進行溫性濕式蝕刻或是臨場清洗製程,以 出閘極6 0 a上面邊緣。 在低壓化學氣相沉積系統中執行選擇性矽成長之後, 進行臨場π洗製程的札烘烤(if2 —baking),以暴露閘極6〇a 上面邊緣。此時,&的烘烤溫度最好是在7〇〇 t至9〇〇 t, 其I流量在〇·5至50 slm之間,壓力在〇 ^至^ T〇rr的低 壓,所需時間在60至30 0秒完成。之後,使用二氣矽烷 (DCS,SiC12H2)、HC1,與112作為製程氣體。二氣矽烷流量 為〇·1至2 slm,HC1流量為〇至3 slm, &流量為1〇至 國 12891pif.ptd 第15頁 1224862 五、發明說明(9) 150 slm之間,製程溫度為78(TC至930 °C,而製程壓力為 20至250 Torr。尤其,當製程溫度降低時,其製程塵力最 好也跟著降低。 當在一超真空化學氣相沉積(ultra high vacuum-chemica1 vapor deposition , UHV-CVD)系統 中,進行選擇性矽成長的同時,場清洗製程也在超真空化 學氣相沉積系統中完成,而不是在低壓化學沉積系2中完 成。進行臨場清洗之真空清洗,可暴露閘極6〇a上面邊兀 緣。在此,真空清洗溫度是在650 °C至800 °C,超真空si 〇 Torr或更低,所需時間為60秒至30 0秒。選擇性石夕成"長製 程所使用之氣體,例如是SiA或Sifi^、(:12與1!2 cSi2H6或 S1H4的流量例如是1至10 SCCD1,Cl2的流量例如是〇至5 seem ’ I的流速例如是〇至2〇 sccin。製程溫度例如是在5〇〇 °C至75 0 °C,製程壓力例如是〇. 1至50 mTorr。 當選擇性石夕成長於上述製程狀態中進行時,閘極3〇&的 侧表面(side surfaces)之上面部份所成長多晶矽層1〇〇的 厚度’是單晶矽層11 〇的1 · 5至2倍,其係大於單晶矽層 110。這是因為,利用摻入高濃度摻質的多晶矽或是^屬 作為閘極之材質,是有益於選擇性矽成長。因此,在多晶 矽層100的底面,會形成一尖負斜坡(sharp negative slope)102,如第9圖所示。 在選擇性矽成長之後,接著沉積一蕭特基能障金屬 100,如第10圖所示。由於多晶矽層1〇〇的負斜坡,間矽壁 產生一遮蔽區域122 ’其係為蕭特基能障金屬12〇不會沉積
第16頁 1224862 五、發明說明(ίο) 於此之區域。蕭特基能障金屬丨2 〇,例如是鈷、鎢、鎳、 把,或是鈦。蕭特基能障金屬丨2 〇可以使用物理沉積法, 例如是濺鑛、蒸鑛、分子束蠢晶(m〇lecular beam epitaxy)、離子化群束沉積法(i〇nized cluster beam deposition ’ICP) ’或是雷射誘導物理沉積法(iaser induced physical deposition)。蕭特基能障金屬 120 之 沉積厚度例如是5 0埃至5 〇 〇埃。 如第11圖所示,當加熱處理製程完成時,便會在閘極 6 0a上面形成一矽化金屬層12〇3。同時,在絕緣層上有矽 曰曰圓1的表面上並鄰接於閘極之處,會形成以矽化金屬j 作為材質的一升咼源極/汲極(elevated s^urce/drairOlSO。其中矽化金屬的形成是在“ο 〇c至6〇() C之熱製程爐管中進行〇 · 5至2小時的時間。也可選擇使用 陕速加熱製(rapid thermal process)系統。在這個方 法,矽化金屬的形成是在8〇〇 t至12〇〇 〇c熱處理中進 至3 0秒的時間。 即使蕭特基能障金屬丨2 〇殘留在某些部分的間隙壁 8〇a,閘極60a與源極/汲極13〇之間可藉由此遮蔽區域122 也就是,1以省略移除未反應金屬的選擇性 “式蝕刻製程。此外’單晶矽層11 〇的成長可降低在間隙 壁jOa形成期間的银刻損害,因此,能改良元件的電特性 (electrical characteristics)。 纆石Λ12且圖,其繪示依照本發明一實驗之實施例,經過選 成長之晶圓的掃描式電子顯微鏡(scanning ““忖⑽
1224862 五、發明說明(11) microscope ,SEM)圖像。 计去如勺上紅所 /田答述’根據本發明 < 較佳實施{列’離子植入法 = ϊ特基電晶體的製造上,與離子植入有關的各 明nm: 1可以預期生產成本會降低。根據本發 择作叮二j日日_疋根據量子力學(quantum mechanics) 麵作’可有效地應用於量子元件(quantuffl。 方法,V以在下極優小:蕭特基電晶體的製造之選擇性梦成長 之一首多先曰’石Λ以使合製Λ最佳化。從閑極的上面邊緣所長成 金屬沉積於上。因此,可以省“。1此£域不會有 濕式餘刻。另外,在石夕美底2除未反應金屬之選擇性 損?, 使用貝金屬或是形成精細圖荦時 卜虽 濕式钕刻。缺巾,太蘇日更無法應用傳統選擇性 或是形成精:細圖案;:仍=用=是使用貴金屬 助/厂,保證簡化製造製程與改良元件特性,因此,古 助製&極小化、高性能的半導體元件。 有 限定發如上’然'其並非用以‘ :範圍内,當可作些許之更動與潤飾,因此本發C申’ 範圍當視後附之申請專利範圍所界定者為準。 保濩
1224862
第1圖是根據本發明之實施例的蕭特基電晶體的剖面 製造流程剖面圖。。是根據本 第1 2圖是根據本發明之叙 基底的掃描式電子顯 ^施例 【圖式標示說明】 、兄圖像。 經 歷過選擇矽成長 1 :絕緣層上有矽晶圓 5 :矽基底層 10 ^ 8 0 :氧化層 20、 11 0 :單晶矽層 30、 7 0 :絕緣層 40 : 導電層 50 : 罩幕 60、 60a :閘極 70 > 8 0 a :間隙壁 100 •多晶硬層 102 :尖負斜坡 120 :蕭特基能障金屬 120a :矽化金屬層 122 :遮蔽區域 130 :源極/汲極

Claims (1)

1224862 六、申請專利範圍 1 · 一種蕭特基能障電晶體,包括: 一閘極,形成在一基底上,且在該閘極與該基底之間 係形成有一閘極絕緣層; 一間隙壁,形成在該閘極的側壁上,且該間隙壁係暴 路該閘極的上面邊緣; 一升高矽化金屬源極/汲極,形成在該基底上並鄰接於 該閘極;以及 一多晶矽層,形成在該間隙壁之上方,並覆蓋該閘極 的上表面與上面邊緣。 2 ·如申請專利範圍第1項所述之蕭特基能障電晶體,更孀· 包括一矽化物層,形成在該多晶矽層上。 3 ·如申請專利範圍第1項所述之蕭特基能障電晶體,其 中該閘極之材質包括摻入高濃度摻質的多晶矽或金屬。 4·如申請專利範圍第1項所述之蕭特基能障電晶體,其 中該基底是一在絕緣層上有矽(S〇 1 )晶圓。 5· —種蕭特基能障電晶體的製造方法,包括: 在一基底上形成一閘極,並在該基底與該閘極之間形 成一閘極絕緣層; 在該閘極的側壁上形成一間隙壁; 使用一選擇性矽成長方法,分別在該閘極與該基底上 成長一多晶石夕層與一單晶石夕層; 在該單晶矽層與該多晶矽層上沉積一金屬;以及 金屬與該多晶矽層、該單晶矽層的矽反應,以形成一 自行對準金屬石夕化物層。
12891pif.ptd 第20 第21頁 1224862 六、申請專利範圍 6 ·如申請專利範圍第5項所述之蕭特基能障電晶體的製 造方法,其中藉由該多晶矽層,該間隙壁係產生一區域, 且該金屬不會沈積於該區域。 7 ·如申請專利範圍第6項所述之蕭特基能卩早電曰曰體的製 造方法,其中在該閘極上之該石夕化金屬層與該基底上該石夕 化金屬層係藉由該間隙壁的該區:域而電性隔離,其中該區 域並不會沉積該金屬。 8 ·如申請專利範圍第5項所述之蕭特基能障電晶體的製 ^方法’其中該閘極之材質包括務入局濃度換質之多晶碎 或金屬。 9 ·如申請專利範圍第5項所述之蕭特基能障電晶體的製 造方法,其中形成該間隙壁的方法包括: 在該閘極上沉積一絕緣層;以及 非等向性蝕刻該絕緣層。 1 〇.如申請專利範圍第5項所述之蕭特基能障電晶體的 製造方法,其中形成該間隙壁的方法包括: 熱氧化該閘極以在該閘極周圍形成一氧化層;以及 非等向性蝕刻該氧化層。 .Π ·如申請專利範圍第丨〇項所述之蕭特基能障電晶體的 製造方法,其中在該蝕刻期間,該基底被蝕刻之深度為 200埃至5〇〇埃之間。 4 1 2·如申請專利範圍第丨丨項所述之蕭特基能障電晶體的 |製造方法,更包括使用溫式濕式蝕刻製程以暴露出該閘極 的上面邊緣。 12891pif.ptd
1224862 六、申請專利範圍 1 3·如申請專利範圍第丨丨項所述之蕭特基能障電晶體的 製造方法’更包括在選擇性石夕成長的初期’使用一臨場清 洗製程,以暴露出該閘極之上面邊緣。 1 4 ·如申請專利範圍第1 3項所述之蕭特基能障電晶體的 製造方法,其中該臨場清洗製程是在低壓化學氡相沉積系 統中進行,其溫度是在7 〇 〇 °c至9 0 0 °C,H2流量為0 · 5至5 0 slm之間,壓力在ojsio T〇rr之間,所需時間在60至3〇〇 秒完成。 1 5 ·如申請專利範圍第丨4項所述之蕭特基能障電晶體的 製造方法’其中在該低壓化學氣相沉積系統中完成該多晶 石夕層與該單晶矽層的成長,是使用二氯矽烷(DCS,SiCl2Η 2)、HC1,與112作為製程氣體,二氣矽烷的流量為〇.;[至2 slm,HC1的流量為〇至3 sim,Η2的流量為10至150 slm,製 程溫度為780 °C至930 °C之間,而製程壓力為20至250 Torr ° 1 6·如申請專利範圍第丨5項所述之蕭特基能障電晶體的 製造方法,其中當該製程溫度降低時,其該製程壓力亦降 低。 1 7 ·如申請專利範圍第丨3項所述之蕭特基能障電晶體的 製造方法,其中該臨場清洗製程是在一超真空化學氣相沉馨 積法中進行,其溫度是650 °C至800 °C,超真空壓力是1〇 Torr或更低,所需時間6〇至30 0秒。 1 8.如申請專利範圍第丨7項所述之蕭特基能障電晶體的 製造方法’其中該多晶石夕層與該單晶石夕層的成長是在該超
12891pif.ptd 第22頁 1224862 六、申請專利範圍 真空化學氣相沉積系統中進行,其係以Si2H6或SiH4、C1 2、Η2作為製程氣體,以七*siH4的流量是1至10 sccm, Cl2的流1為〇至5 ccm,H2的流量為〇至20 seem,製程溫 度為500 c至750 °C,製程壓力為0·1至50 mTorr。 q ^ 9 ·如申請專利範圍第5項所述之蕭特基能障電晶體的 製造方法,其中形成在該閘極上之該多晶矽層的厚 200埃至5〇〇埃。 ^ 製、止方,申%專利範圍第5項所述之蕭特基能障電晶體 1 法’其中在該金屬的沉積厚度為5〇埃至5〇〇埃。 製造方t申Ϊ專利範圍第5項所述之蕭特基能障電晶體 ^600 t ^ ^ ^ ^ ^ ^ ^300 22 …1轾爐官中進行0· 5至2小時的時間。 製造方法圍;5項所述之蕭特基能障電晶體 1 200 t之快速加::李::屬矽化層的形成是在800 熱衣私糸統中進行ί至30秒的時間。
TW092136989A 2003-04-16 2003-12-26 Schottky barrier transistor and method of manufacturing the same TWI224862B (en)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
KR10-2003-0023969A KR100508548B1 (ko) 2003-04-16 2003-04-16 쇼트키 장벽 트랜지스터 및 그 제조방법

Publications (2)

Publication Number Publication Date
TW200423400A TW200423400A (en) 2004-11-01
TWI224862B true TWI224862B (en) 2004-12-01

Family

ID=32906604

Family Applications (1)

Application Number Title Priority Date Filing Date
TW092136989A TWI224862B (en) 2003-04-16 2003-12-26 Schottky barrier transistor and method of manufacturing the same

Country Status (7)

Country Link
US (1) US7005356B2 (zh)
EP (1) EP1469525B1 (zh)
JP (1) JP4104541B2 (zh)
KR (1) KR100508548B1 (zh)
CN (1) CN1315196C (zh)
AT (1) ATE511214T1 (zh)
TW (1) TWI224862B (zh)

Families Citing this family (29)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7195985B2 (en) * 2005-01-04 2007-03-27 Intel Corporation CMOS transistor junction regions formed by a CVD etching and deposition sequence
CN100389501C (zh) * 2005-12-08 2008-05-21 北京大学 一种肖特基势垒mos晶体管及其制作方法
KR100790863B1 (ko) * 2005-12-28 2008-01-03 삼성전자주식회사 나노 와이어 제조 방법
US7799640B2 (en) * 2006-09-28 2010-09-21 Semiconductor Components Industries, Llc Method of forming a semiconductor device having trench charge compensation regions
KR100789922B1 (ko) * 2006-11-29 2008-01-02 한국전자통신연구원 반도체 소자의 제조방법 및 이를 통해 제조된 반도체 소자
KR100883350B1 (ko) * 2006-12-04 2009-02-11 한국전자통신연구원 쇼트키 장벽 박막 트랜지스터 제조방법
CN101866953B (zh) * 2010-05-26 2012-08-22 清华大学 低肖特基势垒半导体结构及其形成方法
CN102593174B (zh) * 2011-01-18 2015-08-05 中国科学院微电子研究所 半导体器件及其制造方法
CN102593173B (zh) * 2011-01-18 2015-08-05 中国科学院微电子研究所 半导体器件及其制造方法
US8994123B2 (en) 2011-08-22 2015-03-31 Gold Standard Simulations Ltd. Variation resistant metal-oxide-semiconductor field effect transistor (MOSFET)
US9373684B2 (en) * 2012-03-20 2016-06-21 Semiwise Limited Method of manufacturing variation resistant metal-oxide-semiconductor field effect transistor (MOSFET)
CN103377943A (zh) * 2012-04-29 2013-10-30 中国科学院微电子研究所 半导体器件制造方法
US9190485B2 (en) 2012-07-28 2015-11-17 Gold Standard Simulations Ltd. Fluctuation resistant FDSOI transistor with implanted subchannel
US9263568B2 (en) 2012-07-28 2016-02-16 Semiwise Limited Fluctuation resistant low access resistance fully depleted SOI transistor with improved channel thickness control and reduced access resistance
US9269804B2 (en) 2012-07-28 2016-02-23 Semiwise Limited Gate recessed FDSOI transistor with sandwich of active and etch control layers
CN102818516B (zh) * 2012-08-30 2015-03-11 无锡永阳电子科技有限公司 耐高温硅应变计传感器芯片及其制作方法
KR20140067600A (ko) 2012-11-27 2014-06-05 삼성디스플레이 주식회사 스위칭 소자, 이를 포함하는 표시 기판 및 이의 제조 방법
US9209298B2 (en) 2013-03-08 2015-12-08 Taiwan Semiconductor Manufacturing Company, Ltd. Metal-oxide-semiconductor field-effect transistor with extended gate dielectric layer
US9412859B2 (en) * 2013-03-11 2016-08-09 Globalfoundries Inc. Contact geometry having a gate silicon length decoupled from a transistor length
CN104103503B (zh) * 2013-04-02 2017-12-12 无锡华润上华科技有限公司 半导体器件栅氧化层的形成方法
US9012276B2 (en) 2013-07-05 2015-04-21 Gold Standard Simulations Ltd. Variation resistant MOSFETs with superior epitaxial properties
CN103745929A (zh) * 2013-12-24 2014-04-23 上海新傲科技股份有限公司 肖特基势垒mosfet的制备方法
US9087689B1 (en) 2014-07-11 2015-07-21 Inoso, Llc Method of forming a stacked low temperature transistor and related devices
JP2016122678A (ja) * 2014-12-24 2016-07-07 猛英 白土 半導体装置及びその製造方法
US11049939B2 (en) 2015-08-03 2021-06-29 Semiwise Limited Reduced local threshold voltage variation MOSFET using multiple layers of epi for improved device operation
WO2018004680A1 (en) 2016-07-01 2018-01-04 Intel Corporation Self-aligned gate edge trigate and finfet devices
US10468486B2 (en) 2017-10-30 2019-11-05 Taiwan Semiconductor Manufacturing Company Ltd. SOI substrate, semiconductor device and method for manufacturing the same
CN111834467B (zh) * 2019-04-22 2021-12-03 复旦大学 一种与Si工艺兼容的NixSiy/Ga2O3肖特基二极管及其制备方法
US11373696B1 (en) 2021-02-19 2022-06-28 Nif/T, Llc FFT-dram

Family Cites Families (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CH461646A (de) * 1967-04-18 1968-08-31 Ibm Feld-Effekt-Transistor und Verfahren zu seiner Herstellung
US4665414A (en) * 1982-07-23 1987-05-12 American Telephone And Telegraph Company, At&T Bell Laboratories Schottky-barrier MOS devices
US5159416A (en) * 1990-04-27 1992-10-27 Nec Corporation Thin-film-transistor having schottky barrier
JPH06177148A (ja) * 1992-12-08 1994-06-24 Sony Corp 絶縁ゲート型電界効果トランジスタの製法
JP3042444B2 (ja) 1996-12-27 2000-05-15 日本電気株式会社 半導体装置の製造方法
US6159781A (en) * 1998-10-01 2000-12-12 Chartered Semiconductor Manufacturing, Ltd. Way to fabricate the self-aligned T-shape gate to reduce gate resistivity
US6169017B1 (en) * 1999-11-23 2001-01-02 United Silicon Incorporated Method to increase contact area
US6303479B1 (en) * 1999-12-16 2001-10-16 Spinnaker Semiconductor, Inc. Method of manufacturing a short-channel FET with Schottky-barrier source and drain contacts
US20030235936A1 (en) * 1999-12-16 2003-12-25 Snyder John P. Schottky barrier CMOS device and method
KR100318311B1 (ko) 2000-01-12 2001-12-22 박종섭 반도체장치의 실리사이드층 형성방법
US6974737B2 (en) * 2002-05-16 2005-12-13 Spinnaker Semiconductor, Inc. Schottky barrier CMOS fabrication method
US6833556B2 (en) * 2002-08-12 2004-12-21 Acorn Technologies, Inc. Insulated gate field effect transistor having passivated schottky barriers to the channel

Also Published As

Publication number Publication date
CN1538531A (zh) 2004-10-20
TW200423400A (en) 2004-11-01
KR20040090063A (ko) 2004-10-22
JP2004319963A (ja) 2004-11-11
EP1469525B1 (en) 2011-05-25
US7005356B2 (en) 2006-02-28
EP1469525A2 (en) 2004-10-20
EP1469525A3 (en) 2007-12-05
KR100508548B1 (ko) 2005-08-17
JP4104541B2 (ja) 2008-06-18
CN1315196C (zh) 2007-05-09
ATE511214T1 (de) 2011-06-15
US20040206980A1 (en) 2004-10-21

Similar Documents

Publication Publication Date Title
TWI224862B (en) Schottky barrier transistor and method of manufacturing the same
TWI689971B (zh) 使用n型摻雜的選擇性磊晶生長以在n型金氧半導體鰭式電晶體中形成非直視性的源極汲極延伸部分
KR101054057B1 (ko) 니켈 게르마노실리사이드 게이트를 구비한 mosfet과 그 형성 방법
TWI255007B (en) Method of fabricating a semiconductor device having reduced contact resistance
TWI298948B (en) Pmos transistor strain optimization with raised junction regions
US6902991B2 (en) Semiconductor device having a thick strained silicon layer and method of its formation
TW445646B (en) Fabrication method of semiconductor device using selective epitaxial growth
TWI431723B (zh) 經接觸窗形成於源極/汲極上之自我對準矽化物
TW200536121A (en) Semiconductor device having a laterally modulated gate workfunction and method of fabrication
JP2006351581A (ja) 半導体装置の製造方法
TW200843109A (en) Fin field-effect transistor
WO2012055143A1 (zh) 晶体管及其制造方法
JP2000077658A (ja) 半導体装置の製造方法
JP2009524260A (ja) エピタキシャル成長したソース・ドレインに選択的に堆積させたキャッピング層の構造および製造方法
JPH10223889A (ja) Misトランジスタおよびその製造方法
TWI496221B (zh) 半導體結構與鍺結構
JP2006228859A (ja) 半導体装置およびその製造方法
JPH10223893A (ja) シリサイド層形成方法および半導体集積回路
KR100564416B1 (ko) 반도체소자의 살리사이드층 형성방법
JP2004221115A (ja) 半導体装置およびその製造方法
JPWO2008139815A1 (ja) 半導体装置及びその製造方法
JPS6362379A (ja) 半導体装置の製造方法
KR100620235B1 (ko) 타이타늄 실리사이드 제조 방법
TW436936B (en) Semiconductor device having selective epitaxy growth layer
TW469569B (en) Method for manufacturing low-resistance polysilicon/metal gate structure

Legal Events

Date Code Title Description
MM4A Annulment or lapse of patent due to non-payment of fees