TW554399B - Pedestal with integral shield - Google Patents

Pedestal with integral shield Download PDF

Info

Publication number
TW554399B
TW554399B TW091118053A TW91118053A TW554399B TW 554399 B TW554399 B TW 554399B TW 091118053 A TW091118053 A TW 091118053A TW 91118053 A TW91118053 A TW 91118053A TW 554399 B TW554399 B TW 554399B
Authority
TW
Taiwan
Prior art keywords
scope
patent application
item
shield
lip
Prior art date
Application number
TW091118053A
Other languages
English (en)
Inventor
Karl Brown
Vineet Mehta
See-Eng Phan
Semyon Sherstinsky
Allen Lau
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Application granted granted Critical
Publication of TW554399B publication Critical patent/TW554399B/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67063Apparatus for fluid treatment for etching
    • H01L21/67069Apparatus for fluid treatment for etching for drying etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/321Radio frequency generated discharge the radio frequency energy being inductively coupled to the plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32623Mechanical discharge control means

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Plasma & Fusion (AREA)
  • Analytical Chemistry (AREA)
  • Chemical & Material Sciences (AREA)
  • General Physics & Mathematics (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Drying Of Semiconductors (AREA)
  • Plasma Technology (AREA)

Description

554399 A7 B7 五、發明説明() 發明領璏: 本發明之具體實施例廣義上關於一種在半導體處理 室中具有一屏蔽供支承基板的座檯。 登明背景: 在半導體基板製程中,朝日益縮小外形尺寸與線寬度 發展之趨勢,已達成能在半導體工件或基板上更精確地進 行光罩、蝕刻及沉積材料之優異能力。.要獲得小於0.25 微米之尺寸,電漿蝕刻具有其特殊之重要性。 通常,蝕刻之達成係藉由施加射頻能量至一有工作氣 體供應之低壓區域,該區域圍繞由支撐構件支撐之基板。 產生之電場在處理區域中產生了一反應區,激發該工作氣 體成為電漿。該支撐構件經偏壓以吸引電漿中之離子朝向 其支撐之基板,離子即朝向鄰近該基板的一電漿邊界層遷 移而後加速離開該邊界層。加速之離子產生由基板表面上 移除或蝕刻材料所需之能量。由於該加速離子也會蝕刻在 處理室中其它之物件,因此重要的是能將電漿侷限在基板 上之處理區域内。 第1圖顯示一供用於侷限電漿之代表性處理室100。 處理室100包括内部具有可垂直移動之支撐構件1〇4的一 基板室本體102。支撐構件1〇4大體上包括一或多數個用 於偏壓該基板之電極《室本體丨〇2大體上包括一上蓋 106、一底部1〇8及一側壁11〇。線圈112經置放於靠上蓋 106處並耦接至一能量來源114〇 一圓環狀屏蔽116係耦 第4頁 本紙張尺度適用中國國家標準(CNS)A4規格(210X297公爱) —.........^: (請先閲讀背面之注意事項再填寫本頁) 訂· 線_ 經濟部智慧財產局員工消費合作社印製 554399 經濟部智慧財產局員工消費合作社印製 A7 B7 五、發明說明() 接至側壁110或上蓋106,並圍繞支撐構件1〇4。當支撐 構件104係在下方位置時,一覆蓋環118懸掛在屏蔽116 的一 J形部位120。 當支撐構件104昇高至如第1圖所示之處理位置時, 支撐構件的四周接觸覆蓋環118,將覆蓋環118昇起離開 屏蔽116。由於覆蓋環與屏蔽116之J形部位120維 持分離而產生一迂迴通道或間隙,在由支撐構件1〇4及上 蓋106間限定之處理區丨22中所形成之電漿並不遷移至位 於支撐構件104之下方區124,在該區離開電漿之離子可 月包會侵钮鄰近之處理室組件。 儘管以支撐構件提升覆蓋環之用法已成功地商品 化’在處理室中使用陶瓷支撐構件與覆蓋環之用法大體上 並非較佳。一般而言,每當該陶瓷支撐構件上昇至處理位 置’覆蓋環將碰撞陶瓷支撐構件。在處理許多基板之製程 中’覆蓋環重複撞擊支撐構件係不合乎需求的,因為陶瓷 脆弱本質《該陶瓷支撐構件在重複撞擊下常會受損及可能 有碎屑、破裂或產生微粒。此導致提早更換陶瓷支撐構件 及造成不良之製程及增加缺陷頻率。 因此需求在處理室中用於在陶瓷支撐構件上支承基 板的一種改善方法及設備。 I明目的及概怵: 在本發明一特點中,提供一用以耦接至基板支撐構件 的下屏蔽。在一具體實施例中,下屏蔽包括一中間部位及 第頂 本紙張尺度適用中國國家標準(CNS)A4規格(210X297公釐) (請先閲讀背面之注意事項再填寫本頁)
經濟部智慧財產局員工消費合作社印製 554399 五、發明説明() 2由四周延伸之唇緣。該中間部位包括一具有在開口側壁 叹置一溝槽的開口。該唇緣係用以與一置於處理室之上屏 蔽有介面,以形成一迂迴間隙而避免電漿遷移至下屏蔽之 下。 在本發明另一特點中,提供用於支承基板的一支撐構 件。在一具體實施例中,用於支承一基板的一支撐構件包 括一耦接至一下屏蔽的本體。該本體具有一上表面及一下 表面。該上表面係用以支承該基板。該下屏蔽具有一中間 部位及一唇緣。該唇緣係置於朝本體徑向之外緣處,並向 上穴出朝由上表面限定的一平面。該唇緣係與本體具有一 間隔。該下屏蔽可避免電漿遷移至支撐構件下方。在一具 體實施例中,該下屏蔽在-處理室中提供一射頻接地返回 路徑。 在本發明另一特點中,提供一用於處理一基板的一處 理室。在一具體實施例中,該處理室包括一具有一圓環狀 之上屏蔽及置於其中的支撐構件。該室本體包括限定一内 部空間的-底部、側壁及上蓋。該上屏蔽係置於該上蓋下 方之内部空間内。該支撐構件係置於内部空間内且具有一 本體耦接至一下屏蔽。該本體具有一上表面及一下表面。 該上表面係用以支承該基板。該下表面具有_中間部位及 一唇緣。該唇緣係置於朝本體徑向之外緣處,及向上突出 朝由該第一表面限定的一平面。該唇緣係與本體具有一間 隔。該唇緣及上屏蔽實質上可避免電漿遷移至支撐構件下 方0 第6頁 本紙張尺度適用中國國家標準(CNS)A4規格(2】〇χ 297公楚) (請先閲讀背面之注意事項再填寫本頁)
554399 A7 __ B7 五、發明説明() 凰A簡單說明: 為使達成上述本發明特徵、優勢及目的之方法能更令 人詳加明暸,可參照以下附圖所示之具體實施例加以簡要 綜整以更特別地說明本發明。 應注意,隨附圖式僅示範本發明典型具體實施例,因 此並非視為對其範圍之限制,因為本發明可納入其它等同 之有效具體實施例。 第1圖係先前技藝之示範處理室之剖面圖; 第2圖係一處理室的一具體實施例之剖面圖,具有一下屏 蔽耦接至置於一處理部位的一支撐構件; 第3圖係第2圖之下屏蔽之上視立體圖; 第4圖係第2圖之下屏蔽之底視立體圖; 第5圖係第2圖之屏蔽沿剖面線5-5的部份剖面圖;及 第6圖係第2圖之處理室的剖面圖,其支撐構件係在—轉 移位置。 為便於暸解,在可能情況下,經使用的相同參考號碼 代表各圖中相同之元件。 圖號對照說明= (請先閲讀背面之注意事項再填寫本頁) 、\叮_ 線- 經濟部智慧財產局員工消費合作社印$ 100 處理室 102 室本體 104 座檯 106 上蓋 108 底部 110 側壁 112 線圈 114 能量來源 116 t 屏蔽 118 覆蓋環 f 第7頁 本紙張尺度適用中國國家標準(CNS)A4規格(210X 297公釐) 554399 A7 B7 五、發明説明() 經濟部智慧財產局員工消費合作社印製 120 J形部位 122 處理區 124 區域 200 室 202 室本體 204 座檯 206 處理區 208 射頻能量來源 210 線圈 212 偏壓能量來源 214 匹配電路 216 側壁 218 底部 220 上蓋 222 端口 224 基板 226 間隙閥 228 匹配電路 230 氣體來源 232 進口 234 排放口 236 節流閥 238 泵 240 上屏蔽 242 長條 244 本體 246 嵌件 248 下屏蔽 250 夾板 252 波紋管 254 上表面 256 下表面 258 凹處 260 支撐面 262 中間部位 264 上蓋 266 固定件 268 螺孔 270 平面 272 軸 274 導電體 276 提升機構 278 固定件 282 導電構件 284 溝槽 286 介電構件 288 提升面 290 提升銷 第8頁 (請先閲讀背面之注意事項再填寫本頁) 訂· 線 本紙張尺度適用中國國家標準(CNS)A4規格(210X297公釐) 554399 302 提升銷孔 402 裝設環 406 缺口 412 内孔 416 側壁 經濟部智慧財產局員工消費合作社印製 A7 B7 五、發明説明() 292 間隙 3〇4 裝設孔 4〇4 裝設面 41〇 下表面 414 突出轂 5〇2 埋頭銷 曼明詳細說明: 廣義上,本發明提供在半導體處理室中用於在一陶i 座檯上支承基板的一種設備及方法。在本發明另一 中’提供一用於具有一射頻返回路徑之設備及方法。雖然 本發明係參考前潔淨室之具體實施例而加以詳述,本發明 經得課可用在使用一陶瓷基板支撐座檯或需求一射頻直 接返回的其它處理室中。 第2圖顯示一具體實施例之一錢射餘刻處理室2〇〇的 剖面圖。該室200係一雙頻蝕刻處理室,如美國加州聖塔 卡萊那應用材料(Applied Materials)公司所出售之pre_ Clean II⑧處理室。然而,其它處理室也可適用於本發明。 大體上,該室200至少包含一室本體202、一置於該室200 之處理區206内的基板支撐構件204、一連接至室本體202 外部之電感線圈210的射頻能量來源208,及一經由一匹 配電路214連接至基板支撐構件204的能量來源212。 該室本體202包括側壁216、一底部218及一上蓋 220。一進出端口 222大體上置於侧壁216内以容許基板 第9頁 本紙張尺度適用中國國家標準(CNS)A4規格(210X297公釐) (請先閲讀背面之注意事項再填寫本頁}
554399 A7 B7 五、發明説明() 224由該室200進入及移出。該端口視需要可在處理時, 藉由一間隙(slit)閥226隔離該處理區206。一轉移自動控 制裝置(未顯示)係用以經端口 222傳送基板,以便將基板 224置放在基板支撐構件204上或由其擷取之。一有利於 使用之間隙閥係揭示於1993年7月13日頒予Tepman等 之美國專利5,226,632中,其係在此以引用方式併入本 文。 在一具體實施例中,上蓋220係位在處理區206上方 的一石英圓蓋,且置於側壁216上。電感線圈210上大體 上圍置於上蓋220四周且經由一匹配電路228連接至射頻 能量來源208。該射頻能量來源208在處理過程中感應耦 合能量至由供應至處理區206的工作氣體所形成之電漿。 該線圈210可如第2圖所示垂直地堆疊在上蓋220外部離 圓蓋等距離處,或依其它設置方式。 一氣源230係耦接至該室200内的一氣體進口 232 , 以便在處理時引導處理氣體進入該室2〇〇之處理區2〇6。 一與處理區206有流體聯通關係之氣體排放口 234,可在 處理前及後供該室200之氣體排出。一節流閥236及一真 空泵238耦接至該排放口 234,可在處理時維持該室2〇〇 内處理區206在一預定壓力。 一圓環狀上屏蔽240大體上設置於該室本體2〇2内而 圍繞處理區206。上屏蔽240通常係耦接至上蓋22〇或侧 壁216。上屏蔽240係屬於可更換之‘‘處理套件,,之零件, 當處理過若干次基板後即可加以更換。上屏蔽240通常至 第10頁 本紙張尺度適用中國國家標準(CNS)A4規格(210X297公釐) (請先閲讀背面之注意事項再填寫本頁) 訂· 線_ 經濟部智慧財產局員工消費合作社印製 A7
554399 五、發明説明() 〉、包含或披覆一導電材料。在一具體實施例中,上屏蔽240 係由鋁所製成且其第一端294電性耦接至側壁216,及向 内延伸至一圓柱部位296而後終止在其第二端298。 一彈性導電長條242係電性耦接至上屏蔽24〇及基板 支撐構件204。導電長條242通常係由一導電及韌性材料 組成❺在一具體實施例中,長條242係由鈹銅組成。其它 彈性、導電材料亦可使用。長條242係設置以容許基板支 撐構件204在該室200内垂直移動。 在一具體實施例中,基板支撐構件2〇4至少包含一本 體244、一嵌件246、一下屏蔽248、一夾板250、一波紋 管(bell〇w)252及一軸272❶該本體244大體上係圓環狀且 通常至少包含一介電材料,例如陶瓷或石英。本體244通 常至少包含一上表面254及一下表面256。上表面254之 方位係朝向上蓋220。上表面254通常包括一形成於其中 之凹處258’以容置該喪件246之一部份。 嵌件246通常包括一頂面260,其水平延伸之高度比 本體244之上表面254稍高,且支撐基板224於其上。視 需要頂面260及(或)上表面254可塗佈或覆蓋一介電材料 2 86。嵌件246連接至一貫穿軸272中心的導電體274而 達能量來源212,其可在處理過程中電性偏壓嵌件246。 嵌件246之頂面260通常可水平延伸至本體244的上表面 254之上,以致基板224之週邊部份延伸至上表面254之 上而在基板之底面及上表面254間形成一間隙。基板支撐 構件204視需要可包括一溫度控制裝置如加熱器或導流器 第11頁 本紙張尺度適用中國國家標準(CNS)A4規格(210X297公釐) (請先閲讀背面之注意事項再填寫本頁) -訂· 線- 經濟部智慧財產局員工消費合作社印製 554399 A7 B7 五、發明説明( (未顯示)以便在處理過程中調節基板224之溫度。 該下屏蔽248大體上係裝設於本體244及波紋管252 間。該下屏蔽248由本體244徑向朝外延伸,且至少包含 或披覆一導電材料,如鋁。下屏蔽248係經由軸272而電 性接地。下屏蔽248係可更換。在一具體實施例中,該下 屏蔽248係屬於可更換之,,處理套件,,之零件,當處理過若 干次基板後即可加以更換。 下屏蔽248包括一徑向延伸至一唇緣264之中間部位 262。該唇緣264之方位係由t間部位262沿一角度向上, 朝由本體244之第一表面254限定的一平面27〇突出。在 一具體實施例中,該唇緣264實質上垂直(即在15度夾角 之内)中間部位262。在第2圖所示之具體實施例中,唇緣 264係垂直於中間部位262而平行於上屏蔽24〇及側壁 216。在昇起位置時,唇緣264則插入第二端298及上屏 蔽240之圓柱狀部位296的外側以形成一迂迴間隙292 , 其可避免電漿逸出處理區206。 唇緣264經設置與相關之本體244具有一間隔。唇緣 264大體上係徑向朝外側之上屏蔽24〇。在一具體實施例 中’當支撐構件2 04在處理位置時,該唇緣264之長度係 足以與上屏蔽240疊置。當支撐構件2〇4係位於第6圖之 較低位置時,該唇緣264之長度及提升銷(未顯示)之設置 應避免干擾由基板支撐構件204移走基板224之動作。 在第2圖所示之具體實施例中,該下屏蔽248係置於 本體244之下表面256及夾板250間,通常係以螺固或其 第1頂 本紙張尺度適用中國國家標準(CNS)A4規格(210X297公釐) ::..........裝: (請先閲讀背面之注意事項再填寫本頁) 訂 線- 經濟部智慧財產局員工消費合作社印製 A7
經濟部智慧財產局員工消費合作社印製 554399 五、發明説明() 匕:式固定在本體24…該夾板25〇係輕接至軸272, 以谷許一位於該室200外部之提升機構276,使基板支撐 構件204在如第2圖所示之較高(處理基板)位置及第6圖 所不之較低(轉移基板)位置間移動。該夾板25〇大體上係 由可傳導射頻之材料(如鋁)所製成,而且通常以焊接方式 耦接於軸242,然而其它固定方式亦可使用。 大體上’一複數之固定件278將下屏蔽248耦接至本 體244。在一具體實施例中,固定件278穿過下屏蔽248 而螺固至設於本體244之螺孔280。另一選擇是,下屏蔽 248可固定至夾板250。下屏蔽24 8及夾板250視需要可 合併為單一構件。 下屏蔽248係經由座檯204及軸272而電性接地。為 促成下屏蔽248及軸272間良好之電性接觸,可在其間置 入一導電構件282。該導電構件282可為一導電油脂、膏、 黏著劑、薄膜或其它促成下屏蔽248與電性耦接至軸272 之炎板250間導電之材料。另一選擇是,該導電構件可被 置於下屏蔽248及本體244間。在如第2圖之具體實施例 中’導電材料282至少包含一由一導電材料(如鈹銅)形成 之彈簧’其係部份置於形成在下屏蔽248之溝槽284内。 另一選擇是,留置於導電構件282之該溝槽284可置於夾 板25 0内或在夾板250及下屏蔽248二者内。 第3圖之立體圖顯示一具體實施例之下屏蔽248的上 表面310。大體上,該下屏蔽248具有一中間開口 306, 使下屏蔽248與夾板250同心。一複數之提升銷孔302貫 第1頂 本紙張尺度適用中國國家標準(CNS)A4規格(210X297公釐) (請先閲讀背面之注意事項再填寫本頁)
554399 A7 B7 五、發明説明() 穿下屏蔽248以容許提升銷290(如第2圖所示)穿過。一 複數之裝設孔304大體上貫穿下屏蔽248以容許固定件 278與本體244形成介面。 • 第4圖之立體圖顯示一具體實施例之下屏蔽248的一 下表面410。該下表面410大體上 '有一由唇緣264延伸 之裝設環402及一由中間部位262伸出之突出轂414。裝 設環402可包括一缺口 406,當驅動該提升銷290時,可 容許一提升板288(如第2圖所示)移近下表面41〇。該裝設 環402同時包括一或多數之裝設面404,與裝設環402之 内側部份相切。 如第5圖所示,該裝設面404提供一扁平介面用於裝 設該長條242至該下屏蔽248。該扁平介面提供良好之導 電性及維持該長條242成一扁平架構以促進彈性。此外, 一或多數之定位元件(例如埋頭銷502)可置於長帶242及 下屏蔽248間以便於將長帶242附裝於下屏蔽248上。 回顧第4圖,該突出轂414包括一與開口 3〇6同心之 内孔412。該溝槽284係置於開口 306或内孔412之側壁 41 6。在第4圖所示之具體實施例中,該開口 3〇6係用以 將下屏蔽248與夾板250定位,而該内孔412係用以容置 溝槽284以留置該導電構件282。 回顧第2圖,該波紋管252係耦接在下屏蔽248(或夾 板250)及室底部218間。該波紋管252提供在基板支撐構 件204及室本體202間之真空密封效果,而容許該構件2〇4 垂直移動。 第1俱 本紙張尺度適用中國國家標準(CNS)A4規格(210X297公爱) (請先閲讀背面之注意事項再填寫本頁) 丨裝· 線_ 經濟部智慧財產局員工消費合作社印製 554399 A7 五、發明説明() 電性耦接至上屏蔽2 54及下屏蔽248之導電、彈性長 條242,提供在處理區206内電性接觸上屏蔽254的電漿 一條射頻返回之短路徑。接觸上屏蔽254之電漿經由一至 少包含該長條242、下屏蔽24 8,及軸272之路徑而接地。 ’短射頻返回有利於將聚積在處理室壁上之電壓降至最 低及減低返回路徑之壓降,比大多數習知處理室係依靠壁 及波紋管以提供由電漿接地至軸272之返回路徑要佳。 在一具體實施例中,一固定件266貫穿下屏蔽248且 與置於長條242内之螺孔268嚙合,因而使長條242及下 屏蔽248電性耦接。長條242可藉由其它裝置(如導電膠、 鉚釘、卡鉗、樁、嚙合端子或其它導電連接裝置)電性耦 接下屏蔽248。 在操作時,一基板224係置於支撐構件2〇4上而位於 第6圖所示之較低位置。該提升機構276將支撐構件2〇4 及基板224昇高至如第2圖之處理位置。在處理位置時, 上屏蔽240及下屏蔽248疊置,在其中形成迂迴間隙292。 如氬之工作氣體可經由氣體進口 232導入逐處理區 206❶該工作氣體可視需要至少包含氦氣、氬氣、氮氣及 其它不參與反應之氣體。該工作氣體視需要可另外至少包 含一可反應之組份,如氩、氧或氟組成之氣體。 為啟動該反應,一電漿係經電感耦合及(或)電容耦合 而由處理區206内之工作氣體形成。初始之電漿最好是藉 由偏壓支撐構件204至約1瓦及約200瓦之間,及約1〇〇 千赫及約10 0百萬赫之間達約3秒。另一選擇是,,初妒 第15頁 本紙張尺度適用中國國家標準(CNS)A4規格(210X297公釐) (請先閲讀背面之注意事項再填寫本頁) Γ 經濟部智慧財產局員工消費合作社印製 554399 經濟部智慧財產局員工消費合作社印製 A7 B7 五、發明説明( 電衆係藉由施加能源至電感線圈2 i 〇或其它點燃方法或裝 置而產生。 在反應期間,該電感線圈210係經偏壓至約1瓦及約 1000瓦之間及約100千赫及約5〇百萬赫之間,而支撐構 件204則在1瓦及200瓦之間偏壓。另一選擇是在反應期 間’處理區206之電漿係由該電感線圈21〇單獨維持。另 一選擇是在反應期間,處理區206之電漿可單獨由電感耦 合、電容輕合,或電感及電容耦合一起激發及維持。 在處理期間,處理室之壓力較佳係藉由控制該節流閥 236之開/關狀態而維持在約〇 5毫托耳(mT〇rr)及約1〇〇亳 托耳間。在處理時,視需要可藉由支撐構件2〇4内的一溫 度控制裝置(未顯示)控制該基板224之溫度。 可藉由形成於上屏蔽240及下屏蔽248間之迂迴間隙 292而避免電漿由處理區2〇6遷移。此外,經由下屏蔽248 之射頻返回短路徑可增進該室200之效率。 雖然前述說明係指本發明之較佳具體實施例,本發明 其它及進一步之具體實施例亦可提出而不脫離本發明基 本範圍。例如,除了銅以外之氧化物及其它污染物可由各 層移除。本發明之範圍係受隨後之申請專利範圍所決定。 (請先閲讀背面之注意事項再填寫本頁}
第16頁

Claims (1)

  1. ABCD 554399 六、申請專利範圍 1· 一種在一電漿處理室中固定至一基板支撐構件之下屏 蔽,該處理室具有一上屏蔽,該上屏蔽耦接至該電漿 處理室之唇緣或壁的圓環狀,該下屏蔽至少包含: 一中間部位,具有一第一表面及與該第一表面相反 側之一第二表面; 一内孔,其設置至少部份穿透該中間部位且具有一 側壁; 一溝槽,置於該側壁内;及 一唇緣,係由該中間部位之一部份的第一表面突 出,該唇緣係設置以維持與該基板支撐構件一間隔。 2 ·如申請專利範圍第1項所述之下屏蔽,其中該中間部 位更包含一複數之裝設孔及一複數之提升銷孔貫穿其 中間部位。 (請先閱讀背面之注意事項再填寫本頁) έ·· 訂· 第 圍 範 利 專 請 申 如 3 置 容 以 用 孔 螺 1 ο 少條 至長 含回 包返 更頻 中射 其的 , 蔽 蔽屏 屏該 下至 之接 述耦 所性 項電 線· 經濟部智慧財產局員工消費合作社印製 第 圍 範 利 專 請 申 如 孔 螺 1 少 至 含 包 更 緣 唇 該 中 。 其條 , 長 蔽回 屏返 下頻 之射 述一 所置, 項容 1以 用 R— 更立口 中内 其一 , 有 蔽具 屏且 下上 之面 述表 所二 項第 1 該 第於 圍置 範 , 利環 專設 請裝 申一 如 5 頁 17 舞 含 包 徑 直 本紙張尺度適用中國國家標準(CNS)A4規格(210X297公釐) 554399 A8 B8 C8 D8 六、申請專利範圍 及 裝設面,形成於該裝設環内且與該内部直徑相 C請先間讀背面之注意事項#填寫本頁) 切 6·如申請專利範圍第5項所述之下屏蔽,其中該裝設面 更包含至少一螺孔,用以容置一射頻返回長條。 7.如申請專利範圍第1項所述之下屏蔽,其中該唇緣及 該中間部位至少包含或至少部份披覆鋁。 8·#如申請專利範圍第1項所述之下屏蔽,其中該唇緣具 有一直徑,係大於由該屏蔽之底端所限定的一直徑。 一種用於一電漿處理室的射頻返回下屏蔽,該下屏蔽 具有一基板支撐構件,該基板支撐構件置於一圓環狀上 屏蔽内侧,該内側耦接至該室壁,該屏蔽至少包含: 一射頻傳導中間部位,具有一第一表面及與該第一 表面相反侧的一第二表面; 一内孔,其設置至少部份穿透該中間部位且具有一 側壁; 一溝槽,置於該側壁内; 一射頻傳導唇緣,係由該中間部位之一部份的第一 表面突出,該唇緣係設置以維持與該基板支撐構件一間 隔; 第18頁 本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公釐) 554399 ABCD
    申請專利範圍 装設環,設置於該第二表面上且具有一内部直 徑; 一裝設面,形成於該裝設環内且與該内部直徑相 切;及 至少一螺孔,置於該苯設面内,用以容置一射頻返 回長條。 10·如申請專利範圍第9項所述之下屏蔽,其中該裝設部 位更包含一複數之裝設孔及一複數之提升銷孔貫穿其 中。 11 ·如申請專利範圍第9項所述之下屏蔽,更包含一開口, 延伸過該中間部位而與該内孔同心。 12. —種用以支撐一基板之構件,其至少包含: 一本體,具有一上表面及一下表面,該上表面用以 支承該基板;及 一下屏蔽,耦接於該本體,該下屏蔽具有一t間部 位及一唇緣,該中間部位的一第一表面係設置於鄰近該 C請先閲讀背面之注意事項再填寫本頁) 經濟部智慧財產局員工消費合作社印製 下表面,且該唇緣係置於朝本體徑向 之外側且向上突出 朝向由該第一表面限定的一平面,而與該本體具有一 隔。 間 13·如申請專利範圍第12項所述之構件,其中該本體更包 第19頁 本紙張尺度適用中國國家標準(CNS)A4規格(210X297公釐) 554399 ABCD 經濟部智慧財產局員工消費合作社印製 、申請專利範圍 含一形成於該上表面之凹處,具有一嵌件置於其内。 14·如申請專利範圍第12項所述之構件,其中該唇緣實質 上垂直突出該中間部位。 15·如申請專利範圍第12項所述之構件,其中更包含: 一夾板,耦接於該下屏蔽的一第二表面或該本體的 該下表面。 16. 如申請專利範圍第15項所述之構件,其中更包含: 一波、紋管,粞接至該夾板或該下屏蔽。 17. 如申請專利範圍第12項所述之構件,其中更包含: 一軸,耦接至該本體,其中該下屏蔽係電性耦接至 該軸。 18. 如申請專利範圍第12項所述之構件,其中該唇緣未延 伸至該本體的該上表面之上。 19. 如申請專利範圍第12項所述之構件,其中該本體係陶 瓷。 20. 如申請專利範圍第12項所述之構件,其中該本體係石 英。 第20頁 (請先閱讀背面之注意事項再填寫本頁) έ· -訂· 線- 本紙張尺度適用中國國家標準(CNS)A4規格(210Χ 297公釐) 554399 A8 B8 C8 D8 申請專利範圍 21.如申請專利範圍第12項所述之構件 導電。 其中該嵌件係可 22·如申請專利範圍第12項所述之構件,其中該下屏蔽係 至少包含或經披覆一導電材料。 23·如申請專利範圍第12項所述之構件,其中更包含: 一夾板,置於靠近該下屏蔽處;及 一導電構件,置於該下屏蔽及該夾板或本體之間 24·如申請專利範圍第23項所述之構件,其中該導電構件 係一彈簧。 (請先閲讀背面之注意事項再填寫本頁) 裝· 訂 經濟部智慧財產局員工消費合作社印製 25· —種用以支撐一基板之構件,其至少包含: 一陶究本體,具有一上表面及一下表面,該上表 用以支承該基板;及 一導電下屏蔽,固接至該本體,並具有一中間部 及一唇緣,該中間部位的一第一表面係設置於鄰近該 表面,且該唇緣係置於朝本體徑向之外側及向上突出 向由該第一表面限定的一平面,而與該本體具有一 隔。 26·如申請專利範圍第25項所述之構件,其中更包含 一導電丧件’置於該上表面内的一凹處。 第21頁 面 位 下 朝 間 線 本紙張尺度適用中國國家標準(CNS)A4規格(210X297公釐) 554399 A8 B8 C8 D8 、申請專利範圍 7·如申請專利範圍第25項所述之構件,其中更包含: 一夾板,耦接至該下屏蔽的該第- 乐一录面或該本體的 該下表面;及 一波紋管,耦接至該夾板或該下屏蔽。 •如申凊專利範圍第25項所述之構件,其中更包含: -軸’耦接至該本體,其中該下屏蔽係電性耦接至 該轴。 29.如申請專利範圍第25項所述之構件,其中該本 英而該嵌件係欽。 體係石 (請先閲讀背面之注意事項再填寫本頁) έ· •訂· 30·如申請專利範圍第25項所述之構件,其中 鋁。 該下屏蔽係 31. 經濟部智慧財產局員工消費合作社印製 種用以支撐一基板之構件,其至少包含: 一陶瓷本體,具有一上表面及一下表面,該上表 面用以支承該基板; 一導電嵌件,置於形成於該上表面内一凹處; 一夾板,置於靠近該本體的該下表面; 一耦接至該本體之導電下屏蔽,該下屏蔽具有一 中間部位及一唇緣,該中間部位的一第一表面係設置於 鄰近該下表面,而該唇緣係置於朝該本體徑向之外側, 第22頁 線_ 本紙張尺度適用中國國家標準(CNS)A4規格(210X297公釐) 554399 經濟部智慧財產局員工消費合作社印製 A? B8 C8 _______D8申請專利範圍 且實質上垂直突出該中間部位且朝向由該第一表面限 定的一平面,而與該本體具有一間隔;及 一導電構件,置於該下屏蔽及該夾板或本體之 間。 32.如申請專利範圍第3 1項辦述之構件,其中該導電構件 係一彈簧。 33· —種用於處理基板之處理室,其至少包含: 一室本體,具有限定一内部空間之一底部、側壁及 上蓋; 一圓環狀上屏蔽,設置於該上蓋下方之内部空間 内; 一本體,設置於該内部空間中,具有一上表面及一 下表面,該上表面用以支承該基板; 一下屏蔽,具有一中間部位及一唇緣,該中間部位 的一第一表面係設置於鄰近該下表面,且該唇緣設置於 該本體徑向外側及實質上垂直突出於該第一表面,而與 該本體具有一間隔;及 一彈性長帶,電性耦接該下屏蔽及該上屏蔽。 34·如申請專利範圍第33項所述之處理室,其中該唇緣係 徑向設置於該本體及該屏蔽之間。 第23頁 本紙張尺度適用中國國家標準(CNS)A4規格(210X 297公釐) (請先閲讀背面之注意事項再填寫本頁} 、T、· Γ I ABCD 一 經濟部智慧財產局員工消費合作社印製 554399 /、申清專利範圍 35.如申請專利範圍第33項所述之處理室,其中該本體係 石英。 36·如申請專利範圍第33頊所述之處理室,其中該本體更 包含一形成於該上表面之凹處,具有一嵌件置於其 内〇 37.如申請專利範圍第33項所述之處理室,其中該嵌件係 欽。 3 8·如申請專利範圍第33項所述之處理室,其中該下屏蔽 係銘。 39. 如申請專利範圍第33項所述之處理室,其中更包含: 一夾板,耦接至該下屏蔽的該第二表面或該本體的 該下表面。 40. 如申請專利範圍第39項所述之處理室,其中更包含: 一導電構件,設置於該下屏蔽及該本體或夾板之 間。 41 ·如申請專利範圍第3 9項所述之處理室,其中更包含: 一波紋管,耦接至該夾板或該下屏蔽。 第24頁 本紙張尺度適用中國國家標準(CNS)A4規格(210X297公釐) (請先閲讀背面之注意事項再填寫本頁)
    554399 A8 B8 C8 D8 六、申請專利範圍 42·如申請專利範圍第41項所述之處理室,其中更包含·· 一軸,耦接至該夾板,其中該下屏蔽係電性耦接至 該轴。 43·如申請專利範圍第33項所述之處理室,其中該唇緣未 延伸至該本體的該上表面之上。 44.如申請專利範圍第33項所述之處理室,其中該唇緣及 上屏蔽限定一迂迴間隙。 45·如申請專利範圍第33項所述之處理室,其中更包含一 導電構件,其至少部份置於形成在該下屏蔽之一溝槽 内。 46·如申請專利範圍第45項所述之處理室,其中該導電構 件係一彈簧。 47·如申請專利範圍第33項所述之處理室,其中一前潔淨 製程係在一置於該室内之.,基板上進行。 48. —種用於一處理室之可更換處理套件,其至少包含: 一導電圓環狀上屏蔽,具有終止於一具有第一直徑 的一端之一圓柱狀部份;及 一導電下屏蔽,其至少包含: 第25頁 本紙張尺度適用中國國家標準(CNS)A4規格(210X297公釐) (請先閲讀背面之注意事項再填寫本頁} -訂. 經濟部智慧財產局員工消費合作社印製 554399 ABCD 申請專利範圍 一中間部位,具有一第一表面及與該第〜 面相反側之第二表面; 一唇緣,係由該中間部位之一部份的第〜表 面突出,其具有/直徑係大於該屏蔽之圓柱狀部 份末端之直徑,該唇緣係設置以維持與該基板支 撐構件一間隔。 表 經濟部智慧財產局員工消費合作社印製 49·如申請專利範圍第48項所述之套件,其中該下屏蔽更 包含: 一内孔,其設置至少部份穿過該中間部位且具有一 側壁;及 一溝槽,置於該側壁内。 50·如申請專利範圍第48項所述之套件,其中該中間部位 更包含一複數之裝設孔及一複數之提升銷孔貫穿其 中。 5 1 ·知申請專利範圍第48項所述之套件,其中該下屏蔽更 包含至少一螺孔,用以容置一電性耦接至該屏蔽的射 頻返回長條。 52·如申請專利範圍第48項所述之套件,其中該下屏蔽更 包含: 一裝設環,設置於該第二表面上且具有一内部直 第26頁 本紙張尺度適用中國國家標準(CNS)A4規格(210X 297公釐) (請先閱讀背面之注意事項再填、寫本頁;
    -tl· 綉· : 554399 ABCD 申請專利範圍 徑;及 裝設面,形成於該裝設環内且與該内部直徑相 切 5 3.如申請專利範圍第48項所述之套件,其中該下屏蔽及 /或上屏蔽係至少部份包含或至少部份披覆鋁。 (請先閲讀背面之注意事項再填寫本頁) 經濟部智慧財產局員工消費合作社印製 第27頁 本紙張尺度適用中國國家標準(CNS)A4規格(210X297公釐)
TW091118053A 2001-08-09 2002-08-09 Pedestal with integral shield TW554399B (en)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US09/927,747 US6652713B2 (en) 2001-08-09 2001-08-09 Pedestal with integral shield

Publications (1)

Publication Number Publication Date
TW554399B true TW554399B (en) 2003-09-21

Family

ID=25455187

Family Applications (1)

Application Number Title Priority Date Filing Date
TW091118053A TW554399B (en) 2001-08-09 2002-08-09 Pedestal with integral shield

Country Status (5)

Country Link
US (4) US6652713B2 (zh)
KR (1) KR100914075B1 (zh)
CN (1) CN1310281C (zh)
TW (1) TW554399B (zh)
WO (1) WO2003015137A2 (zh)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI480913B (zh) * 2008-02-08 2015-04-11 Lam Res Corp 電漿處理室零件之防護塗布層及其使用方法

Families Citing this family (91)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6635949B2 (en) * 2002-01-04 2003-10-21 Intersil Americas Inc. Symmetric inducting device for an integrated circuit having a ground shield
DE10243022A1 (de) * 2002-09-17 2004-03-25 Degussa Ag Abscheidung eines Feststoffs durch thermische Zersetzung einer gasförmigen Substanz in einem Becherreaktor
US7083702B2 (en) * 2003-06-12 2006-08-01 Applied Materials, Inc. RF current return path for a large area substrate plasma reactor
JP2005063991A (ja) * 2003-08-08 2005-03-10 Sumitomo Electric Ind Ltd 半導体製造装置
US7107125B2 (en) * 2003-10-29 2006-09-12 Applied Materials, Inc. Method and apparatus for monitoring the position of a semiconductor processing robot
US20050103274A1 (en) * 2003-11-14 2005-05-19 Cheng-Tsung Yu Reliability assessment system and method
US20050133165A1 (en) * 2003-12-23 2005-06-23 Taiwan Semiconductor Manufacturing Co. Apparatus for the prevention of arcing in a CVD-TiN chamber
US7534301B2 (en) * 2004-09-21 2009-05-19 Applied Materials, Inc. RF grounding of cathode in process chamber
KR20060041497A (ko) * 2004-11-09 2006-05-12 동부일렉트로닉스 주식회사 건식 식각장치
US20060172542A1 (en) 2005-01-28 2006-08-03 Applied Materials, Inc. Method and apparatus to confine plasma and to enhance flow conductance
US7789963B2 (en) * 2005-02-25 2010-09-07 Tokyo Electron Limited Chuck pedestal shield
US8617672B2 (en) 2005-07-13 2013-12-31 Applied Materials, Inc. Localized surface annealing of components for substrate processing chambers
JP2009512206A (ja) * 2005-10-11 2009-03-19 アビザ テクノロジー リミティド 容積式ポンプ・チャンバー
KR100734781B1 (ko) 2005-10-27 2007-07-03 세메스 주식회사 액정 디스플레이 기판용 플라즈마 식각 장치
US20070113783A1 (en) * 2005-11-19 2007-05-24 Applied Materials, Inc. Band shield for substrate processing chamber
US20070227659A1 (en) * 2006-03-31 2007-10-04 Tokyo Electron Limited Plasma etching apparatus
US8226769B2 (en) 2006-04-27 2012-07-24 Applied Materials, Inc. Substrate support with electrostatic chuck having dual temperature zones
US7416989B1 (en) 2006-06-30 2008-08-26 Novellus Systems, Inc. Adsorption based material removal process
US9275887B2 (en) 2006-07-20 2016-03-01 Applied Materials, Inc. Substrate processing with rapid temperature gradient control
US20080099437A1 (en) * 2006-10-30 2008-05-01 Richard Lewington Plasma reactor for processing a transparent workpiece with backside process endpoint detection
US8012366B2 (en) * 2006-10-30 2011-09-06 Applied Materials, Inc. Process for etching a transparent workpiece including backside endpoint detection steps
US7976671B2 (en) * 2006-10-30 2011-07-12 Applied Materials, Inc. Mask etch plasma reactor with variable process gas distribution
US8017029B2 (en) * 2006-10-30 2011-09-13 Applied Materials, Inc. Plasma mask etch method of controlling a reactor tunable element in accordance with the output of an array of optical sensors viewing the mask backside
US9218944B2 (en) * 2006-10-30 2015-12-22 Applied Materials, Inc. Mask etch plasma reactor having an array of optical sensors viewing the workpiece backside and a tunable element controlled in response to the optical sensors
US7967930B2 (en) * 2006-10-30 2011-06-28 Applied Materials, Inc. Plasma reactor for processing a workpiece and having a tunable cathode
US20080099450A1 (en) * 2006-10-30 2008-05-01 Applied Materials, Inc. Mask etch plasma reactor with backside optical sensors and multiple frequency control of etch distribution
US8002946B2 (en) * 2006-10-30 2011-08-23 Applied Materials, Inc. Mask etch plasma reactor with cathode providing a uniform distribution of etch rate
US8004293B2 (en) * 2006-11-20 2011-08-23 Applied Materials, Inc. Plasma processing chamber with ground member integrity indicator and method for using the same
WO2008079742A2 (en) * 2006-12-20 2008-07-03 Applied Materials, Inc. Prevention of film deposition on pecvd process chamber wall
US8381677B2 (en) * 2006-12-20 2013-02-26 Applied Materials, Inc. Prevention of film deposition on PECVD process chamber wall
KR100826775B1 (ko) * 2006-12-27 2008-04-30 동부일렉트로닉스 주식회사 Rf 쉴드를 갖춘 프리클린챔버
US7981262B2 (en) * 2007-01-29 2011-07-19 Applied Materials, Inc. Process kit for substrate processing chamber
US7968469B2 (en) * 2007-01-30 2011-06-28 Applied Materials, Inc. Method of processing a workpiece in a plasma reactor with variable height ground return path to control plasma ion density uniformity
US20080178803A1 (en) * 2007-01-30 2008-07-31 Collins Kenneth S Plasma reactor with ion distribution uniformity controller employing plural vhf sources
US8080479B2 (en) * 2007-01-30 2011-12-20 Applied Materials, Inc. Plasma process uniformity across a wafer by controlling a variable frequency coupled to a harmonic resonator
US7879731B2 (en) * 2007-01-30 2011-02-01 Applied Materials, Inc. Improving plasma process uniformity across a wafer by apportioning power among plural VHF sources
US7977249B1 (en) 2007-03-07 2011-07-12 Novellus Systems, Inc. Methods for removing silicon nitride and other materials during fabrication of contacts
US8435379B2 (en) 2007-05-08 2013-05-07 Applied Materials, Inc. Substrate cleaning chamber and cleaning and conditioning methods
US7942969B2 (en) 2007-05-30 2011-05-17 Applied Materials, Inc. Substrate cleaning chamber and components
US7988815B2 (en) * 2007-07-26 2011-08-02 Applied Materials, Inc. Plasma reactor with reduced electrical skew using electrical bypass elements
US20090025879A1 (en) * 2007-07-26 2009-01-29 Shahid Rauf Plasma reactor with reduced electrical skew using a conductive baffle
US9184072B2 (en) * 2007-07-27 2015-11-10 Mattson Technology, Inc. Advanced multi-workpiece processing chamber
US20090084317A1 (en) * 2007-09-28 2009-04-02 Applied Materials, Inc. Atomic layer deposition chamber and components
JP2009088298A (ja) * 2007-09-29 2009-04-23 Tokyo Electron Ltd プラズマ処理装置及びプラズマ処理方法
US8187486B1 (en) 2007-12-13 2012-05-29 Novellus Systems, Inc. Modulating etch selectivity and etch rate of silicon nitride thin films
US20090242383A1 (en) * 2008-03-31 2009-10-01 Tokyo Electron Limited Apparatus and method for rf grounding of ipvd table
US8206552B2 (en) * 2008-06-25 2012-06-26 Applied Materials, Inc. RF power delivery system in a semiconductor apparatus
US20100000684A1 (en) * 2008-07-03 2010-01-07 Jong Yong Choi Dry etching apparatus
US7981763B1 (en) 2008-08-15 2011-07-19 Novellus Systems, Inc. Atomic layer removal for high aspect ratio gapfill
CN105895517A (zh) * 2008-10-07 2016-08-24 应用材料公司 用于从蚀刻基板有效地移除卤素残余物的设备
KR101641130B1 (ko) * 2008-10-09 2016-07-20 어플라이드 머티어리얼스, 인코포레이티드 대형 플라즈마 처리 챔버를 위한 rf 복귀 경로
CN102239542A (zh) * 2008-12-03 2011-11-09 应用材料股份有限公司 用于均匀性控制的射频返回带的调控方法与设备
US20100136261A1 (en) * 2008-12-03 2010-06-03 Applied Materials, Inc. Modulation of rf returning straps for uniformity control
US8043487B2 (en) * 2008-12-12 2011-10-25 Fujifilm Corporation Chamber shield for vacuum physical vapor deposition
US8066857B2 (en) * 2008-12-12 2011-11-29 Fujifilm Corporation Shaped anode and anode-shield connection for vacuum physical vapor deposition
US8540844B2 (en) * 2008-12-19 2013-09-24 Lam Research Corporation Plasma confinement structures in plasma processing systems
US8058179B1 (en) 2008-12-23 2011-11-15 Novellus Systems, Inc. Atomic layer removal process with higher etch amount
TWI527930B (zh) * 2009-02-04 2016-04-01 應用材料股份有限公司 用於電漿製程的接地回流路徑
US20100318371A1 (en) * 2009-06-11 2010-12-16 Halliburton Energy Services, Inc. Comprehensive hazard evaluation system and method for chemicals and products
JP5432686B2 (ja) * 2009-12-03 2014-03-05 東京エレクトロン株式会社 プラズマ処理装置
US8133362B2 (en) * 2010-02-26 2012-03-13 Fujifilm Corporation Physical vapor deposition with multi-point clamp
US9181619B2 (en) * 2010-02-26 2015-11-10 Fujifilm Corporation Physical vapor deposition with heat diffuser
US8597462B2 (en) 2010-05-21 2013-12-03 Lam Research Corporation Movable chamber liner plasma confinement screen combination for plasma processing apparatuses
US20110315319A1 (en) * 2010-06-25 2011-12-29 Applied Materials, Inc. Pre-clean chamber with reduced ion current
JP2013532387A (ja) * 2010-06-25 2013-08-15 アプライド マテリアルズ インコーポレイテッド イオン電流を低減したプレクリーンチャンバ
US8485128B2 (en) * 2010-06-30 2013-07-16 Lam Research Corporation Movable ground ring for a plasma processing chamber
CN103348446B (zh) 2011-02-09 2016-08-24 应用材料公司 用于rf pvd腔室且能均匀调整的esc接地套件
US8618446B2 (en) * 2011-06-30 2013-12-31 Applied Materials, Inc. Substrate support with substrate heater and symmetric RF return
US9315900B2 (en) 2012-01-27 2016-04-19 Applied Materials, Inc. Isolation of microwave sources through bellows
US9816184B2 (en) 2012-03-20 2017-11-14 Veeco Instruments Inc. Keyed wafer carrier
USD726133S1 (en) 2012-03-20 2015-04-07 Veeco Instruments Inc. Keyed spindle
USD712852S1 (en) 2012-03-20 2014-09-09 Veeco Instruments Inc. Spindle key
US9340866B2 (en) 2012-03-30 2016-05-17 Applied Materials, Inc. Substrate support with radio frequency (RF) return path
US9404176B2 (en) 2012-06-05 2016-08-02 Applied Materials, Inc. Substrate support with radio frequency (RF) return path
JP5992288B2 (ja) * 2012-10-15 2016-09-14 東京エレクトロン株式会社 ガス導入装置及び誘導結合プラズマ処理装置
US10593521B2 (en) * 2013-03-12 2020-03-17 Applied Materials, Inc. Substrate support for plasma etch operations
US9957615B2 (en) * 2013-09-13 2018-05-01 Applied Materials, Inc. Apparatus to improve substrate temperature uniformity
US9431268B2 (en) 2015-01-05 2016-08-30 Lam Research Corporation Isotropic atomic layer etch for silicon and germanium oxides
US9425041B2 (en) 2015-01-06 2016-08-23 Lam Research Corporation Isotropic atomic layer etch for silicon oxides using no activation
US11387079B2 (en) * 2016-06-03 2022-07-12 Evatec Ag Plasma etch chamber and method of plasma etching
US11049701B2 (en) * 2016-11-26 2021-06-29 Applied Materials, Inc. Biased cover ring for a substrate processing system
WO2019226341A1 (en) 2018-05-25 2019-11-28 Lam Research Corporation Thermal atomic layer etch with rapid temperature cycling
EP3821457A4 (en) 2018-07-09 2022-04-13 Lam Research Corporation ETCHING ATOMIC LAYER ETCHING USING ELECTRON EXCITATION
US11562890B2 (en) * 2018-12-06 2023-01-24 Applied Materials, Inc. Corrosion resistant ground shield of processing chamber
CN111326382B (zh) * 2018-12-17 2023-07-18 中微半导体设备(上海)股份有限公司 一种电容耦合等离子体刻蚀设备
USD942516S1 (en) 2019-02-08 2022-02-01 Applied Materials, Inc. Process shield for a substrate processing chamber
US11335543B2 (en) * 2020-03-25 2022-05-17 Applied Materials, Inc. RF return path for reduction of parasitic plasma
US20210343508A1 (en) * 2020-04-30 2021-11-04 Applied Materials, Inc. Metal oxide preclean chamber with improved selectivity and flow conductance
US11515195B2 (en) * 2020-10-26 2022-11-29 Applied Materials, Inc. Semiconductor chamber components with high-performance coating
KR20220059742A (ko) * 2020-11-03 2022-05-10 삼성전자주식회사 온도 조절 부재를 포함하는 반도체 공정 설비
KR20240011602A (ko) * 2021-05-28 2024-01-26 램 리써치 코포레이션 페데스탈 및 챔버의 열적 관리를 위한 장치들

Family Cites Families (34)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3661758A (en) 1970-06-26 1972-05-09 Hewlett Packard Co Rf sputtering system with the anode enclosing the target
US4439261A (en) * 1983-08-26 1984-03-27 International Business Machines Corporation Composite pallet
KR900007687B1 (ko) * 1986-10-17 1990-10-18 가부시기가이샤 히다찌세이사꾸쇼 플라즈마처리방법 및 장치
DE4220588C2 (de) 1992-06-24 2001-02-15 Leybold Ag Lichtbogen-Verdampfungsvorrichtung
KR100290748B1 (ko) * 1993-01-29 2001-06-01 히가시 데쓰로 플라즈마 처리장치
JPH0718423A (ja) 1993-07-06 1995-01-20 Japan Energy Corp 薄膜形成装置
US5900103A (en) * 1994-04-20 1999-05-04 Tokyo Electron Limited Plasma treatment method and apparatus
US5891350A (en) * 1994-12-15 1999-04-06 Applied Materials, Inc. Adjusting DC bias voltage in plasma chambers
US6283130B1 (en) * 1995-05-30 2001-09-04 Anelva Corporation Plasma cleaning method and placement area protector used in the method
JPH08330243A (ja) 1995-05-30 1996-12-13 Anelva Corp プラズマクリーニング方法及びこの方法に使用される配置領域保護体
JP3599204B2 (ja) * 1995-06-08 2004-12-08 アネルバ株式会社 Cvd装置
US5538758A (en) * 1995-10-27 1996-07-23 Specialty Coating Systems, Inc. Method and apparatus for the deposition of parylene AF4 onto semiconductor wafers
US6264812B1 (en) 1995-11-15 2001-07-24 Applied Materials, Inc. Method and apparatus for generating a plasma
US5584936A (en) * 1995-12-14 1996-12-17 Cvd, Incorporated Susceptor for semiconductor wafer processing
TW324831B (en) 1996-05-09 1998-01-11 Applied Materials Inc Plasma generating device
TW403959B (en) * 1996-11-27 2000-09-01 Hitachi Ltd Plasma treatment device
JPH10172792A (ja) 1996-12-05 1998-06-26 Tokyo Electron Ltd プラズマ処理装置
US6004458A (en) 1997-01-29 1999-12-21 H-Tech, Inc. Filter/sanitizer
KR100372317B1 (ko) * 1997-03-17 2003-05-16 마쯔시다덴기산교 가부시키가이샤 플라즈마처리방법및장치
US5897752A (en) * 1997-05-20 1999-04-27 Applied Materials, Inc. Wafer bias ring in a sustained self-sputtering reactor
US6167837B1 (en) 1998-01-15 2001-01-02 Torrex Equipment Corp. Apparatus and method for plasma enhanced chemical vapor deposition (PECVD) in a single wafer reactor
US6090212A (en) * 1997-08-15 2000-07-18 Micro C Technologies, Inc. Substrate platform for a semiconductor substrate during rapid high temperature processing and method of supporting a substrate
US6106625A (en) 1997-12-02 2000-08-22 Applied Materials, Inc. Reactor useful for chemical vapor deposition of titanium nitride
US6039836A (en) * 1997-12-19 2000-03-21 Lam Research Corporation Focus rings
US6107192A (en) 1997-12-30 2000-08-22 Applied Materials, Inc. Reactive preclean prior to metallization for sub-quarter micron application
US6077353A (en) * 1998-06-02 2000-06-20 Applied Materials, Inc. Pedestal insulator for a pre-clean chamber
US6221221B1 (en) 1998-11-16 2001-04-24 Applied Materials, Inc. Apparatus for providing RF return current path control in a semiconductor wafer processing system
US6171453B1 (en) 1998-12-02 2001-01-09 Taiwan Semiconductor Manufacturing Co., Ltd Alignment mark shielding ring and method of using
US6494955B1 (en) * 2000-02-15 2002-12-17 Applied Materials, Inc. Ceramic substrate support
US6296747B1 (en) * 2000-06-22 2001-10-02 Applied Materials, Inc. Baffled perforated shield in a plasma sputtering reactor
US6700397B2 (en) * 2000-07-13 2004-03-02 The Micromanipulator Company, Inc. Triaxial probe assembly
US6544340B2 (en) 2000-12-08 2003-04-08 Applied Materials, Inc. Heater with detachable ceramic top plate
US6841057B2 (en) * 2002-03-13 2005-01-11 Applied Materials Inc. Method and apparatus for substrate polishing
US6932871B2 (en) * 2002-04-16 2005-08-23 Applied Materials, Inc. Multi-station deposition apparatus and method

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI480913B (zh) * 2008-02-08 2015-04-11 Lam Res Corp 電漿處理室零件之防護塗布層及其使用方法

Also Published As

Publication number Publication date
WO2003015137A2 (en) 2003-02-20
US6652713B2 (en) 2003-11-25
US7252737B2 (en) 2007-08-07
US20050056370A1 (en) 2005-03-17
US6837968B2 (en) 2005-01-04
KR20040025657A (ko) 2004-03-24
WO2003015137A3 (en) 2004-03-11
CN1310281C (zh) 2007-04-11
US20030029568A1 (en) 2003-02-13
US6726805B2 (en) 2004-04-27
KR100914075B1 (ko) 2009-08-27
US20030029564A1 (en) 2003-02-13
CN1520606A (zh) 2004-08-11
US20040083977A1 (en) 2004-05-06

Similar Documents

Publication Publication Date Title
TW554399B (en) Pedestal with integral shield
TW526558B (en) Focus ring and plasma processing apparatus for semiconductor process
US6676761B2 (en) Method and apparatus for dechucking a substrate
TW480531B (en) Lower electrode design for higher uniformity
JP3082624B2 (ja) 静電チャックの使用方法
KR0151769B1 (ko) 플라즈마 에칭장치
EP0486966A1 (en) Electrostatic chuck
TWI421975B (zh) 電漿處理裝置用基板載置台、電漿處理裝置及絕緣皮膜之成膜方法
JP2021141277A (ja) 載置台及びプラズマ処理装置
JPH10154745A (ja) 静電吸着装置
JP3880896B2 (ja) プラズマ処理装置およびプラズマ処理方法
TW557643B (en) Inductively coupled plasma processor
JPH08181113A (ja) プラズマ処理装置
JPH0799231A (ja) 被処理体の保持装置及び処理装置
TW498482B (en) Method and apparatus for dechucking a substrate
JPH08107139A (ja) 絶縁性リング部材およびそれを用いた半導体製造装置
JPS63131521A (ja) ドライエツチング装置
JPH09309800A (ja) ドライエッチング方法及びドライエッチング装置
KR100272278B1 (ko) 건식 식각 장치
JP2001326269A (ja) 半導体製造装置
JP3657089B2 (ja) プラズマ処理方法および装置
JP2001298013A (ja) 基板処理装置
JP2963227B2 (ja) プラズマ処理装置
JPH08130100A (ja) プラズマ処理装置の電極構造
JPH02110926A (ja) 試料の温度制御方法及び装置

Legal Events

Date Code Title Description
GD4A Issue of patent certificate for granted invention patent
MK4A Expiration of patent term of an invention patent