TW523868B - Semiconductor device and method for fabricating the same - Google Patents

Semiconductor device and method for fabricating the same Download PDF

Info

Publication number
TW523868B
TW523868B TW090126602A TW90126602A TW523868B TW 523868 B TW523868 B TW 523868B TW 090126602 A TW090126602 A TW 090126602A TW 90126602 A TW90126602 A TW 90126602A TW 523868 B TW523868 B TW 523868B
Authority
TW
Taiwan
Prior art keywords
film
metal
metal film
semiconductor device
insulating film
Prior art date
Application number
TW090126602A
Other languages
English (en)
Inventor
Hideo Nakagawa
Eiji Tamaoka
Masafumi Kubota
Tetsuya Ueda
Original Assignee
Matsushita Electric Ind Co Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Matsushita Electric Ind Co Ltd filed Critical Matsushita Electric Ind Co Ltd
Application granted granted Critical
Publication of TW523868B publication Critical patent/TW523868B/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/7682Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing the dielectric comprising air gaps
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/288Deposition of conductive or insulating materials for electrodes conducting electric current from a liquid, e.g. electrolytic deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/288Deposition of conductive or insulating materials for electrodes conducting electric current from a liquid, e.g. electrolytic deposition
    • H01L21/2885Deposition of conductive or insulating materials for electrodes conducting electric current from a liquid, e.g. electrolytic deposition using an external electrical current, i.e. electro-deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/32051Deposition of metallic or metal-silicide layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material
    • H01L21/76879Filling of holes, grooves or trenches, e.g. vias, with conductive material by selective deposition of conductive material in the vias, e.g. selective C.V.D. on semiconductor material, plating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76885By forming conductive members before deposition of protective insulating material, e.g. pillars, studs
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76897Formation of self-aligned vias or contact plugs, i.e. involving a lithographically uncritical step
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/5222Capacitive arrangements or effects of, or between wiring layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/5226Via connections in a multilevel interconnection structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/5228Resistive arrangements or effects of, or between, wiring layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/53204Conductive materials
    • H01L23/53209Conductive materials based on metals, e.g. alloys, metal silicides
    • H01L23/53214Conductive materials based on metals, e.g. alloys, metal silicides the principal metal being aluminium
    • H01L23/53223Additional layers associated with aluminium layers, e.g. adhesion, barrier, cladding layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/53204Conductive materials
    • H01L23/53209Conductive materials based on metals, e.g. alloys, metal silicides
    • H01L23/53228Conductive materials based on metals, e.g. alloys, metal silicides the principal metal being copper
    • H01L23/53238Additional layers associated with copper layers, e.g. adhesion, barrier, cladding layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/53204Conductive materials
    • H01L23/53209Conductive materials based on metals, e.g. alloys, metal silicides
    • H01L23/53242Conductive materials based on metals, e.g. alloys, metal silicides the principal metal being a noble metal, e.g. gold
    • H01L23/53252Additional layers associated with noble-metal layers, e.g. adhesion, barrier, cladding layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/0001Technical content checked by a classifier
    • H01L2924/0002Not covered by any one of groups H01L24/00, H01L24/00 and H01L2224/00

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)

Description

523868 A7 B7 五、發明説明(彳 ) 發明背景 本發明係關於一包含金屬内連線之半導體裝置及連接 至該金屬内連線之插頭,及一其製造方法。 目前大量生產之最進步半導體裝置之處理尺寸係為 〇· 18微米’其在不久將來會進一步降低,下一代之處理尺 寸會明確地連續減少至〇· 15微米、〇· 13微米及〇·丨微米。在 這類朝向尺寸減少之趨勢中,在一金屬内連線及一插頭間 之對準精確度係最好為±10%或更少。 然而’因金屬内連線被如此微調,其係難以只用微影技 術來貫現該± 10%或更少之對準精確度,而使用一自我對 準方法之精細處理係不可少的。 同時’在正確使用多層互連中,例如一使用一具有一低 介電常數材料,即,所謂低k材料技術之不同技術當作一夾 層絕緣膜’及一形成於互連間之空氣間隙技術已被發展, 用以減少互連延遲。 現在’ 一用發展來改進該對準精確度之自我對準方法以 製造一包含一插頭及一金屬互連之半導體裝置之方法例將 參考圖17A至17D、18A至18C、19A至19C及20A至20C來作說 明。 第一,如圖1 7A所示,一絕緣材料之絕緣膜丨丨係利用一 已知化學氣相沉積法(CVD)或旋轉塗佈法來形成於一半導 體基板10上,之後,一連接至該半導體基板1〇之插頭(未顯 示)或一形成於該半導體基板丨〇上之互連係形成於該絕緣 膜11。該絕緣膜Π係整體上產自一氧化矽膜(具有一介電常 -4 - 本紙張尺度適用中國國家標準(CNS) A4規格(210X297公釐) 523868 A7 B7 五、發明説明(2 ) 數k約為4· 3)或一具有一低於該氧化矽膜之那個之介電常 數之低介電膜中。 接著,如圖17B所示,一第一障礙金屬層12、一金屬膜 13及一第二障礙金屬膜14係連續沉積在該絕緣膜丨丨上,藉 此.形成一多層金屬膜15。該金屬膜13係產自一利用已知濺 鍍法所沉積之鋁膜中,該第一及第二障礙金屬層12及14係 利用該已知濺鍍法來沉積且整體上在該金屬膜1 3係產自一 雀呂膜中時產自氮化鈥中。 接著,如圖17C所示,一絕緣材料之第一夾層絕緣膜16 係利用該CVD或旋轉塗佈法形成於該多層金屬膜15上,接著 ’一第一電阻圖案17係利用已知微影技術形成於該第一夾 層絕緣膜16。 之後,如圖17D所示,該第一夾層絕緣膜16係使用該第 一電阻圖案17當作一遮罩來作乾蝕刻,藉此在該第一夾層 絕緣膜16中形成通孔(通孔)18。 接著,如圖18A所示,一傳導膜19係利用該CVD來沉積於 該第一夾層絕緣膜16上,以填充該通孔18。該傳導膜19係 產自例如一鎢膜中,雖未示於該圖式中,一鈦膜之障礙金 屬層或一氮化鈦膜係利用該濺鍍法形成於該傳導膜19下。 當該通孔18具有一高過約4之縱橫比(一深度對直徑之 比值)時,一孔隙20係形成於該通孔18内之傳導膜19中。 接著,出現在該第一夾層絕緣膜16之一部分該傳導膜19 係利用例如化學機械研磨技術(CMP)來移除,以形成如圖 18B所示之插頭21。之後,該第一夾層絕緣膜16係乾蝕刻, -5- 本紙張尺度適用中國國家標準(CNS) A4規格(210 X 297公釐) 523868 A7 ____B7 五、發明説明(3 ) 以減少如圖18C所示之第一夾層絕緣膜1 β厚度。 接著,如圖19Α所示,一第二電阻圖案22係形成於具有 該減少厚度之第一夾層絕源膜16上,接著,該第一夾層絕 緣膜16係使用該第二電阻圖案22當作一遮罩來作乾姓刻, 藉此形成如圖19Β所示之圖案化第一夾層絕緣膜16Α。 接著,該多層金屬膜15係使用該插頭21及該圖案化第一 夾層絕緣膜16 Α當作一遮罩來作乾银刻,藉此將該多層金屬 膜15形成如圖19C所示之金屬互連丨5A。因該插頭21及該金 屬互連15A具有一本方式之自我對準結構,介於該插頭21 及該金屬互連15A間之位置位移可被避免。 該第二電阻圖案2 2係在該多層金屬膜15之乾钱刻前或 後利用去灰來移除。即使在乾蝕刻該多層金屬膜丨5後該第 二電阻圖案22係被移除時,出現在該插頭21上之一部分該 第二電阻圖案22係在乾蝕刻該多層膜金屬膜15期間被消除 。因此,該插頭21之上面部分係稍被蝕刻,因而開口 2〇a 係形成於該孔隙20之上面部分。 接著,該絕緣膜11及該圖案化第一夾層絕緣膜16A係乾 蝕刻,藉此減少如圖20 A所示之該絕緣膜11及該圖案化第一 夾層絕緣膜16A之厚度。 接著,如圖20B所示,一第二夾層絕緣膜23係利用該CVD 沉積覆在該半導體基板10上,藉此於該金屬内連線15 A之間 形成空氣間隙24。之後,該第二夾層絕緣膜23係利用如圖 20C所示之CMP來平坦化。 當圖17A至20C之程序係接著重複實行時,一具有一包含 -6- 本紙張尺度適用中國國家標準(CNS) A4規格(210 X 297公釐) 523868 A7 __ —_ B7 五、發明説明(4 ) " ^ --- 2乳間隙(多層内連線結構之半導體裝置可被製造。 然而’用以製造一半導體裝置之傳統方法中,當該通孔 18具有一大約高於4之縱橫比時,該孔隙2〇係形成於如圖 18B所示之插頭21内。因此,當具有該空氣間隙以之金屬内 連線15A被形成時,該孔隙2()之整個開口 _係形成於如圖 2 0 C所示之插頭21中。 、據此,介於孩插頭21及一形成於該第二夾層絕緣膜23上 之上金屬内連線間之電阻係大幅增加,其引起該裝置特徵 漸減之問題。 本例中,當介於該接觸插頭2丨及該上金屬内連線間之電 阻係大到超過該限制時,該金屬内連線結構之可靠性係大 幅降低,且該半導體裝置不能操作於最糟狀況中。 甚者,在利用該CMP平坦化該第二夾層絕緣膜23中,一 用於孩CMP中之研磨進入該孔隙2〇而引起該插頭21被該研 磨侵蝕之問題。 發明概述 在考慮别述傳統問題中,本發明之一目的係製造一高效 率及南可罪之半導體裝置,而不於一在一通孔中所形成之 插頭中形成一孔隙,即使當該通孔具有一高縱橫比。 為了得到該目的,本發明之半導體裝置包括自一由一在 一具有一絕緣膜夾於其中之半導體基板上沉積之第一金屬 膜及一在該第一金屬膜上沉積之第二金屬膜所組成之多層 膜中產生之金屬内連線;一在該金屬内連線上形成之夾層 絕緣膜;及一自一在一該夾層絕緣膜中形成之通孔内之第 本紙張尺度適用中國國家標準(CNS) A4規格(210X 297公釐) 523868 A7 B7 五、發明説明(5 ) 二金屬膜上選擇性成長之第三金屬膜中產生之插頭。 在本發明之半導體裝置中,該插頭係製自該通孔内之第 二金屬膜上選擇性成長之第三金屬膜中,因此,沒有孔隙 係形成於該插頭中。據此,該半導體裝置之效率及可靠性 可獲改進。 在該半導體裝置中,該第三金屬膜係最好以電鍍法成長 〇 如此,該第三金屬膜可清楚地成長於該第二金屬膜上, 因此,該半導體裝置之效率及可靠性可清楚地改進。 在該半導體裝置中,該第二金屬膜及該第三金屬膜係最 好製自該同型金屬中。 如此,該第三金屬膜可清楚地成長於該第二金屬膜上, 因此’該半導體裝置之效率及可靠性可清楚地改進。 在該半導體裝置中,最好,該第二金屬膜及該第三金屬 膜係製自一包含銅作為一主要成分之金屬中,其中,該第 三金屬膜係以電鍍法來成長,及沒有黏附層係形成於該第 二金屬膜及該第三金屬膜之間。 當該第二金屬膜及該第三金屬膜係製自包含銅作為一 主要成分之金屬中以及該第三金屬膜係以該電鍍法來選擇 性地成長,一具有低電阻及與該金屬内連線之低接觸電阻 之插頭可清楚地形成。 在該半導體裝置中,一至氣間隙係最好形成於該夾層絕 緣膜中之金屬内連線之間。 如此,在該金屬内連線間之夾層絕緣膜之介電常數可以 -8- 本紙張尺度適用中國國家標準(CNS) A4規格(210 X 297公釐) 523868 A7
減少’用以減低該金屬内連線間之電容。 在該半導體裝置中’該金屬内連線組成之第一金屬膜最 好具有之内連線電阻實質上為該金屬内連線組成之第二金 屬膜之内連線電阻之1/5或更少。
如此,一流過該金屬内連線之電流實際流過該第一金屬 膜及少量流過該第二金屬膜,因此,作用可於該第一金屬 膜及該第二金屬膜間作分割,致使該第一金屬膜可作用如 一内連線以允許一電流流過其間以及該第二金屬膜可作用 如一種予層以成長該第三金屬膜。據此,可選擇最佳作用 於該第一金屬膜及該第二金屬膜之材料。 裝 在該半導體裝置中,該金屬内連線組成之第一金屬膜具 有之内連線電阻實質等效於該金屬内連線組成之第二金屬 膜之内連線電阻。
如此’在一流過該第一金屬膜之電流之分散式固定電路 中之相位及在一流過該第二金屬膜之電流之分散式固定電 路之相位係實質相同於整個金屬内連線,因此,一由一流 過該金屬内連線之電流傳送之信號擾動可減至最小。 用以製造本發明之半導體裝置之方法包括步驟為在一 具有一絕緣膜夾於其間之半導體基板上沉積一第一金屬膜 ,·在該第一金屬膜上沉積一第二金屬膜;在該第二金屬膜 上形成一夾層絕緣膜;在該夾層絕緣膜中形成一通孔以在 曝露该通孔内之第二金屬膜,在該通孔内之第二金屬膜上 選擇性地成長以形成一第三金屬膜之插頭;利用圖案化該 夾層絕緣膜成該内連線外形來形成一圖案化夾層絕緣膜; • 9 - 本紙張尺度適用中國國家標準(CNS) A4規格(210χ 297公¢) 523868 A7 _____ B7 五、發明説明(7 ) 及利用蚀刻具有該插頭之多層膜及使用該圖案化夾層絕緣 膜作為一遮罩以自一由該第一金屬膜及該第二金屬膜組成 之多層膜中形成金屬内連線。 用以製造本發明之半倒體裝置之方法中,在該第二金屬 膜上形成菽夾層絕緣膜後,該通孔係形成於該夾層絕緣膜 以曝露該通孔内之第二金屬膜。之後,該第三金屬膜係選 擇性地成長於該通孔内之第二金屬膜上,用以自該第三金 屬膜中形成該插頭。因此,一具有一均勻期待厚度之第二 金屬膜I種子層可形成於該通孔底部上。據此,該第三金 屬膜可清楚地成長,用以形成一無孔隙之良好插頭。 用以製造一半導體裝置之方法中,該第三金屬膜係最好 以電鍍法來成長。 如此,該第三金屬膜可有清楚地成長於該第二金屬膜上 ’用以清楚地改進該半導體裝置之效率及可靠性。 用以製造一半導體裝置之方法中,該第二金屬膜及該第 三金屬膜係最好製自同型金屬。 如此,該第三金屬膜可有清楚地成長於該第二金屬膜上 ,用以清楚地改進該半導體裝置之效率及可靠性。 用以製造一半導體裝置之方法中,該第二金屬膜及該第 二金屬膜係最好製自一包含銅作為一主要成分之金屬中, 且該第三金屬膜係最好以電鍍法在不具黏附層夾於其間之 第二金屬膜上成長。 如此,一具有低電阻及與該金屬内連線之低接觸電阻之 插頭可清楚地形成。 -10- 本紙張尺度適用中國國家標準(CNS) A4規格(210X 297公楚) 523868
五、發明説明(8 ) 圖式之簡單說明 圖1係一用以說明根據本發明具體實施例丨來製造一半 導體裝置之方法之系列圖; 圖2係一用以說明製造具體實施例丨之半導體裝置之方 法之第一方法圖; 圖3係一用以說明製造具體實施例1之半導體裝置之方 法之第二方法圖; 圖4係一用以說明製造具體實施例1之半導體裝置之方 法之第三方法圖; 圖5係一用以說明製造具體實施例1之半導體裝置之方 法之第四方法圖; 圖6係一用以說明製造具體實施例1之半導體裝置之方 法之第五方法圖; 圖7係一用以說明製造具體實施例1之半導體裝置之方 法之第六方法圖; 圖8係一用以說明製造具體實施例1之半導體裝置之方 法之第七方法獨; 圖9A、9B、9C及9D係用以顯示製造具體實施例1之半導 體裝置方法程序之剖面圖; 圖10A、10B及10C係用以顯示製造具體實施例1之半導體 裝置方法之其它程序之剖面圖; 圖11A、11B及11C係用以顯示製造具體實施例1之半導體 裝置方法之另一其它程序之剖面圖; 圖12A、12B及12C係用以顯示製造具體實施例1之半導體 -11 - 本紙張尺度適用中國國家標準(CNS) A4規格(210 X 297公釐) 523868 A7 B7 五、發明説明(9 裝置方法之另一其它程序之剖面圖; 圖13A、13B及13C係用以顯示製造具體實施例}之半導體 裝置方法之另一其它程序之剖面圖; 圖14A、14B及14C係用以顯示製造具體實施例^^之半導體 裝置方法之另一其它程序之剖面圖; 圖15係一根據本發明且每、> ,,Λ . I Λ1施例2之半導體裝置之剖面 圖, 、圖16A及16B係根據本發明具體實施例3之半導體裝置之 剖面圖; 圖17A、ΠΒ、nC及17D係用以顯示製造— 傳統方法程序之剖面圖; 裝 圖18A、副及18C係用以顯示製造一半導體裝置之傳統 方法之其它程序之剖面圖; 訂 圖19A、19B及19C係用以顯示製造一半導體裝置之傳統 方法之另一其它程序之剖面圖;及 圖20A、20B及20C係用以顯示製^ ^ ^ 貝不Ik一+導體裝置之傳統 方法之另一其它程序之剖面圖。 線 詳細說明本發明 具體實施例1 一種半導體裝置及一根據本發明具體實施例丨之製造該 半導體裝置之方法現在將參考附圖作說明。 ^ 圖1係一用以說明根據本發明具體實施例丨來製造一 導體裝置之方法之系列圖。如圖i所示,用以製造且髀由施 m之半導體裝置之方法包含-用以在—半導體基板^絕 12- 523868 A7 ___B7 五、發明説明(10 ) 緣膜上形成一多層金屬膜之第一方法;一用以在該多層金 屬膜上形成一第一爽層絕緣膜之第二方法;一用以在該第 一夾層絕緣膜中形成一到達該多層金屬膜之通孔之第三方 法;一利用填充一傳導膜於該通孔中以形成一插頭之第四 方法;一利用圖案化該多層金屬膜以形成一金屬内連線之 第五方法;一用以形成一第二夾層絕緣膜之第六方法;及 一用以平坦化該第二夾層絕緣膜之第七方法。 同時,一具有一多層内連線結構之半導體裝置可利用重 複實行該第一至第七方法來製造。 該第一至第七方法現在將參考附圖作詳細說明。 〈第一方法〉 用以在一半導體基板之絕緣膜上製造一多層金屬膜之 第一方法現在將參考圖2及9A至9D來作說明。 第一,如圖9A所示,一絕緣材料之絕緣膜1〇1係#cvD或 旋轉塗佈法來形成於一半導體基板1〇〇上,及一連接至該半 導體基板100之插頭(未顯示)或一形成於該半導體基板100 上之内連線係形成於該絕緣膜1 〇 1。該絕緣膜1 0 1係製自一 氧化矽膜(具有一介電常數k約為4· 3)或一具有一低於該氧 化矽膜的那個之介電常數之低介電膜(所謂低1^膜)中。該低 介電膜可以是一芳香聚合物或類似之有機膜、一例如一包 含氟(含氟矽酸鹽玻璃)之氧化矽膜之無機膜、或一例如一 包含甲基族或類似之氧化矽膜之有機-無機混合膜。另外, 該絕緣膜可以是一製自一具有一低於該低k膜之介電常數 之ULK (超低k)膜中之多孔膜,其具有一矽-矽键及一矽一氧 -13- 本紙張尺度適用中國國家標準(CNS) A4規格(210X297公釐) 523868 A7 B7 五、發明説明(11 ) 鍵且其中包含細孔。 接著,一第一障礙金屬層102、一第一金屬膜103及一第 二障礙金屬層104係連續沉積於如圖9B所示之絕緣膜101上 ,而一第二金屬膜105係沉積於如圖9C所示之第二障礙金屬 層104上。之後,一擴散防阻膜1〇6係沉積於如圖9D所示之 第二金屬膜105上。 該第一金屬膜10 3可以濺鍍、CVD或電鍍自一例如一鋁合 金、金、銀、銅及鉑之低電阻材料中產製而成。該第二金 屬膜10 5可以 >賤鐘、C V D或電鍵自一例如金、銀 '銅及始之 低電阻材料中產製而成。 該第一及第二障礙金屬層102及1〇4係最好製自一用以 改進黏性並防止金屬擴散之薄膜中,例如不是一鈥膜就是 一氮化鈦膜,或不是一鉦膜就是一氮化鈕膜。 該擴散防止膜106應是一能阻止包含於該第二金屬膜 105中之金屬擴散之薄膜,且可以CVD沉積之氮化碎膜或一 碳化矽膜。同時,該擴散防止膜1 〇 6最好係一具有一低介電 常數及高黏性之薄膜^ 在含於該第一金屬膜1 0 3中之金屬係難以擴散之例子中 ’遠第一及弟一障礙金屬層1〇2及1〇4可被忽略,而在該第 一金屬膜10 5具有高黏性且難以擴散之例子中,該擴散防止 膜10 6可被忽略。 〈第二方法〉 用以形成一第一夬層絕緣膜於該多層金屬膜上之第二 方法現在將參考圖3及10A來作說明。 -14 - 本紙張尺度適用中國國家標準(CNS) A4規格(210X297公釐) 523868 A7 ____ B7 五、發明説明(12 ) 如圖10 A所述,一絕緣材料之第一夾層絕緣膜i 〇 了係以 CVD或旋轉塗佈於含於該多層金屬膜中之擴散防止膜上 而形成。該弟一爽層絕緣膜1〇7可以是一氧化碎膜;一低k 膜’例如一芬芳聚合物或類似之有機膜、一像一含氟之氧 化石夕膜之無機膜及一像一含一甲基族之氧化碎膜之有機— 無機混合膜;或一像一具有一矽—矽鍵及一矽-氧鍵且其中 含細孔之多孔膜之ULK膜。 〈弟二方法〉 用以形成一通孔於該第一夾層絕緣膜中之第三方法現 在將參考圖4、10B、10C來作說明。 如圖10B所示,以熟知之顯影法在該第一夾層絕緣膜丨〇7 上形成一第一遮罩圖案108後,該第一夾層絕緣膜1〇7及該 擴散防止膜106係使用一含弗隆作為一主要成分之氣體及 以該第一遮罩圖案1 〇8作為一遮罩來作電漿蝕刻。如此,通 孔109可形成於如圖10C所示之第一夾層絕緣膜1〇7及擴散 防止膜106中。 該第一遮罩圖案10 8在第一夾層絕緣膜1 〇 7係自一無機 膜或一有機一無機混合膜中生成時最好係一抗蝕劑圖案, 且當該第一夾層絕緣膜10 7係自一有機膜中生成時最好係 一氧化矽膜或類似之一硬遮罩。 該第一夾層絕緣膜10 7及該擴散防止膜1 〇 6之電漿姓刻 可以連續實行或分成二程序來實行。 〈第四方法〉
用以形成一插頭之第四方法現在將參考圖5、11A至11C -15- 本紙張尺度適用中國國家標準(CNS) A4規格(210 X 297公釐) 523868 A7 B7 五、發明説明(13 ) 及12A來作說明。 第一 ’如圖11A所示,一黏附層π〇係以CVD或濺鍍沉積 覆在該第一夾層絕緣膜1〇7及該通孔1〇9牆壁及底部上。 接著,該黏附層11 〇係使用例如一含弗隆作為主要成分 之蚀刻氣體之電漿來作異向姓刻。如此,出現在該通孔1 〇 9 底部及該第一夾層絕緣膜i 07頂部表面之黏附層u 〇部分被 移除,而只允許該黏附層11〇留在該通孔1〇9壁上。之後, 在該通孔109底部曝露之第二金屬膜1 〇5表面係使用例如氬 氣或一氬及氫混合氣體之電漿以濺鍍方式來清潔。 接著,如圖11C所示,一第三金屬膜1丨丨係以電鍍法選擇 性地成長於該通孔109底部所曝露之第二金屬膜1〇5表面上 ,之後,一在該第一夾層絕緣膜1〇 7上及超過部分出現之第 三金屬膜111部分係以CMP移除。如此,插頭112係如圖12A 所示地自該第三金屬膜111中形成。 該第三金屬膜111可製自一具有一例如金、銀、銅及鉑 之低電阻金屬中,且可同於或不同於該第二金屬膜1〇5。當 該第三金屬膜111係製自相同於該第二金屬膜1〇5之金屬中 時,該第三金屬膜111不只可輕易地以無電極電鍍方式來成 長,也可以電泳電鍍方式來成長。 該第三金屬膜111可以該無電極電鍍或該電泳電鍍方式 來成長。然而,因該第二金屬膜105係以一層狀出現在該半 導體基板100上,該第三金屬膜111可輕易地以該電泳電鍍 方式來成長。 該黏附層110可以是一例如一氮化矽膜及一碳化矽膜之 -16 - 本紙張尺度適财國國家標準(CNS) A4規格(210 X 297公爱) 523868 A7 --"^ B7 五、發明説明~~ -- 絕緣膜,且最好係製自一能防止在該第三金屬膜111中所包 含之金屬擴散至該第一夾層絕緣膜丨〇7中之材料。據此,該 黏附層110材料最好係考慮選擇包容於該第三金屬膜丨11。 用以形成一插頭之下列二方法係傳統上習知的··在該第 一方法中’ 一例如一鎢膜之金屬膜係以CVD填充於一通孔中 ’及在该第二方法中,一種子層係以濺鍍法形成覆在包含 一通孔底部之夾層絕緣膜上,及一金屬膜係以電鍍法成長 在孩通孔内之種子層上。在任何這些傳統熟知方法中,當 該通孔具有一高於4之縱橫比時,一孔隙係不可避免地形成 於該插頭内。這是因為,在該第一方法中,該金屬膜不能 填充於該通孔中而不形成一孔隙,而在該第二方法中,該 種子層不能均勻地形成於該通孔底部。 然而’在本具體實施例中,在該第二金屬膜1〇5上形成 該第一夾層絕緣膜107後,該通孔109係形成於該第一夾層 絕緣膜107中,用以曝露該通孔109内之第二金屬膜1〇5。因 此’一具有一均勻期待厚度之第二金屬膜1〇 5之種子層可以 明確地形成於該通孔1〇9底部,因此,該第三金屬膜1^可 明確地成長於該通孔109内之第二金屬膜1〇5。結果,該插 頭112可避開孔隙。 雖然該第三金屬膜111在本具體實施例中以電鍍法來成 長,該第三金屬膜111可以選擇之CVD代替來選擇性地成長 於該通孔109内之第二金屬膜105上。 〈弟五方法〉
用以形成一金屬内連線之第五方法現在將參考圖6、12B -17- 本紙張尺度適用中國國家標準(CNS) A4規格(210 X 297公釐) 523868 A7
、12C、13A至13C及14A來作說明。 第一,如圖12B所示,蝕刻該第一夾層絕緣膜1〇7,藉此 減少該第一夾層絕緣膜1 〇 7厚度並允許該插頭丨丨2自具有減 少之厚度之第一夾層絕緣膜1 〇 7中伸出。 接著,如圖12C所示,在該第一夾層絕緣膜1〇7上形成一 第二遮罩圖案113後,將該第一夾層絕緣膜ι〇7及該擴散防 止膜106使用含弗隆作為一主要成分以該第二遮罩圖案113 用作一遮罩來實行電漿蝕刻。如此,一圖案化第一夾層絕 緣膜107A及一圖案化擴散防止膜ι〇6Α係如圖13A所示地形 成。 該弟一遮罩圖案113在該第一夾層絕緣膜107不是一有 機膜時最好係一抗蝕劑圖案且在該第一夾層絕緣膜1〇7係 一有機膜時最好係一氧化矽膜之硬遮罩。 該第一夾層絕緣膜107和該擴散防止膜106之電漿蝕刻 可被連續實施或以兩步驟實施。 接著,該第二金屬膜105係使用該第二遮罩圖案113、該 插頭112及該圖案化第一夾層絕緣膜107A作為一遮罩來作 乾蝕刻,藉此形成一如圖13B所示之圖案化第二金屬膜10 5 A 。之後,該第二障礙金屬層104、該第一金屬膜103及該第 一障礙金屬層102使用該插頭112及該圖案化第一夾層絕緣 膜107A作為一遮罩來作乾蝕刻,藉以形成如圖13C所示之一 圖案化第二障礙金屬層104A、一圖案化第一金屬膜103A及 一圖案化第一障礙金屬層102A。如此,每個由該圖案化第 二金屬膜10 5A、該圖案化第二障礙金屬104A、該圖案化第 -18- 本紙張尺度適用中國國家標準(CNS) A4規格(210 X 297公釐) 523868 A7 B7 五、發明説明(16 ) 一金屬膜103A及該圖案化第一障礙金屬層102A組成之金屬 内連線114被形成。 蚀刻該第二金屬膜105及蚀刻該第二障礙金屬層1〇4,該 第一金屬膜103及該第一障礙金屬層1〇2可使用一含氯氣、 溴氣或破氣作為一主要成分之氣體來實行電漿蝕刻,且可 連續實行或以二程序分開實行。 I虫刻該第二金屬膜105及I虫刻該第二障礙金屬層1〇4兩 者,該第一金屬膜103及該第一障礙金屬層1〇2係使用該插 頭112及該圖案化第一夾層絕緣膜1 〇 7 A作為該遮罩來實行 。因此’由該圖案化第一障礙金屬層102A、該圖案化第一 金屬膜103A、該圖案化第二障礙金屬ι〇4Α、該圖案化第二 金屬膜105A及該插頭112所組成之金屬内連線114具有該自 我對準結構。據此,該金屬内連線114及該插頭112可微處 理而不引起其間之位移。 接著,雖然未示於圖中,該第二遮罩圖案113之某保留 部分利用去灰法來移除且該半導體基板接著被清洗。 接著’該圖案化第一夾層絕緣膜1 〇 7 A及該絕緣膜1 〇 1被 乾蚀刻,藉以減少該圖案化第一夾層絕緣膜丨〇 7 A厚度並利 用減少如圖14A所示之絕緣膜1〇1厚度來形成該絕緣膜1〇丄 中之溝槽115。 〈第六方法〉 用以形成一第二夾層絕緣膜之第六方法現在將參考圖7 及14B來作說明。 如圖14B所示,一第二夾層絕緣膜116係以cvd法沉積覆 -19- ^紙張尺度適用中國國家標準(CNS) A4規格(210X 297公釐) " 523868 A7
在為半導體基板10〇上,藉以於該金屬内連線114間形成空 氣間隙117。 1 〈第七方法〉 用以平坦化該第二夾層絕緣膜之第七方法現在將參考 圖8及14C來作說明。 如圖14C所示,該第二夾層絕緣膜U6係以CMp來作平坦 化’藉以曝露該插頭112上表面。 接著’將參考圖卯至14(:所述之程序重複實行,用以形 成一具有空氣間隙之多層内連線結構。 現在’在該圖案化第一金屬膜1〇3A及該圖案化第二金屬 膜105A間之内連線電阻中之關係將被說明。 如同一第一方法,圖案化第一金屬膜1〇3A之内連線電阻 係設足為真正該圖案化第二金屬膜1〇5A間之内連線電阻之 1 / 5或更少。 因此’ 一流過該金屬内連線114之電流主要流過該圖案 化第一金屬膜10 3A。因此,該工作係分割於這二金屬膜之 間’致使該圖案化第一金屬膜103八可作用如一内連線而該 第二金屬膜105可作用如一用以成長該第三金屬膜hi之種 子層。 據此’該第一金屬膜1 〇 3可製自一具有例如鋁之低電阻 之金屬材料中,而該第二金屬膜105可製自一如銅般能以該 電鍍法輕易地成長該第三金屬膜之金屬材料。 如一第二方法,該圖案化第一金屬膜丨〇3 A之内連線電阻 係設定為真正地等於該圖案化第二金屬膜W5A間之内連線 -20- 本紙張尺度適用中國國家標準(CNS) A4規格(210 X 297公釐) 523868 A7 B7 五、發明説明(18 電阻。 如此’ 一流過該圖案化第一金屬膜1〇3人之電流之分散式 疋电路中之相位及一流過該圖案化第二金屬膜丨〇5A之電流 足分散式定電路中之相位在整個金屬内連線1丨4係真正地 相同。因此,一由一流過該金屬内連線114之電流所傳送之 k號擾動可減至最小。一用以真正等化該内連線電阻之特 定方法將參考下面具體實施例3來作詳述。 具體貫施例2 一半導體裝置及一根據本發明具體實施例2製造該半導 體裝置之方法現將參考圖15來作說明。 該具體實施例2之半導體裝置不同於該具體實施例1之 半導體裝置處在該絕緣膜1〇1中不具溝槽115 (像圖14A所示 的那些)及在该金屬内連線1 1 4間不具有空氣間隙1 1 7 (像圖 14 C所示的那些)。 為了製造該具體實施例2之半導體裝置,利用參考圖12B 所述之蝕刻該第一夾層絕緣膜1 〇7以減少該第一夾層絕緣 膜10 7厚度之程序及利用參考圖14 A所述之|虫刻該絕緣膜 10 1以在該絕緣膜1 〇 1中形成溝槽115之程序可在製造具體 實施例1之半導體裝置之方法中被忽略。如此,一在該金屬 内連線114間不具空氣間隙117之内連線結構可被形成。 同樣地,在具體實施例2中,該插頭112及該金屬内連線 114具有該自我對準結構,因此,該金屬内連線丨14及該插 頭112可作細部處理而不引起其間之位移。 甚者,因該第三金屬膜111係以該電鍍法成長於該通孔 -21 - 本紙張尺度適用中國國家標準(CNS) A4規格(210 X 297公釐)
裝 訂
k 523868 A7 ______ B7 五、發明説明(19 ) 10 9内,該插頭112可避開孔隙。 具體實施例3 一根據本發明具體實施例3之半導體裝置現將參考圖 16A及16B來作說明。 圖16 A顯示利用具體實施例1之製造方法所製造之具體 貫施例3之半導體裝置,而圖1 6B顯示利用具體實施例2之製 造方法所製造之具體實施例3之半導體裝置。 如具體實施例3之半導體裝置特徵,不論使用何種結構 ’該圖案化第一金屬膜103A之厚度hi及該圖案化第二金屬 膜105A之厚度h係設定以使該圖案化第一金屬膜ι〇3Α之内 連線電阻及該圖案化第二金屬膜1〇5Α之内連線電阻真正地 相等。 如此,一流過該圖案化第一金屬膜103A之電流之分散式 定電路中之相位及一流過該圖案化第二金屬膜105A之電流 之分散式定電路中之相位在整個金屬内連線114係真正地 相同。因此,一由一流過該金屬内連線114之電流所傳送之 信號擾動可減至最小。 例如,在該第一金屬膜1 〇 3係製自鋁合金且該第二金屬 膜105係製自銅之例子中,該電阻在20度鋁合金中係為 2· 69(μΩ·(:πι)而在銅中係為1· 696(μΩ·(:πι)。據此,為了等 化該圖案化第一金屬膜103Α及該圖案化第二金屬膜105Α之 内連線電阻以具有相同線寬度,該第一金屬膜103及該第二 金屬膜105之厚度係控制致使它們厚度(hi/h2)間之比值可 接近1. 6。 -22- 本紙張尺度適用中國國家標準(CNS) A4規格(210X 297公釐) 裝 訂
k 523868 A7 B7 五、發明説明(20 ) 因該厚度比(hi/h2)值之容限範圍係約為±10%,該值實 際上係約為1. 4至1. 8。 -23- 本紙張尺度適用中國國家標準(CNS) A4規格(210 X 297公釐)

Claims (1)

  1. 523868 第090126602號專利申請案 中文申請專利範圍修正本(91年#足) A8 B8 C8 D8 規請委—明示卜年!-月->1日所提之 本有無變更實質内容是否准予修正C 六、申請專利範圍 1. 一種半導體裝置,包括: 製自一由一沉積在具有一絕緣膜夾於其中之半導體基 板上之第一金屬膜及一沉積在該第一金屬膜上之第二金 屬膜所組成之多層膜之金屬内連線,其中該第二金屬膜 係一種子層; 一形成於該金屬内連線上之夾層絕緣膜;及 一製自一選擇性成長於該夾層絕緣膜中所形成之通孔 内之該第二金屬膜上之第三金屬膜之插頭。 2·如申請專利範圍第1項之半導體裝置, 其中該第三金屬膜係以電鍍法成長。 3·如申請專利範圍第1項之半導體裝置, 其中,該第二金屬膜及該第三金屬膜係製自相同類型 金屬中。 4·如申請專利範圍第1項之半導體裝置, 其中,該第二金屬膜及該第三金屬膜係製自一含銅作 為主要成分之金屬中, 該第三金屬膜係以電鍍法成長,及 沒有黏附層係形成於該第二金屬膜及該第三金屬膜之 間。 5·如申請專利範圍第1項之半導體裝置, 其中,一空氣間隙係形成於該夾層絕緣膜中之金屬内 連線之間。 6·如申請專利範圍第1項之半導體裝置, 其中組成該金屬内連線之該第一金屬膜具有之内連線 O:\74\74478-911029.DOC、 5 -1 · 本紙張尺度適用中國國家標準(CNS) A4規格(21G X 297公褒) 523868 A8 B8 C8 D8
    中請專利範圍 電阻實際上為組成該金屬内連線之該第二金屬膜之内連 線電阻1/5或更少。 7·如申請專利範圍第1項之半導體裝置, 其中組成該金屬内連線之該第一金屬膜具有之内連線 電阻實際上等於組成該金屬内連線之該第二金屬膜之内 連線電阻。 8· 一用以製造一半導體裝置之方法,包括下列步驟: 在一具有一絕緣膜夾於其中之半導體基板上沉積一第 一金屬膜; 在該第一金屬膜上沉積一第二金屬膜,其中該第二金 屬膜係一種子層; 在該第二金屬膜上形成一夾層絕緣膜; 在該夬層絕緣膜中形成一通孔,用以曝露該通孔内之 該第二金屬膜; 選擇性地成長於該通孔内之該第二金屬膜上以形成一 第三金屬膜之插頭; 利用圖案化該夾層絕緣膜成為該内連線外形以形成/ 圖案化夾層絕緣膜;及 自一由該第一金屬膜及該第二金屬膜所組成之多層膦 中利用蚀刻具有該插頭之該多層膜及將該圖案化夾層感 緣膜用作一遮罩來形成金屬内連線。 9.如申請專利範圍第8項之用以製造一半導體裝置之方法, 其中該第三金屬膜係以電鍍法成長。 1〇·如申請專利範圍第8項之用以製造一半導體裝置之方法, -2. O:\74\74478-911029.DOQ 本紙張尺度適用中國國家標準(CNS) A4規格(210 X 297公釐) 523868 A B c D 々、申請專利範圍 其中該第二金屬膜及該第三金屬膜係製自相同類型金 屬。 11.如申請專利範圍第8項之用以製造一半導體裝置之方法, 其中該第二金屬膜及該第三金屬膜係製自一含銅作為 一主要成分之金屬中,及 該第三金屬膜係利用電鍍在不具有黏附層夾於其間之 該第二金屬膜上來成長。 〇:\74\74478-911029.DOC\ 5 -3- 本紙張尺度適用中國國家標準(CNS) A4規格(210 X 297公釐)
TW090126602A 2000-10-26 2001-10-26 Semiconductor device and method for fabricating the same TW523868B (en)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP2000327154A JP3686325B2 (ja) 2000-10-26 2000-10-26 半導体装置及びその製造方法

Publications (1)

Publication Number Publication Date
TW523868B true TW523868B (en) 2003-03-11

Family

ID=18804234

Family Applications (1)

Application Number Title Priority Date Filing Date
TW090126602A TW523868B (en) 2000-10-26 2001-10-26 Semiconductor device and method for fabricating the same

Country Status (3)

Country Link
US (2) US20020050651A1 (zh)
JP (1) JP3686325B2 (zh)
TW (1) TW523868B (zh)

Families Citing this family (15)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP3588582B2 (ja) * 2000-10-20 2004-11-10 松下電器産業株式会社 半導体装置の製造方法
JP3654830B2 (ja) * 2000-11-17 2005-06-02 松下電器産業株式会社 半導体装置及びその製造方法
KR100459062B1 (ko) * 2001-12-28 2004-12-03 동부전자 주식회사 반도체 제조 공정에서의 콘택트 홀 형성 방법
JP2004356469A (ja) * 2003-05-30 2004-12-16 Renesas Technology Corp 半導体集積回路装置の製造方法
US7088003B2 (en) * 2004-02-19 2006-08-08 International Business Machines Corporation Structures and methods for integration of ultralow-k dielectrics with improved reliability
KR100585181B1 (ko) * 2005-02-24 2006-05-30 삼성전자주식회사 국부 에치 스톱퍼를 갖는 반도체 메모리 소자 및 그 제조방법
US7268588B2 (en) * 2005-06-29 2007-09-11 Freescale Semiconductor, Inc. Cascadable level shifter cell
KR101340727B1 (ko) * 2006-09-11 2013-12-12 엘지디스플레이 주식회사 박막 패턴의 제조방법 및 이를 이용한 액정표시패널 및 그제조방법
US8021974B2 (en) * 2009-01-09 2011-09-20 Internatioanl Business Machines Corporation Structure and method for back end of the line integration
CN102013428B (zh) * 2009-09-04 2012-10-24 尼克森微电子股份有限公司 金氧半导体芯片及其制作方法
US8169019B2 (en) * 2009-09-10 2012-05-01 Niko Semiconductor Co., Ltd. Metal-oxide-semiconductor chip and fabrication method thereof
US8772183B2 (en) * 2011-10-20 2014-07-08 Taiwan Semiconductor Manufacturing Company, Ltd. Method of forming an integrated circuit
JP5932604B2 (ja) * 2012-10-24 2016-06-08 株式会社東芝 半導体装置及びその製造方法
GB201316446D0 (en) 2013-09-16 2013-10-30 Spts Technologies Ltd Pre-cleaning a semiconductor structure
CN112908861B (zh) * 2021-01-25 2022-03-08 长鑫存储技术有限公司 半导体结构的制造方法及半导体结构

Family Cites Families (18)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH0358421A (ja) 1989-07-26 1991-03-13 Nec Corp 半導体装置の製造方法
JP2985326B2 (ja) 1991-03-19 1999-11-29 日本電気株式会社 半導体装置の製造方法
JPH05121404A (ja) 1991-10-25 1993-05-18 Nec Corp 半導体装置の製造方法
JP3063338B2 (ja) 1991-11-30 2000-07-12 日本電気株式会社 半導体装置およびその製造方法
US5654245A (en) * 1993-03-23 1997-08-05 Sharp Microelectronics Technology, Inc. Implantation of nucleating species for selective metallization and products thereof
US5674787A (en) * 1996-01-16 1997-10-07 Sematech, Inc. Selective electroless copper deposited interconnect plugs for ULSI applications
US6001420A (en) * 1996-09-23 1999-12-14 Applied Materials, Inc. Semi-selective chemical vapor deposition
JPH10233446A (ja) 1997-02-19 1998-09-02 Sony Corp 配線形成方法
US6100184A (en) * 1997-08-20 2000-08-08 Sematech, Inc. Method of making a dual damascene interconnect structure using low dielectric constant material for an inter-level dielectric layer
JP4492982B2 (ja) 1997-11-06 2010-06-30 パナソニック株式会社 多層配線を有する半導体装置の製造方法
US5985759A (en) * 1998-02-24 1999-11-16 Applied Materials, Inc. Oxygen enhancement of ion metal plasma (IMP) sputter deposited barrier layers
US6181012B1 (en) * 1998-04-27 2001-01-30 International Business Machines Corporation Copper interconnection structure incorporating a metal seed layer
JP3362675B2 (ja) 1998-09-08 2003-01-07 日本電気株式会社 半導体装置及びその製造方法
JP2000183158A (ja) 1998-12-14 2000-06-30 Fujitsu Ltd 半導体集積回路装置及びその製造方法
US6326301B1 (en) * 1999-07-13 2001-12-04 Motorola, Inc. Method for forming a dual inlaid copper interconnect structure
US6303498B1 (en) * 1999-08-20 2001-10-16 Taiwan Semiconductor Manufacturing Company Method for preventing seed layer oxidation for high aspect gap fill
US6136707A (en) * 1999-10-02 2000-10-24 Cohen; Uri Seed layers for interconnects and methods for fabricating such seed layers
US6200900B1 (en) * 1999-10-08 2001-03-13 National Semiconductor Corporation Method for formation of an air gap in an integrated circuit architecture

Also Published As

Publication number Publication date
JP2002134612A (ja) 2002-05-10
US20020050651A1 (en) 2002-05-02
US7144761B2 (en) 2006-12-05
JP3686325B2 (ja) 2005-08-24
US20050059231A1 (en) 2005-03-17

Similar Documents

Publication Publication Date Title
TW518683B (en) Semiconductor device and method of manufacturing the same
KR100337580B1 (ko) 반도체장치및그제조방법
TW523868B (en) Semiconductor device and method for fabricating the same
TW441015B (en) Dual-damascene interconnect structures and methods for fabricating same
TWI241682B (en) A method for forming dummy structures for improved CMP and reduced capacitance
US6815329B2 (en) Multilayer interconnect structure containing air gaps and method for making
TWI278062B (en) Semiconductor device and manufacturing method thereof
TWI290356B (en) Semiconductor device and method for fabricating the same
JP3031301B2 (ja) 銅配線構造およびその製造方法
TW200532847A (en) Method of manufacturing a semiconductor device having damascene structures with air gaps
KR20020009211A (ko) 듀얼 다마신 배선구조의 반도체 소자 및 그 제조방법
KR20000022961A (ko) 반도체 장치 제조방법
JPH08148563A (ja) 半導体装置の多層配線構造体の形成方法
KR100331906B1 (ko) 반도체 장치의 제조 방법
JPH0969522A (ja) 埋め込み導電層の形成方法
KR100363696B1 (ko) 반도체장치의 다층 금속배선 형성방법
KR20010009036A (ko) 반도체장치의 배선 및 그 연결부 형성방법
JPH11111842A (ja) 多層配線構造およびその製造方法
JP2001102448A (ja) 配線の形成方法
KR100322887B1 (ko) 반도체장치의 다층 금속배선 형성방법
KR100781432B1 (ko) 반도체 소자의 금속 배선 형성 방법
TW516182B (en) Manufacturing method of dual damascene structure
JPH1074837A (ja) 半導体装置及びその製造方法
JP2001313336A (ja) 銅構造の製造方法
TW594925B (en) Method of fabricating metal interconnects and method of filling openings

Legal Events

Date Code Title Description
GD4A Issue of patent certificate for granted invention patent
MM4A Annulment or lapse of patent due to non-payment of fees