TW488033B - Method of fabricating a semiconductor device comprising a stack of silicon layers alternating with dielectric material layers - Google Patents

Method of fabricating a semiconductor device comprising a stack of silicon layers alternating with dielectric material layers Download PDF

Info

Publication number
TW488033B
TW488033B TW089118601A TW89118601A TW488033B TW 488033 B TW488033 B TW 488033B TW 089118601 A TW089118601 A TW 089118601A TW 89118601 A TW89118601 A TW 89118601A TW 488033 B TW488033 B TW 488033B
Authority
TW
Taiwan
Prior art keywords
silicon
germanium
layer
combination
stack
Prior art date
Application number
TW089118601A
Other languages
English (en)
Inventor
Thomas Skotnicki
Malgorzata Jurczak
Original Assignee
France Telecom C N E T
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by France Telecom C N E T filed Critical France Telecom C N E T
Application granted granted Critical
Publication of TW488033B publication Critical patent/TW488033B/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66742Thin film unipolar transistors
    • H01L29/66772Monocristalline silicon transistors on insulating substrates, e.g. quartz substrates
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/76Making of isolation regions between components
    • H01L21/762Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers
    • H01L21/7624Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers using semiconductor on insulator [SOI] technology
    • H01L21/76264SOI together with lateral isolation, e.g. using local oxidation of silicon, or dielectric or polycristalline material refilled trench or air gap isolation regions, e.g. completely isolated semiconductor islands
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/76Making of isolation regions between components
    • H01L21/764Air gaps
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/423Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions not carrying the current to be rectified, amplified or switched
    • H01L29/42312Gate electrodes for field effect devices
    • H01L29/42316Gate electrodes for field effect devices for field-effect transistors
    • H01L29/4232Gate electrodes for field effect devices for field-effect transistors with insulated gate
    • H01L29/42384Gate electrodes for field effect devices for field-effect transistors with insulated gate for thin film field effect transistors, e.g. characterised by the thickness or the shape of the insulator or the dimensions, the shape or the lay-out of the conductor
    • H01L29/42392Gate electrodes for field effect devices for field-effect transistors with insulated gate for thin film field effect transistors, e.g. characterised by the thickness or the shape of the insulator or the dimensions, the shape or the lay-out of the conductor fully surrounding the channel, e.g. gate-all-around
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/786Thin film transistors, i.e. transistors with a channel being at least partly a thin film
    • H01L29/78651Silicon transistors
    • H01L29/78654Monocrystalline silicon transistors

Landscapes

  • Engineering & Computer Science (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Ceramic Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Thin Film Transistor (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)
  • Metal-Oxide And Bipolar Metal-Oxide Semiconductor Integrated Circuits (AREA)
  • Semiconductor Memories (AREA)

Description

488033 A7 B7 五、發明説明(丨) (請先閱讀背面之注意事項再填寫本頁) 本發明係有關於一種包含由砂層與介電材料層交替形 成之堆疊物的半導體裝置的製造方法。其特別有益於一些 應用例如將邏輯功能整合於一單獨結構、記憶體、閘極環 繞(GAA)電晶體、感測器等之最終互補式金屬氧化半導體 (CMOS)。 標準塊狀結構金屬氧化半導體場效應電晶體( MOSFET)的一個限制因子在於基板效應(降低電晶體的 工作特性)。在一絕緣層上有砍(SOI)結構的M0SFET 中,該缺點藉由鑲埋一層氧化矽層的方式,將矽薄膜與基 板隔離而被避免。 在完全空乏的薄膜SOI結構MOSFET中消除基板效應 將增加汲極電流。 然而,超薄SOI結構的MOSFET具有高的源極/汲極 (S/D)電阻,因爲淺接面爲矽層厚度與不良的熱導率所限 。製造SOI結構基板的成本亦相當高,其已限制製品進入 市場。 經濟部智慧財產局員工消費合作社印製 結合塊狀與絕緣層上有矽(SOI)結構優點之矽在無 物上(silicon on nothing,SON)結構的電晶體可消除上述 的缺點。第1圖表示一個SON結構電晶體,其包含有以一 薄的閘極介電層4塗佈之頂端表面的矽基板1,以及源極 與汲極區域5,6 (定義位於兩者之間的通道區域la),與 位在通道區域la上之基材頂端表面上方的聞極7被形成。 在該源極與汲極區域5, 6之間之電晶體的通道區域la更包 含有一個連續的絕緣孔洞2,該孔洞2藉由連接源極與汲 __3___ 本紙張尺度適用中國國家標準(CNS ) A4規格(210X297公蔆) 488033 A7 B7 五、發明説明(> ) 極區域5, 6,以及位在絕緣孔洞2頂端之薄矽層3而被定 界。閘極7具有間隙壁8, 9於其兩側。接觸1〇,11被設置 於源極與汲極區域5, 6中。 本發明之目的在於提供一種製作基本半導體裝置的方 法,藉此可製作上述的電晶體。 本發明的另一個目的在於提供一種製造最終CMOS的 方法,將邏輯功能整合於一單獨結構、記憶體、閘極環繞 (GAA)電晶體、感測器等,其中在未增加源極與汲極區域 之串連電阻的情況下,消除或至少降低基板效應;該方法 提供高於SOI結構裝置的改良熱逸散,並具有低於SOI結 構的製造成本。 因此,本發明提出一種製造半導體裝置的方法,其包 含有下列步驟: a) 形成包含有連續地至少一個第一組合與一個第二組 合之堆疊物於一個矽基板的主表面上,以基板作參考,各 組合包含一個鍺或鍺與矽的合金鍺化矽(SiGe)之薄底層以 及一個薄的矽頂層; b) 形成一個薄的二氧化矽層於該第二組合的薄矽頂層 .上方,其將在該堆疊物的至少二個相對側邊上支撐該堆疊 物的諸層; c) 形成一個硬式遮罩於該薄的二氧化砂層上,以便形 成二個隔離之相對區域於該硬式遮罩的二相對側邊的各相 對邊上; d) 將二個隔離之相對區域中的該薄二氧化矽層,以及 --- -- 4_ 本紙張尺度適用中國國家標準(CNS ) Μ規格(21〇X 297公釐) (請先閱讀背面之注意事項再填寫本頁) 、1Τ MW. 經濟部智慧財產局員工消費合作社印製 488033 A7 _ B7 五、發明説明()) k % 一組合之砂頂層與至少P卩分的鍺或鍺化砂底層進行触 刻; (請先閲讀背面之注意事項再填寫本頁) 〇將該苐一組合之鍺或鍺化砂底層進行選擇性地橫向 蝕刻,而形成隧道; ^ f) 將該桌一組合的險道以一^固態介電材料塡充; g) 將二個隔離之相對區域中的該第〜組合之砍頂層與 至少部分的鍺或鍺化矽底層進行蝕刻; h) 將該第一組合之鍺或鍺化砂底層進行選擇性地橫向 蝕刻,而形成隧道;以及 ^ i) 依所需而將該第一組合的隧道以〜固態介電材料塡 充。 ^ 適用於本發明的鍺與矽的合金鍺化矽(^(^)包含具有 Sii_xGex (0<χ$1)以及 Sii.x-yGex Cy (〇<χ$〇·95,〇<yg〇.〇5) 化學式的合金。 該硬式遮罩可由對於政、鍺和/或鍺化砂有鈾刻選擇性 的任何傳統材料製作。 經濟部智慧財產局員工消費合作社印製 步驟d)與g)之隔離相對區域的蝕刻偏好電漿蝕刻,其 係爲本技藝所熟知。 步驟e)與h)之鍺或鍺化矽層的蝕刻爲對於矽與介電材 料有選擇性的非等向性電漿蝕刻,或爲使用氧化性溶液的 選擇性化學蝕刻,其係爲本技藝所熟知。以此方式形成於 胃或鍺化矽層中的隧道在步驟f)與i)期間,以一固態的介 «材*料塡充,諸如以二氧化矽(Si〇2)或氧化鉅(Ta2〇5)。特 別地是’其可以熱氧化所形成的二氧化矽塡充。然而,在 度適用石) A4規格(210X297公釐) '~ 488033 A7
發明說明(/ 未損及所獲得之半導體裝置的物理完整性下,第一個組合 的隧道無需以一固態介電材料塡充,在該情況下 ,空氣被 使用爲隧道的介電材料。 本發明亦提供一種半導體裝置,其所包含的矽基材部 分被形成一個介電材料與矽之連續層的堆疊物於其上。 在本發明的一良好實施例中,與矽基材直接相鄰之該 堆疊物的介電材料層爲一個空氣層。較上層則爲延伸超出 堆疊物之位於二個相對側邊上的最終二氧化矽層所良好地 支撐。 圖式簡單說明: 本發明的其他優點及特徵將在硏讀下列對於本發明之 一非限制性的具體實施例之詳細描述並參閱附圖後而變得 淸楚,其中: 第1圖表示一個SON結構電晶體,其包含有以一薄的 閘極介電層4塗佈之頂端表面的矽基板1,以及源極與汲 極區域5, 6,與位在通道區域la上之基材頂端表面上方的 閘極7被形成。 第2a至第2h圖爲表示本發明之各製程步驟的橫剖面 圖,以及 第3圖爲根據本發明之半導體裝置的一實施例的圖式 ,其係爲垂直於第2a至2h圖之剖面的剖面圖式。 一種製造雙層半導體裝置的方法現在將被說明,雖然 本發明並不僅限於雙層裝置。根據本發明之方法可容易地 被應用於具有多於雙層的半導體裝置。 (請先閱讀背面之注意事項再填寫本頁) 裝 訂: 本紙張尺度適用中國國家標準(CNS)A4規格(21〇 X 297公爱) 488033 A7 '_______Β7______ 五、發明說明(() -1111------ 11 (請先閱讀背面之注意事項再填寫本頁) 第2a圖表示一個矽基板12,其上半部爲直角剖面圓 柱狀絕緣區塊13所圍繞。在爲絕緣區塊13所定界之矽基 板12的上表面上方,包含一個矽與鍺合金鍺化矽底層Η 以及一個矽頂層15的一第一個組合被形成。接著形成於該 第一個組合頂端的爲也由一個鍺化矽底層16以及一個矽頂 層17所形成的一第二個組合。 這二個組合的該矽層15, 17以及鍺化矽層14, 16係藉 由選擇性地磊晶成長而被形成,以確保由矽基板12至連續 的矽層15, 17以及鍺化矽層14, 16之基板的晶格連續性。 以此方式所形成的堆疊物將覆蓋所有的矽基板12頂端表面 〇 如第2a圖所示,其次的步驟包含首先成長一個薄的二 氧化矽層18於該第二個組合的頂端矽層17上。該薄的二 氧化矽層18並不覆蓋位於堆疊物(由諸層14, 15, 16及17 所組成)之二個主側邊A,A,上的絕緣區塊13。另一方面 ’該薄的二氧化矽層18則延伸超出沿著該堆疊物之二個副 側邊的絕緣區塊13。在第2a至2h圖中,該二個副側邊係 垂直於二個主側邊A,A,,亦即垂直於該剖面。 其K ’如弟2b圖所币,一個硬式遮罩19被形成於該 薄的二氧化砂層18的中心部位上。其亦延伸超出該堆疊物 的二個副側邊。該硬式遮罩19可由對於矽與鍺化矽合金有 選擇性蝕刻的任何材料所製作。例如,在製造M0S電晶體 的情況中’該硬式遮罩19可爲被覆薄硬式遮罩層的複晶矽 柵網所取代。該材料可爲諸如一個氮氧化矽層,其爲本技 本紙張尺度_中0目家標準χ 29f_@ ) """" 488033 A7 _B7_______ 五、發明說明(6 ) 藝所熟知。 該二氧化矽層18、該第二個組合的頂端矽層17以及 該第二個組合的底部鍺化矽層16的上半部接著藉由諸如電 漿方法在二個主側邊A,A’上被蝕刻,如第2c圖所示。該 二氧化矽層18以及該第二個組合的頂端矽層17被蝕刻。 僅該硬式遮罩19底下的部分未被蝕刻。 其次的步驟爲藉由非等向性電漿蝕刻而將底部鍺化砂 層16移除,其將產生頂端以第二個組合的頂層矽層17且 底部爲第一個組合的頂層矽層15所定界的隧道。其亦得以 使用該鍺化矽層的選擇性化學蝕刻,諸如使用標準氧化性 溶液。該第二個組合的頂層矽層17並不會崩落於第一個組 合的頂層政層15上,因爲其係爲二氧化砂層18及硬式遮 罩19 (延伸超過位於二個副側邊上的絕緣區塊13部分) 所支撐。第2d圖表示形成於矽層15與17之間的隧道。 該第一隧道接著以介電質塡充例如熱氧化所形成的二 氧化矽20。 進一步的蝕刻工作接著在該硬式遮罩的二個相對側邊 上進行,以移除用於塡充第一隧道的該二氧化砂20、該第 一個組合的頂層矽層15以及該第一個組合的底層鍺化矽層 14上半部(見第2f圖)。 更進一步的非等向性電漿蝕刻移除該第一個組合的底 層鍺化矽層14。此舉將形成一個第二隧道,如第2g圖所 示。在隧道上的諸層接著爲位在二個副側邊上的二氧化矽 層18與硬式遮罩19 (支撐於該絕緣區塊13的二個側邊部 __ 8___ 本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公釐) (請先閱讀背面之注意事項再填寫本頁) 裝 · 488033 A7 _- —_ B7 五、發明說明(;?) 分)所支撐。 第2h圖表示一個可依所需而選擇的最終步驟,其係藉 由以熱氧化所形成的二氧化矽21塡充該第二隧道。因此, 第2h圖表示已完成的半導體裝置。 明顯地得以省略以一介電材料(二氧化砂21)塡充該 隧道的最終步驟。具有一空氣層22位於該第一個組合的頂 層矽層15與矽基板12之間的裝置因而被獲得。第3圖表 示垂直前示圖式剖面之該裝置的剖面。沿著二個副側邊( B以及B’,第3圖)支撐矽層17、鍺化矽層16及矽層15 的該二氧化矽層18與硬式遮罩層19 (支撐於該絕緣區塊 13的二個側邊部分)可被看見。 所述的半導體裝置包含多層堆疊於一個矽基板頂端表 面上的諸層,並可被良好地使用爲用於製造新穎半導體組 件的基本裝置。 元件對照表 矽基板1 ;絕緣孔洞2 ;薄矽層3 ;薄的閘極介電層4 ;源 極5 ;汲極6 ;通道區域la ;閘極7 ;間隙壁8 ;間隙壁9 :接觸10 ;接觸11 ;矽基板12 ;直角剖面圓柱狀絕緣區 塊13 ;矽與鍺合金鍺化矽底層14 ;矽頂層15 ;鍺化矽底 層16 ;矽頂層17 ;薄的二氧化矽層18 ;主側邊A ;主側 邊A’ ;硬式遮罩19 ;二氧化矽20 ;二氧化矽21 ;空氣層 22 ;副側邊B ;副側邊B’ ---------2_ 本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公釐) (請先閱讀背面之注意事項再填寫本頁) 裝

Claims (1)

  1. 488033 B8 年)月石日修正/更正/補充 D8 六、申請專利範圍 1.一種製造半導體裝置的方法,該方法的特徵在於其 包含有: a) 形成包含有連續地至少一個第一組合(14, 15)與一個 第二組合(16, 17)之堆疊物(14, 15, 16, 17)於一個矽基板(12) 的主表面上,以基板作參考,各組合包含一鍺或鍺與矽的 合金鍺化矽(SiGe)之薄底層(14,16)以及一個薄的矽頂層 (15, 17); b) 形成一個薄的二氧化矽層(18)於該第二組合的薄矽 頂層(17)上方,其將在該堆疊物的至少二個相對側邊(A, A’)上支撐該堆疊物的諸層; 0形成一個硬式遮罩(19)於該薄的二氧化矽層(18)上, 以便形成二個隔離之相對區域於該硬式遮罩的二相對側邊 (A,A’)的各相對邊上; d) 將二個隔離之相對區域中的該薄二氧化矽層(18), 以及該第二組合之矽頂層(17)與至少部分的鍺或鍺化矽底 層(16)進行蝕刻; e) 將該第二組合之鍺或鍺化矽底層(16)進行選擇性地橫 向蝕刻,而形成隧道; f) 將該第二組合的隧道以一固態介電材料(20)塡充; g) 將二個隔離之相對區域中的該第一組合之矽頂層 (15)與至少部分的鍺或鍺化矽底層(14)進行蝕刻; h) 將該第一組合之鍺或鍺化矽底層(14)進行選擇性地 橫向蝕刻,而形成隧道;以及 1)依所需而將該第一組合的隧道以一固態介電材料(21) 1 本紙張尺度適用中國國家標準(CNS)A4規格(21〇 X 297公釐) f ! (請先閱讀背面之注意事項再填寫本頁) 、一 488033 A8 B8 C8 D8 申請專利範圍 塡充。 ............... ^請先閱讀背面之注意事項再塡寫本頁) 2. 如申請專利範圍第1項之方法,其特徵在於鍺與矽 的鍺化矽合金(14,16)爲鍺與矽所形成的合金,其化學式爲 SikGex (0<x€ 1)或 Si^Gex Cy (0<x€ 0.95,0<yS 0.05)。 3. 如申請專利範圍第1或2項之方法,其特徵在於該 硬式遮罩(19)爲對於矽、鍺和/或鍺化矽可以選擇性地蝕刻 的材料。 4·如申請專利範圍第1或2項之方法,其特徵在於步 驟d)與g)之隔離相對區域的蝕刻爲電漿蝕刻。 5·如申請專利範圍第1或2項之方法,其特徵在於步 驟e)與h)之鍺或鍺化矽層(14,16)的蝕刻爲對於砂(12,15, Π,I8)與介電材料有選擇性的非等向性電漿蝕刻,.或爲使 用氧化性溶液的選擇性化學蝕刻。 6. 如申請專利範圍第1或2項之方法,其特徵在於形 成於鍺或鍺化矽層(14,16)中的隧道在步驟f)與〇期間,以 一固態的介電材料(2〇, 21)塡充。 ’ 7. —種半導體裝置,其特徵在於包含了一矽基材〇2), 且在該縣材部分上g 了-财電材料卿$連續層的 堆疊物(21,15,20,17)#^ 8. 如申請專__ 7項之半導體類,其特徵在於 與砂基材__之iri#_介電_顚〜個空氣層
TW089118601A 1999-06-28 2000-09-11 Method of fabricating a semiconductor device comprising a stack of silicon layers alternating with dielectric material layers TW488033B (en)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
FR9908249A FR2795555B1 (fr) 1999-06-28 1999-06-28 Procede de fabrication d'un dispositif semi-conducteur comprenant un empilement forme alternativement de couches de silicium et de couches de materiau dielectrique

Publications (1)

Publication Number Publication Date
TW488033B true TW488033B (en) 2002-05-21

Family

ID=9547390

Family Applications (1)

Application Number Title Priority Date Filing Date
TW089118601A TW488033B (en) 1999-06-28 2000-09-11 Method of fabricating a semiconductor device comprising a stack of silicon layers alternating with dielectric material layers

Country Status (5)

Country Link
US (1) US6713356B1 (zh)
EP (1) EP1190454A1 (zh)
FR (1) FR2795555B1 (zh)
TW (1) TW488033B (zh)
WO (1) WO2001001496A1 (zh)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI732256B (zh) * 2019-05-22 2021-07-01 南亞科技股份有限公司 半導體結構及其製造方法

Families Citing this family (150)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
FR2821483B1 (fr) 2001-02-28 2004-07-09 St Microelectronics Sa Procede de fabrication d'un transistor a grille isolee et a architecture du type substrat sur isolant, et transistor correspondant
FR2838238B1 (fr) * 2002-04-08 2005-04-15 St Microelectronics Sa Dispositif semiconducteur a grille enveloppante encapsule dans un milieu isolant
US7078298B2 (en) * 2003-05-20 2006-07-18 Sharp Laboratories Of America, Inc. Silicon-on-nothing fabrication process
US7015147B2 (en) * 2003-07-22 2006-03-21 Sharp Laboratories Of America, Inc. Fabrication of silicon-on-nothing (SON) MOSFET fabrication using selective etching of Si1-xGex layer
KR100527108B1 (ko) * 2003-11-28 2005-11-09 한국전자통신연구원 반도체 광소자의 제작 방법
JP2005354024A (ja) * 2004-05-11 2005-12-22 Seiko Epson Corp 半導体基板の製造方法および半導体装置の製造方法
US7256077B2 (en) * 2004-05-21 2007-08-14 Freescale Semiconductor, Inc. Method for removing a semiconductor layer
JP4759967B2 (ja) * 2004-10-01 2011-08-31 セイコーエプソン株式会社 半導体装置の製造方法
JP2006128428A (ja) * 2004-10-29 2006-05-18 Seiko Epson Corp 半導体基板、半導体装置、半導体基板の製造方法および半導体装置の製造方法
KR100669556B1 (ko) 2004-12-08 2007-01-15 주식회사 하이닉스반도체 반도체 소자 및 그 제조 방법
FR2881273B1 (fr) * 2005-01-21 2007-05-04 St Microelectronics Sa Procede de formation d'un substrat semi-conducteur de circuit integre
EP1911098A1 (en) 2005-06-30 2008-04-16 Freescale Semiconductor, Inc. Method of forming a semiconductor structure
JP2007027232A (ja) * 2005-07-13 2007-02-01 Seiko Epson Corp 半導体装置及びその製造方法
FR2889622A1 (fr) * 2005-08-08 2007-02-09 St Microelectronics Crolles 2 Procede de fabrication d'un transistor a nanodoigts semiconducteurs paralleles
KR100630763B1 (ko) * 2005-08-30 2006-10-04 삼성전자주식회사 다중 채널을 갖는 mos 트랜지스터의 제조방법
US7811891B2 (en) * 2006-01-13 2010-10-12 Freescale Semiconductor, Inc. Method to control the gate sidewall profile by graded material composition
US7776745B2 (en) * 2006-02-10 2010-08-17 Stmicroelectronics S.A. Method for etching silicon-germanium in the presence of silicon
FR2897471A1 (fr) * 2006-02-10 2007-08-17 St Microelectronics Sa Formation d'une portion de couche semiconductrice monocristalline separee d'un substrat
FR2899017A1 (fr) * 2006-03-21 2007-09-28 St Microelectronics Sa Procede de realisation d'un transistor a canal comprenant du germanium
US9324576B2 (en) 2010-05-27 2016-04-26 Applied Materials, Inc. Selective etch for silicon films
TWI396230B (zh) * 2010-06-30 2013-05-11 Macronix Int Co Ltd 半導體裝置及其製造方法
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US8999856B2 (en) 2011-03-14 2015-04-07 Applied Materials, Inc. Methods for etch of sin films
US9064815B2 (en) 2011-03-14 2015-06-23 Applied Materials, Inc. Methods for etch of metal and metal-oxide films
US8808563B2 (en) 2011-10-07 2014-08-19 Applied Materials, Inc. Selective etch of silicon by way of metastable hydrogen termination
US9267739B2 (en) 2012-07-18 2016-02-23 Applied Materials, Inc. Pedestal with multi-zone temperature control and multiple purge capabilities
US9373517B2 (en) 2012-08-02 2016-06-21 Applied Materials, Inc. Semiconductor processing with DC assisted RF power for improved control
US9034770B2 (en) 2012-09-17 2015-05-19 Applied Materials, Inc. Differential silicon oxide etch
US9023734B2 (en) 2012-09-18 2015-05-05 Applied Materials, Inc. Radical-component oxide etch
US9390937B2 (en) 2012-09-20 2016-07-12 Applied Materials, Inc. Silicon-carbon-nitride selective etch
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
US8969212B2 (en) 2012-11-20 2015-03-03 Applied Materials, Inc. Dry-etch selectivity
US8980763B2 (en) 2012-11-30 2015-03-17 Applied Materials, Inc. Dry-etch for selective tungsten removal
US9111877B2 (en) 2012-12-18 2015-08-18 Applied Materials, Inc. Non-local plasma oxide etch
US8921234B2 (en) 2012-12-21 2014-12-30 Applied Materials, Inc. Selective titanium nitride etching
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US9362130B2 (en) 2013-03-01 2016-06-07 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
US9040422B2 (en) 2013-03-05 2015-05-26 Applied Materials, Inc. Selective titanium nitride removal
US20140271097A1 (en) 2013-03-15 2014-09-18 Applied Materials, Inc. Processing systems and methods for halide scavenging
US9493879B2 (en) 2013-07-12 2016-11-15 Applied Materials, Inc. Selective sputtering for pattern transfer
US9773648B2 (en) 2013-08-30 2017-09-26 Applied Materials, Inc. Dual discharge modes operation for remote plasma
US9576809B2 (en) 2013-11-04 2017-02-21 Applied Materials, Inc. Etch suppression with germanium
US9236265B2 (en) * 2013-11-04 2016-01-12 Applied Materials, Inc. Silicon germanium processing
US9520303B2 (en) 2013-11-12 2016-12-13 Applied Materials, Inc. Aluminum selective etch
US9245762B2 (en) 2013-12-02 2016-01-26 Applied Materials, Inc. Procedure for etch rate consistency
US9287095B2 (en) 2013-12-17 2016-03-15 Applied Materials, Inc. Semiconductor system assemblies and methods of operation
US9287134B2 (en) 2014-01-17 2016-03-15 Applied Materials, Inc. Titanium oxide etch
US9396989B2 (en) 2014-01-27 2016-07-19 Applied Materials, Inc. Air gaps between copper lines
US9293568B2 (en) 2014-01-27 2016-03-22 Applied Materials, Inc. Method of fin patterning
US9385028B2 (en) 2014-02-03 2016-07-05 Applied Materials, Inc. Air gap process
US9499898B2 (en) 2014-03-03 2016-11-22 Applied Materials, Inc. Layered thin film heater and method of fabrication
US9299575B2 (en) 2014-03-17 2016-03-29 Applied Materials, Inc. Gas-phase tungsten etch
US9299538B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9299537B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9903020B2 (en) 2014-03-31 2018-02-27 Applied Materials, Inc. Generation of compact alumina passivation layers on aluminum plasma equipment components
US9269590B2 (en) 2014-04-07 2016-02-23 Applied Materials, Inc. Spacer formation
US9309598B2 (en) 2014-05-28 2016-04-12 Applied Materials, Inc. Oxide and metal removal
US9406523B2 (en) 2014-06-19 2016-08-02 Applied Materials, Inc. Highly selective doped oxide removal method
US9378969B2 (en) 2014-06-19 2016-06-28 Applied Materials, Inc. Low temperature gas-phase carbon removal
US9425058B2 (en) 2014-07-24 2016-08-23 Applied Materials, Inc. Simplified litho-etch-litho-etch process
US9378978B2 (en) 2014-07-31 2016-06-28 Applied Materials, Inc. Integrated oxide recess and floating gate fin trimming
US9496167B2 (en) 2014-07-31 2016-11-15 Applied Materials, Inc. Integrated bit-line airgap formation and gate stack post clean
US9659753B2 (en) 2014-08-07 2017-05-23 Applied Materials, Inc. Grooved insulator to reduce leakage current
US9553102B2 (en) 2014-08-19 2017-01-24 Applied Materials, Inc. Tungsten separation
US9355856B2 (en) 2014-09-12 2016-05-31 Applied Materials, Inc. V trench dry etch
US9368364B2 (en) 2014-09-24 2016-06-14 Applied Materials, Inc. Silicon etch process with tunable selectivity to SiO2 and other materials
US9355862B2 (en) 2014-09-24 2016-05-31 Applied Materials, Inc. Fluorine-based hardmask removal
US9613822B2 (en) 2014-09-25 2017-04-04 Applied Materials, Inc. Oxide etch selectivity enhancement
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US9355922B2 (en) 2014-10-14 2016-05-31 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US9299583B1 (en) 2014-12-05 2016-03-29 Applied Materials, Inc. Aluminum oxide selective etch
US10224210B2 (en) 2014-12-09 2019-03-05 Applied Materials, Inc. Plasma processing system with direct outlet toroidal plasma source
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
US9502258B2 (en) 2014-12-23 2016-11-22 Applied Materials, Inc. Anisotropic gap etch
US9343272B1 (en) 2015-01-08 2016-05-17 Applied Materials, Inc. Self-aligned process
US11257693B2 (en) 2015-01-09 2022-02-22 Applied Materials, Inc. Methods and systems to improve pedestal temperature control
US9373522B1 (en) 2015-01-22 2016-06-21 Applied Mateials, Inc. Titanium nitride removal
US9449846B2 (en) 2015-01-28 2016-09-20 Applied Materials, Inc. Vertical gate separation
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US9728437B2 (en) 2015-02-03 2017-08-08 Applied Materials, Inc. High temperature chuck for plasma processing systems
US9881805B2 (en) 2015-03-02 2018-01-30 Applied Materials, Inc. Silicon selective removal
US9691645B2 (en) 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US9349605B1 (en) 2015-08-07 2016-05-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
US9929266B2 (en) 2016-01-25 2018-03-27 International Business Machines Corporation Method and structure for incorporating strain in nanosheet devices
US10522371B2 (en) 2016-05-19 2019-12-31 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
CN107452793B (zh) 2016-06-01 2020-07-28 中芯国际集成电路制造(上海)有限公司 半导体装置及其制造方法
US9865484B1 (en) 2016-06-29 2018-01-09 Applied Materials, Inc. Selective etch using material modification and RF pulsing
US10062575B2 (en) 2016-09-09 2018-08-28 Applied Materials, Inc. Poly directional etch by oxidation
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
US9934942B1 (en) 2016-10-04 2018-04-03 Applied Materials, Inc. Chamber with flow-through source
US9721789B1 (en) 2016-10-04 2017-08-01 Applied Materials, Inc. Saving ion-damaged spacers
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US10062585B2 (en) 2016-10-04 2018-08-28 Applied Materials, Inc. Oxygen compatible plasma source
US10062579B2 (en) 2016-10-07 2018-08-28 Applied Materials, Inc. Selective SiN lateral recess
US9947549B1 (en) 2016-10-10 2018-04-17 Applied Materials, Inc. Cobalt-containing material removal
US9768034B1 (en) 2016-11-11 2017-09-19 Applied Materials, Inc. Removal methods for high aspect ratio structures
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
US10242908B2 (en) 2016-11-14 2019-03-26 Applied Materials, Inc. Airgap formation with damage-free copper
US10566206B2 (en) 2016-12-27 2020-02-18 Applied Materials, Inc. Systems and methods for anisotropic material breakthrough
US10403507B2 (en) 2017-02-03 2019-09-03 Applied Materials, Inc. Shaped etch profile with oxidation
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10043684B1 (en) 2017-02-06 2018-08-07 Applied Materials, Inc. Self-limiting atomic thermal etching systems and methods
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
US10319649B2 (en) 2017-04-11 2019-06-11 Applied Materials, Inc. Optical emission spectroscopy (OES) for remote plasma monitoring
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US10497579B2 (en) 2017-05-31 2019-12-03 Applied Materials, Inc. Water-free etching methods
US10049891B1 (en) 2017-05-31 2018-08-14 Applied Materials, Inc. Selective in situ cobalt residue removal
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US10541184B2 (en) 2017-07-11 2020-01-21 Applied Materials, Inc. Optical emission spectroscopic techniques for monitoring etching
US10354889B2 (en) 2017-07-17 2019-07-16 Applied Materials, Inc. Non-halogen etching of silicon-containing materials
US10043674B1 (en) 2017-08-04 2018-08-07 Applied Materials, Inc. Germanium etching systems and methods
US10170336B1 (en) 2017-08-04 2019-01-01 Applied Materials, Inc. Methods for anisotropic control of selective silicon removal
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US10128086B1 (en) 2017-10-24 2018-11-13 Applied Materials, Inc. Silicon pretreatment for nitride removal
US10283324B1 (en) 2017-10-24 2019-05-07 Applied Materials, Inc. Oxygen treatment for nitride etching
US10256112B1 (en) 2017-12-08 2019-04-09 Applied Materials, Inc. Selective tungsten removal
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
TWI716818B (zh) 2018-02-28 2021-01-21 美商應用材料股份有限公司 形成氣隙的系統及方法
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10497573B2 (en) 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
US10490406B2 (en) 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes

Family Cites Families (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS63278375A (ja) * 1987-05-11 1988-11-16 Nec Corp 半導体集積回路装置
US5155657A (en) * 1991-10-31 1992-10-13 International Business Machines Corporation High area capacitor formation using material dependent etching
EP0799495A4 (en) * 1994-11-10 1999-11-03 Lawrence Semiconductor Researc SILICON-GERMANIUM-CARBON COMPOSITIONS AND RELATED PROCESSES
DE69609313T2 (de) * 1995-12-15 2001-02-01 Koninkl Philips Electronics Nv Halbleiterfeldeffektanordnung mit einer sige schicht
FR2799305B1 (fr) * 1999-10-05 2004-06-18 St Microelectronics Sa Procede de fabrication d'un dispositif semi-conducteur a grille enveloppante et dispositif obtenu
FR2806833B1 (fr) * 2000-03-27 2002-06-14 St Microelectronics Sa Procede de fabrication d'un transistor mos a deux grilles, dont l'une est enterree, et transistor correspondant
FR2812764B1 (fr) * 2000-08-02 2003-01-24 St Microelectronics Sa Procede de fabrication d'un substrat de type substrat-sur- isolant ou substrat-sur-vide et dispositif obtenu

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI732256B (zh) * 2019-05-22 2021-07-01 南亞科技股份有限公司 半導體結構及其製造方法
US11094578B2 (en) 2019-05-22 2021-08-17 Nanya Technology Corporation Semiconductor structure and method for manufacturing the same
US11482445B2 (en) 2019-05-22 2022-10-25 Nanya Technology Corporation Method for manufacturing semiconductor structure

Also Published As

Publication number Publication date
FR2795555B1 (fr) 2002-12-13
WO2001001496A1 (fr) 2001-01-04
US6713356B1 (en) 2004-03-30
EP1190454A1 (fr) 2002-03-27
FR2795555A1 (fr) 2000-12-29

Similar Documents

Publication Publication Date Title
TW488033B (en) Method of fabricating a semiconductor device comprising a stack of silicon layers alternating with dielectric material layers
TW506085B (en) Method of forming semiconductor device having GAA type transistor
TW526564B (en) Method of fabricating semiconductor side wall fin
JP5199230B2 (ja) 集積回路構造及びその製造方法
TWI313512B (en) A pull-back method of forming fins in finfets
TWI384614B (zh) 形成鰭狀場效電晶體裝置中之結構的方法
TWI254355B (en) Strained transistor with hybrid-strain inducing layer
JP2662325B2 (ja) 電界効果型半導体素子の構造およびその製造方法
US20040227187A1 (en) Integrated semiconductor device and method to make same
TW451334B (en) Method for lateral etching with holes for making semiconductor devices
US7973350B2 (en) Strained-channel transistor device
TW200539381A (en) Semiconductor manufacturing method and semiconductor device
CN105923602A (zh) 硅和硅锗纳米线结构
TWI323489B (en) Fabricating process and structure of trench power semiconductor device
JP2007243188A (ja) シリコンゲルマニウム伝導チャネルの形成方法
JP2007329295A (ja) 半導体及びその製造方法
JPS6321351B2 (zh)
CN1219329C (zh) 具有分离栅的自对准双栅金属氧化物半导体场效应晶体管
TW200522268A (en) Soi mosfet with multi-sided source/srain silicide
WO2005020325A1 (ja) 半導体装置及びその製造方法
Chung et al. Vertically stacked cantilever n-type poly-Si junctionless nanowire transistor and its series resistance limit
TW544844B (en) Method of producing SOI MOSFET
TWI343631B (en) Recess channel mos transistor device and fabricating method thereof
TW304286B (zh)
TW466759B (en) Semiconductor device

Legal Events

Date Code Title Description
GD4A Issue of patent certificate for granted invention patent
MM4A Annulment or lapse of patent due to non-payment of fees