TW486824B - Method of manufacturing thin-film transistor - Google Patents

Method of manufacturing thin-film transistor Download PDF

Info

Publication number
TW486824B
TW486824B TW089105751A TW89105751A TW486824B TW 486824 B TW486824 B TW 486824B TW 089105751 A TW089105751 A TW 089105751A TW 89105751 A TW89105751 A TW 89105751A TW 486824 B TW486824 B TW 486824B
Authority
TW
Taiwan
Prior art keywords
film
coating
silicon
manufacturing
forming
Prior art date
Application number
TW089105751A
Other languages
English (en)
Inventor
Ichio Yudasaka
Tatsuya Shimoda
Shunichi Seki
Original Assignee
Seiko Epson Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Seiko Epson Corp filed Critical Seiko Epson Corp
Application granted granted Critical
Publication of TW486824B publication Critical patent/TW486824B/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/786Thin film transistors, i.e. transistors with a channel being at least partly a thin film
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02612Formation types
    • H01L21/02617Deposition types
    • H01L21/02623Liquid deposition
    • H01L21/02628Liquid deposition using solutions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02367Substrates
    • H01L21/0237Materials
    • H01L21/02422Non-crystalline insulating materials, e.g. glass, polymers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/02521Materials
    • H01L21/02524Group 14 semiconducting materials
    • H01L21/02532Silicon, silicon germanium, germanium
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/288Deposition of conductive or insulating materials for electrodes conducting electric current from a liquid, e.g. electrolytic deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/316Inorganic layers composed of oxides or glassy oxides or oxide based glass
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66742Thin film unipolar transistors
    • H01L29/6675Amorphous silicon or polysilicon transistors
    • H01L29/66757Lateral single gate single channel transistors with non-inverted structure, i.e. the channel layer is formed before the gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66742Thin film unipolar transistors
    • H01L29/6675Amorphous silicon or polysilicon transistors
    • H01L29/66765Lateral single gate single channel transistors with inverted structure, i.e. the channel layer is formed after the gate
    • GPHYSICS
    • G02OPTICS
    • G02FOPTICAL DEVICES OR ARRANGEMENTS FOR THE CONTROL OF LIGHT BY MODIFICATION OF THE OPTICAL PROPERTIES OF THE MEDIA OF THE ELEMENTS INVOLVED THEREIN; NON-LINEAR OPTICS; FREQUENCY-CHANGING OF LIGHT; OPTICAL LOGIC ELEMENTS; OPTICAL ANALOGUE/DIGITAL CONVERTERS
    • G02F1/00Devices or arrangements for the control of the intensity, colour, phase, polarisation or direction of light arriving from an independent light source, e.g. switching, gating or modulating; Non-linear optics
    • G02F1/01Devices or arrangements for the control of the intensity, colour, phase, polarisation or direction of light arriving from an independent light source, e.g. switching, gating or modulating; Non-linear optics for the control of the intensity, phase, polarisation or colour 
    • G02F1/13Devices or arrangements for the control of the intensity, colour, phase, polarisation or direction of light arriving from an independent light source, e.g. switching, gating or modulating; Non-linear optics for the control of the intensity, phase, polarisation or colour  based on liquid crystals, e.g. single liquid crystal display cells
    • G02F1/133Constructional arrangements; Operation of liquid crystal cells; Circuit arrangements
    • G02F1/136Liquid crystal cells structurally associated with a semi-conducting layer or substrate, e.g. cells forming part of an integrated circuit
    • G02F1/1362Active matrix addressed cells
    • G02F1/1368Active matrix addressed cells in which the switching element is a three-electrode device
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/12Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being other than a semiconductor body, e.g. an insulating body
    • H01L27/1214Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being other than a semiconductor body, e.g. an insulating body comprising a plurality of TFTs formed on a non-semiconducting substrate, e.g. driving circuits for AMLCDs
    • H01L27/1259Multistep manufacturing methods
    • H01L27/1292Multistep manufacturing methods using liquid deposition, e.g. printing

Landscapes

  • Engineering & Computer Science (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Computer Hardware Design (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Ceramic Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • Materials Engineering (AREA)
  • Thin Film Transistor (AREA)
  • Liquid Deposition Of Substances Of Which Semiconductor Devices Are Composed (AREA)

Description

486824 A7 ____B7 五、發明說明Ο ) 技術領域 本發明係有關液晶顯示裝置,發光型之顯示裝置,及 L S I等所用的薄膜電晶體之製造方法,更詳細係有關使 用液體材料形成構成薄膜電晶體的薄膜製造薄膜電晶體的 方法。 背景技術 通常薄膜電晶體係以半導體膜,絕緣膜,導電膜等之 薄膜所構成。液晶顯示裝置等除這些薄膜此之外可使用透 明導電膜。以功能性區分這些薄膜時,絕緣膜有閘極絕緣 膜與層間絕緣膜,而導電膜可作爲閘極,源極,漏極,像 素電極及配線使用。這些薄膜之形成以往主要是採用 C V D ( Chemical Vapor Deposition )法或濺鍍法。 半導體膜主要使用非晶質砂膜(或非晶砂膜)或多晶 矽膜(或多結晶矽膜)之矽膜。以往矽膜之形成方法係利 用使用一砂院氣體或一砍院氣體之熱CVD (Chemical VaporDeposition)法或電漿 CVD,光 CVD 等方法,一 般而言,多晶矽膜之形成係廣泛使用熱C V D (請參照J. Vac. Sci. Technology.,14 卷 1082 頁(1977 年), 而非晶矽則使用電漿C V D ( Solid State Com., 1 7卷 1193 頁(1975 年)。 但藉這些CVD法形成矽膜時,在製程方面期待更進 一步改良以下各點。(1 )因使用氣相反應,故氣相狀態 下產生矽粒子,污染裝置或產生異物使生產良率降低。( 本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公釐) ·丨 7IIJ-------»!裝 (請先閱讀背面之注意事項 寫本頁) 經濟部智慧財產局員工消費合作社印製 -4- 486824 Α7 Β7 經濟部智慧財產局員工消費合作社印製 五、發明說明@ ) 2 )因原料爲氣體狀,故表面有凹凸之基板上不易得到均 句膜厚。(3 )膜之形成速度慢,故生產性低。(4 )電 V D法需要複雜且昂貴之高頻波發生裝置或真空裝置 等。 又材料係使用毒性,反應性高之氣體狀之氫化矽,不 僅使用困難,且因氣體狀而需要密閉狀之真空裝置,廢氣 之處理需要除去有害物質之裝置。一般這些裝置不僅大型 ’裝置本身昂貴,且真空系或電漿系消耗大量的能源,故 使製品之成本提高。 對此近年不使用真空系提案塗佈液體狀之氫化矽的方 法。日本特開平1 一 2 9 6 6 1號公報揭示將氣體狀之原 料液化吸附於冷卻之基板上,再與化學活性原子狀之氫反 應,形成矽系之薄膜的方法,但有以下之問題點。(1 ) 爲了使原料之氫化矽氣化與繼續冷卻不僅需要複雜之裝置 ,且膜厚之控制困難。 曰本特開平7 - 2 6 7 6 2 1號公報揭示基板上塗佈 低分子量之液體狀之氫化矽的方法,但此方法不安定,故 操作不易,且爲液狀,因此用於大面積基板時不易得到均 勻的膜厚。 英國專利GB - 2 0 7 7 7 1 0A提及固體狀之氫化 矽聚合物的例子,但不溶於溶媒無法藉由塗佈形成膜。 上述矽半導體膜通常係摻雜週期表之第3族元素或第 5族元素,可作爲正型或負型之半導體使用。這些摻雜通 常係在形成矽膜後,藉由熱擴散或離子注入法來進行。熱 (請先閱讀背面之注意事項1ST填寫本頁) I· ί 言 Γ 本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公釐) -5- 486824 A7 _____B7 五、發明說明p ) 擴散法基本上爲高溫製程(需要8 0 0 °C以上),可用之 基板材料被限定。特別是不適用於使用在液晶顯示裝置用 之玻璃基板。離子注入法可精確控制雜質量,可控制矽膜 中之深度方向之雜質之分佈,但真空裝置體型大,重量重 ,價格高。 以往係使用藉由通常之非摻雜矽膜之形成所用之 C VD法,形成摻雜雜質之矽膜的方法。但是此法具有 C V D法之所有的特徵及問題。_ 薄膜電晶體所用之閘極絕緣膜或層間絕緣膜用之絕緣 膜之形成主要也使用與前述矽膜之形成相同之熱C V D法 或電漿C VD法。爲了平坦化時,有時會使用以S OG所 形成之絕緣膜或有機絕緣膜,但極少單獨使用,通常係與 C V D法所形成之膜倂用。 薄膜電晶體所用之導電膜係用於閘極,源極,漏極等 之電極用導電膜,配線用導電膜,作爲像素電極用之透明 導電膜之形成時,廣泛使用濺鍍法。 經濟部智慧財產局員工消費合作社印制衣 前述之CVD法有4個特徵,這些特徵在絕緣膜之形 成也與矽膜之形成相同。(1 )因使用氣相反應,故氣相 狀態下產生矽粒子,污染裝置或產生異物使生產良率降低 。(2)因原料爲氣體狀,故表面有凹凸之基板上不易得 到均勻膜厚。(3 )膜之形成速度慢,故生產性低。(4 )電漿C V D法需要複雜且昂貴之高頻波發生裝置或真空 裝置等。 主要由金屬膜所構成之導電膜與透明導電膜之形成所 本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公釐) -6- 24 8 6 8 A7 ---------B7___ 五、發明說明(4 ) 用的濺鍍法也需要真空裝置,除了真空幫浦外還需要靶材 ’濺鍍用電源’基板加熱裝置等。濺鍍法比C V D法使用 較少之具有毒性或可燃性的氣體,但除了成膜對象之基板 外’也成膜於設置基板之室內壁,內壁所附著之成膜物質 剝離,成爲成膜中之異物,導致製品之良率下降的原因, 此點與C V D法相同。濺鍍法也在基板表面之凹凸部有膜 厚不均勻性,生產性低,需要真空裝置,因此需要大型裝 置,且昂貴等具有與CVD法共词的問題。 因此’使用以往之C V D法或濺鍍法形成薄膜的方法 具有生產性低,缺陷多,良率差,表面之凹凸部之膜厚不 均勻’配線圖型在段差部產生斷線等的問題。這些問題造 成使用前述方法所製得之薄膜電晶體成本增加的問題。 C V D法或濺鍍法之這些問題其原因係使用真空裝置,基 板必須加熱,需要電漿等之電源,基板以外之裝置內壁等 不必要的部分也形成膜,因此膜之剝離產生異物缺陷等這 些成膜方法的本質上的特徵。這些本質的特徵具有需要大 型裝置,故裝置之成本高,裝置之運轉成本高的問題。 以往將雜質導入矽膜的方法中,若利用C V D法時, 具有與上述完全相同的問題,若利用離子注入法時,具有 裝置之價格,運轉成本高的問題。這些問題係造成以往的 方法所製得之薄膜電晶體之成本較高的問題。 發明之揭示 本發明係提案藉由實質上與以往上述成膜法不同之新 本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公釐) --------------裝--- (請先閱讀背面之注意事項 寫本頁) 經濟部智慧財產局員工消費合作社印製 486824 A7 + B7 五、發明說明(5 ) ------------裝 i I (請先閱讀背面之>i意事項 寫本頁} 的方法製造薄膜電晶體。本發明係解決以往成膜方法之本 質上所有的前述問題,而本發明藉由小型,廉價裝置,得 到生產性高,缺陷少,良率高,段差部無斷線等,以低成 本形成薄膜,因此,以低成本提供製造薄膜電晶體的方法 〇 本發明爲了達成上述目的而使用液體材料的方法形成 構成薄膜電晶體的矽膜,絕緣膜,導電膜等之薄膜的全部 或大部分的薄膜。對於製造薄膜電晶體所需之雜質導入矽 膜及控制該雜質量也是以利用液體材料形成矽膜之薄膜形 成的手段來達成。使用液體材料形成薄膜的主要方法係將 液體材料塗佈於基板,形成塗膜,該塗膜藉由熱處理形成 所要的薄膜。 經濟部智慧財產局員工消費合作社印製 本發明之第1構成係一種薄膜電晶體之製造方法,其 係製造至少具有雜質濃度被控制之矽膜,絕緣膜及導電膜 之各薄膜之薄膜電晶體的方法,其特徵爲前述雜質濃度被 控制之矽膜係由非摻雜矽膜與摻雜矽膜所構成,前述非摻 雜矽膜之形成係由基板上塗佈含有矽原子之液體材料,形 成塗膜的步驟;及接著使該塗膜形成非摻雜矽膜之熱處理 步驟所構成,前述摻雜矽膜之形成由基板上塗佈含有矽原 子,硼或磷之液體材料,形成塗膜的步驟;及接著使該塗 膜形成摻雜矽膜之熱處理步驟所構成。 又上述構成中,理想爲前述非摻雜矽膜形成通道區域 ,前述摻雜矽膜形成源極•漏極區域。前述摻雜矽膜形成 電極或配線。 本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公釐) -8 - 486824 A7 B7 五、發明說明(6 ) 本發明之第2構成係一種薄膜電晶體之製造方法,其 係製造至少具有雜質濃度被控制之矽膜,絕緣膜及導電膜 之各薄膜之薄膜電晶體的方法,其特徵係爲了得到含有所 要雜質濃度之前述雜質濃度被控制之矽膜時,包括基板上 塗佈含有矽原子之液體材料,與含有矽原子及雜質之液體 材料的混合液,形成塗膜的步驟;及接著使該塗膜形成雜 質濃度被控制之矽膜的熱處理步驟。 又上述構成中,前述含有所要之雜質濃度之前述雜質 濃度被控制之矽膜係形成通道區域。 本發明之第3構成係一種薄膜電晶體之製造方法,其 係製造至少具有雜質濃度被控制之矽膜,絕緣膜及導電膜 之各薄膜之薄膜電晶體的方法,其特徵爲前述雜質濃度被 控制之矽膜係由非摻雜矽膜與摻雜矽膜之層積膜所形成, 前述非摻雜矽膜之形成係由基板上塗佈含有矽原子之液體 材料,形成塗膜的步驟;及接著使該塗膜形成非摻雜矽膜 之熱處理步驟所構成,前述摻雜矽膜之形成包括基板上塗 佈含有矽原子及雜質之液體材料,形成塗膜的步驟;及接 著使該塗膜形成摻雜矽膜之熱處理步驟。 又上述構成中,理想爲前述由非摻雜矽膜與摻雜矽膜 之層積膜所形成之雜質濃度被控制之矽膜係形成通道區域 〇 本發明之第4構成係.一種薄膜電晶體之製造方法, 其係製造具有雜質濃度被控制之矽膜,絕緣膜及導電膜之 各薄膜之薄膜電晶體的方法,其特徵係包括:在絕緣基板 本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公釐) 丨— 7丨·-------»!裝 (請先閱讀背面之注意事項 寫本頁) 經濟部智慧財產局員工消費合作社印製 -9- 486824 A7 ——一__B7___ 五、發明說明(7 ) 或絕緣膜上塗佈含有矽原子之液體材料或含有矽原子及雜 質之液體材料,形成塗膜的步驟;使該塗膜形成雜質濃度 被控制之矽膜之熱處理步驟;將該矽膜製成圖型,形成源 極,漏極及成爲通道之島區域的步驟;形成閘極絕緣膜的 步驟;形成閘電極的步驟;以前述閘電極爲掩模,除去源 極,漏極區域上之閘絕緣膜,使成爲源極,漏極區域之砂 膜外露的步驟;將含有矽原子及雜質之液體材料塗佈於外 露之源極•漏極區域上,形成塗膜的步驟;將該塗膜進行 熱處理形成摻雜矽膜之第1熱處理步驟;將該摻雜矽膜之 雜質擴散至下層之矽膜之第2熱處理步驟;將該摻雜矽膜 製成圖型’形成與源極·漏極區域上之一^部份重疊之圖型 的步驟;形成層間絕緣膜的步驟;層間絕緣膜上開設連接 孔的步驟;形成電極的步驟。 本發明之第5構成係一種薄膜電晶體之製造方法,其 係製造具有雜質濃度被控制之矽膜,絕緣膜及導電膜之各 薄膜之薄膜電晶體的方法,其特徵係包括:在絕緣基板或 絕緣膜上塗佈含有矽原子及雜質之液體材料,形成塗膜的 步驟;將該塗膜熱處理製成摻雜矽膜,形成源極,漏極區 域的步驟;基板上塗佈含有矽原子之液體材料或含有矽原 子及雜質之液體材料,形成塗膜的步驟;使該塗膜形成雜 質濃度被控制之砂膜之熱處理步驟;使該雜質濃度被控制 之砂膜製成圖型,形成含有與前述源極,漏極區域連接之 通道區域之島區域的步驟;形成閘極絕緣膜的步驟;形成 閘電極的步驟;形成層間絕緣膜的步驟;層間絕緣膜上開 本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公釐) (請先閱讀背面之注意事項^填寫本頁) I!裝 經濟部智慧財產局員工消費合作社印製 -10- 經濟部智慧財產局員工消費合作社印製 486824 A7 ^________ B7 五、發明說明(8 ) 設連接孔的步驟;形成電極的步驟。 本發明之第6構成係一種薄膜電晶體之製造方法,其 係製造具有雜質濃度被控制之矽膜,絕緣膜及導電膜之各 薄膜之薄膜電體的方法’其特徵係具備:在絕緣基板或 絕緣膜上形成閘電極的步驟;形成閘極絕緣膜的步驟;基 板上塗佈含有矽原子之液體材料或含有矽原子及雜質之液 體材料,形成塗膜的步驟;使該塗膜形成雜質濃度被控制 之矽膜之熱處理步驟;塗佈含有矽原子及雜質之液體材料 ,形成塗膜的步驟;將該塗膜熱處理製成摻雜雜質之矽膜 ,形成源極,漏極區域的步驟;形成電極的步驟。 本發明之第7構成係上述第1〜6之任一構成中,其 中基板上塗佈前述液體材料形成塗膜,接著將該塗膜熱處 理形成雜質濃度被控制之矽膜之熱處理步驟係由除去前述 塗膜中之溶媒之第1熱處理步驟;及接著形成雜質濃度被 控制之矽膜之第2熱處理步驟所構成爲特徵之薄膜電晶體 之製造方法。 本發明之第8構成係上述第1〜6之任一構成中,其 中形成雜質濃度被控制之矽膜之前述熱處理步驟係由除去 前述塗膜中之溶媒之第1熱處理步驟;形成雜質濃度被控 制之矽膜之第2熱處理步驟;及接著使該雜質濃度被控制 之矽膜結晶化之第3熱處理步驟所構成。 上述構成中,其中前述第1熱處理步驟理想爲包括除 去溶媒的乾燥步驟;及該塗膜內進行熱分解及/或光分解 的步驟。又前述光分解的步驟理想爲將波長爲1 7 0 n m 本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公釐) -ϋ ϋ ϋ ϋ n ·-1 1 ϋ ϋ I 0 ϋ ·ϋ ^1 n 1 «1Β1 >1 « fv · ϋ 1··· ϋ ϋ 1 ϋ ϋ I 1 I .· ) · _ 身 t 口 (請先閱讀背面之注意事項寫本頁) -11 - 486824 A7 _ B7 五、發明說明(9 ) 以上3 8 0 nm以下之光照射在前述塗膜上。理想之一形 態中,前述第2或第3的熱處理步驟係藉由燈光退火或雷 射退火的熱處理。又理想之一形態中,前述第1,第2, 第3的熱處理步驟係在氧濃度被控制的氣氛下進行處理’ 使經由該熱處理步驟所形成之雜質濃度被控制之矽膜中所 含之氧原子爲1 0 〇 p pm以下。 本發明之第9的構成中,其係製造具有雜質濃度被控 制之矽膜,絕緣膜及導電膜之薄膜電晶體的方法中,前述 絕緣膜之形成步驟包括基板上塗佈聚矽胺烷的步驟;及接 著藉由熱處理使被塗佈之聚矽胺烷成爲S i 〇2膜的步驟爲 特徵之薄膜電晶體之製造方法。 本發明之第1 0構成係在上述構成中,前述導電膜之 形成步驟包括基板上塗佈含金屬之液體材料,形成塗膜的 步驟;及藉由熱處理使該塗膜成爲導電膜的步驟;及將該 導電膜製成圖型的步驟爲特徵之薄膜電晶體之製造方法。 本發明之第1 1構成係在上述構成中,其中前述導電 膜之形成步驟包括藉由電鍍法在基板上形成導電膜的步驟 ;及將該導電膜製成圖型的步驟爲特徵之薄膜電晶體之製 造方法。 本發明之第1 2構成係在上述構成中,其中前述導電 膜之形成步驟或透明導電膜的形成步驟係由基板上塗佈含 有銦與錫之有機化合物,形成塗膜的步驟;及將該塗膜製 成I 丁 〇膜之熱處理步驟所構成爲特徵之薄膜電晶體之製 造方法。 本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公釐) (請先閱讀背面之注意事項『填寫本頁) 裝 經濟部智慧財產局員工消費合作社印製 -12- A7 B7 經濟部智慧財產局員工消費合作社印製 五、發明說明(10 ) 本發明之第1 3構成係在上述構成中,其中前述形成 雜質濃度被控制之矽膜之液體材料係含有具有以一般式 s inXm (η爲5以上之整數,m爲η或2n — 2或2n 之整數’ X爲氫原子及/或鹵原子)表示之環系之矽化合 物的溶液。又理想爲具有以前述一般式S i n X m表示之環 系的矽也合物之η爲5以上,2 0以下。 本發明之第1 4構成中,其中前述形成雜質濃度被控 制之矽膜之液體材料係含有具有以一般式S i a X b Υ。( X爲氫原子及/或鹵原子,Y爲硼原子或磷原子,a爲3 以上之整數,b爲a以上,2 a + c + 2以下之整數,C 爲1以上,,a以下之整數)表示之矽化合物的溶液爲特 徵之薄膜電晶體之製造方法。又前述矽化合物中,a + c 理想爲5以上,2 0以下。 上述第1 3或第1 4構成中,其中含有前述矽化合物 之溶液的溶質濃度爲1〜8 0重量%。前述矽化合物之溶 液的粘度理想爲1〜1 〇 〇 m P a · s。前述溶液係含 有在室溫之蒸汽壓爲〇·〇〇1〜lOOmmHg的溶媒 較理想。 本發明之第1 5構成係一種薄膜電晶體之製造方法, 其係製造具有雜質濃度被控制之矽膜,絕緣膜及導電膜之 各薄膜之薄膜電晶體的方法,其特徵爲前述所有的薄膜或 大部分的薄膜以使用液體材料的方法所形成,且該薄膜的 形成係以不使用真空裝置的方法來形成爲特徵之薄膜電晶 體之製造方法。 (請先閱讀背面之注意·
If填: 寫本頁) 裝 ----訂---I I I I I 1^ 本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公釐) -13· 486824 A7 --- B7 五、發明說明(11 ) 本發明之第1 6構成係一種薄膜電晶體之製造方法, 其係製造具有雜質濃度被控制之矽膜,絕緣膜,導電膜之 各薄膜之薄膜電晶體的方法,其特徵爲前述薄膜電晶體具 有透明導電膜,前述各薄膜之所有的薄膜或大部分的薄膜 以使用液體材料的方法所形成,且該薄膜之形成係以不使 用真空裝置的方法來形成爲特徵之薄膜電晶體之製造方法 〇 本發明之第1 7構成係在上述第1 5或1 6構成中, 其中藉由前述液體材料所形成之各薄膜之形成方法中,基 板上塗佈該液體材料,形成塗膜的方法係輥塗佈法,簾流 塗佈法,浸塗法,噴塗法,噴射法中任一種的方法或組合 前述任一種方法與旋轉塗佈法之方法爲特徵之薄膜電晶體 之製造方法。 實施發明之最佳的形態 構成薄膜電晶體之薄膜有矽膜,絕緣膜,導電膜,液 晶顯示裝置用之薄膜電晶體係將透明導電膜作爲薄膜使用 。以往這些薄膜主要是以C VD裝置或濺鍍裝置所形成。 使用以往C V D法或濺鍍法形成薄膜的方法具有生產性低 ,缺陷多,良率差,表面之凹凸部之膜厚不均勻,配線圖 型在段差部產生斷線等的問題。這些問題造成使用前述方 法所製得之薄膜電晶體成本增加的問題。C V D法或濺鍍 .法之這些問題其原因係使用真空裝置,基板必須加熱,需 要電漿等之電源,基板以外之裝置內壁等不必要的部分也 本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公釐) (請先閱讀背面之注意事項 寫本頁)
---良 P 經濟部智慧財產局員工消費合作社印製 -14- A7
經濟部智慧財產局員工消費合作社印製 五、發明說明〇2 ) 形成膜,因此膜之剝離產生異物缺陷等這些成膜方法的本 質上的特徵。這些本質的特徵具有需要大型裝置,故裝置 之成本高,裝置之運轉成本高的問題。 第1圖係表示藉由以往之C VD法之薄膜形成的步驟 圖。設置在C VD裝置之基板被移至負載鎖定室後,進行 真空吸引,接著移至加熱室加熱,再移至製程室進行成膜 。製程室具有維持基板溫度之加熱機構,導入成膜所需之 製程氣體,壓力安定後,外加高頻,導入的氣體形成電漿 化’進行成膜。成膜後,殘留之製程氣體被排出,基板被 移至負載鎖定室,通風後,在大氣中取出。CVD法所用 之製程氣體大部分具有毒性或可燃性,故C V D裝置在安 全管理上需要檢測這些氣體之洩漏檢知器或排除廢氣之除 害裝置。前述排除步驟中,必須充分排除具有毒性或可燃 性的氣體,成膜步驟之完成需要時間。 濺鍍法也需要經過大致與第3圖所示之C V D法相同 之處理步驟,然後進行成膜。與C VD法不同的是使用的 氣體主要是A I*等之惰性氣體,很少使用具有毒性或可燃 性的氣體,及濺鍍用之電漿電源大部分使用D C電源,而 非高頻。這些不同點從形成薄膜之全部步驟或裝置構成之 整體比較時僅是稍微的不同,且裝置大型,生產性低等方 面而言,濺鍍法與C V D法具有同樣的問題。 本發明係藉由以體材料形成前述薄膜。其主要的方法 係由將液體材料塗佈於基板上形成塗膜,及使該塗膜成爲 所要功能膜之熱處理步驟所構成。塗膜之形成有旋轉塗佈 本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公釐) (請先閱讀背面之注意事項^填寫本頁)
-15· 486824 A7 經濟部智慧財產局員工消費合作社印製 ---- B7_ 五、發明說明〇3 ) 法’輥塗法,簾幕塗佈法,浸塗法,噴塗法,噴墨法等方 法。這些方法所使用之塗佈裝置之基本構成係保持基板之 平台或支持台及將液體塗佈於該基板上的機構,因此,該 塗佈裝置之機構簡單。使塗膜變成功能膜之熱處理步驟及 /或光照射步驟所使用的裝置有烤箱,烤爐,退火爐等之 熱處理裝置或以鹵素燈或U V燈等爲光源之光照射裝置, 這些裝置也不具有真空體系,故結構簡單。 第2圖係表示由本發明之液體材料形成薄膜之方法圖 。該方法如第2圖所示,由塗膜形成與薄膜形成之兩個步 驟所構成。塗膜形成步驟與薄膜形成步驟必須藉由使用的 液體材料控制氣氛,但基本上係在大氣中進行。相較於第 1圖所示之藉由以往之C VD法或濺鍍法的成膜步驟時, 即可瞭解本發明之薄膜形成方法是如何縮短步驟。例如第 3圖係藉由旋轉塗佈法形成塗膜之裝置,只要具有可轉動 之轉台3 Ο 1與將液體材料3 0 3滴至基板3 0 2上的機 構即可。旋轉塗佈法以外之輥塗法,簾幕塗佈法,浸塗法 ,噴塗法,噴墨法等之塗膜形成裝置,其裝置之基本丨冓成 也與旋轉塗佈法相同,遠比C V D裝置或濺鍍裝置簡單。 第2圖之熱處理裝置之基本構成係由設置基板之台或架與 加熱機構所構成,不需真空裝置。因此,相較於以往之 CVD裝置或濺鍍裝置時,本發明使用的裝置爲小型,廉 價的裝置,且有較大之生產力。 構成薄膜電晶體之活性層之矽膜中被導入決定導電型 之雜質,該雜質之濃度必須嚴密控制配合薄膜電晶體之電 請 先 閱 讀 背 面 之 注 意 事 Η 貪 訂 本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公釐) -16- 486824 經濟部智慧財產局員工消費合作社印製 A7 ____B7__ 五、發明說明04 ) 氣特性。以往雜質之導入係使用離子注入法,但藉由本發 明之使用液體材料形成雜質濃度被控制之矽膜的方法時, 不需要昂貴,且大型之離子注入裝置。 本發明之薄膜電晶體的製造方法係採用將液體材料塗 佈於基板的步驟。第3圖所示之旋轉塗佈法係以基板之旋 轉使滴在基板上的液體材料之大部分飛散,但極少用於實 際上塗膜之形成。爲了解決此問題,本發明係組合噴墨法 與旋轉塗佈法,或以噴墨法形成塗膜。 第4圖係表示藉由組合噴墨法與旋轉塗佈法形成塗膜 的方法圖。第4圖(a )中,可轉動之轉台4 Ο 1上設置 基板402,由噴墨頭403所吐出之液體材料404在 基板上形成微小的液滴。接著如第4圖(b )所示,轉台 4 0 1藉由旋轉軸4 0 6轉動形成塗膜4 0 7。旋轉塗佈 法對於材料之利用效率僅爲數%,藉由圖4的方法對於材 料之利用效率可提高數十%。單獨使用噴墨法僅在必要區 域形成塗膜的方法,對於材料之利用效率可提高9 0 %以 上。 本發明係解決以往成膜方法其內在本質上的問題,本 發明可藉由小型’廉價裝置,得到生產性高,缺陷少,良 率高,段差部之被覆性佳,且以低成本形成薄膜,以低成 本提供製造薄膜電晶體的方法。 本發明之薄膜電晶體之製造方法如上述使用液體材料 形成構成薄膜電晶體的矽膜,絕緣膜,導電膜等之薄膜的 全部或一部份。前述各薄膜之基本的形成步驟係由將液體 7171.-------»!裝 請先閱讀背面之注意事項 寫本頁) 有:
本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公爱) -17- ^6824 Α7 Β7 五、發明說明(15 ) 材料塗佈於基板,形成塗膜的步驟,及該塗膜藉由熱處理 %成薄膜的步驟所構成,但也包括將基板浸漬於液體材料 中’在基板表面形成所要薄膜的步驟所構成的方法。 本發明形成雜質濃度被控制之矽膜時,使用含有矽化 合物的溶液。前述矽化合物係具有以一般式S i η X m ( η 爲5以上之整數,m爲η或2 η — 2或2 η之整數,X爲 氫原子及/或鹵原子)表示之環系的矽化合物。特別是上 述一般式S i η X m之矽化合物理,爲η爲5以上2 0以下 者,η爲5或6之矽化合物更理想。η小於5時,矽化合 物本身因環結構之變形造成不安定,不易使用,又η大於 2 0時,因矽化合物之凝集力使在溶液中之溶解性降低, 實際能使用之溶媒的選擇範圍變窄。 上述一般式之矽化合物之具體例之具有一個環系者例 如有環五矽烷,矽烷基環五矽烷,環六矽烷,矽烷基環六 矽烷,環七矽烷,而具體例之具有2個環系者例如有1, 1’ —雙環四矽烷,1,1’一雙環五矽烷,1,1’ —雙環 六矽烷,1,1’一雙環七矽烷,1,1’一環丁矽烷基環五 石夕垸,1,1’ —環丁砂院基環六砂垸’ 1,1’ —環丁砂院 基環七矽烷,1,1’一環戊矽烷基環六矽烷,1,1’一環 戊矽烷基環七矽烷,1,1’-環己矽烷基環七矽烷,螺〔 2,2〕五矽烷,螺〔3,3〕七矽烷,螺〔4,4〕九 矽烷,螺〔4,5〕十矽烷,螺〔4,6〕十一矽烷,螺 〔5,5〕十一矽烷,螺〔5,6〕十二矽烷,螺〔6, 6〕十三矽烷。 本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公釐) (請先閱讀背面之注意事項填寫本頁) :裝 經濟部智慧財產局員工消費合作社印製 -18- 486824 A7 B7 五、發明說明06 ) 多環系者例如有下述式之化合物1〜化合物5之氫化 矽化合物。
化合物1 HSi-SiH X -1
Si HSi —屮 Si
Si I-SiH
HSi一 MSi-^ SiH HSi,
Si*
.SiH 化合物3 HSi:-Si H 化合物2
化合物4 化合物5 (請先閱讀背面之注意事項寫本頁) 經濟部智慧財產局員工消費合作社印製 除這些氫化矽化合物外,尙有這些之骨架之氫原子一 部份被S i Η 3基或鹵原子取代之的矽化合物。這些化合物 可混合2種以上使用。這些中若考慮溶解於溶媒之溶解性 時,理想爲1,1 ’ —雙環五矽烷,1 ,1 ’ 一雙環六矽烷, 螺〔4,4〕九矽烷,螺〔4,5〕十矽烷,螺〔5,5 〕十一矽烷,螺〔5,6〕十二矽烷及這些之骨架具有 S ίι Η 3基之矽化合物。 本發明之矽膜形成所使用之矽化合物係使用具有以一 般式S 1 η X m表示之環系的矽化合物爲必須成分的溶液, 該溶液中也可含有正五矽烷,正六矽烷,正七矽烷等之矽 化合物。 本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公釐) -19- 486824 A7 _______B7____ 五、發明說明(17 ) 本發明之用於形成雜質濃度被控制之矽膜之液體材料 中所含有的矽化合物係 ^寫本頁) 含有以一般式S i aXbYe (X爲氫原子及/或鹵原 子’ Y爲硼原子或磷原子,a爲3以上之整數,b爲a以 上,2a + c + 2以下之整數,C爲1以上,,a以下之 整數)表示之變性矽烷化合物。式中之X爲氫原子或氟原 子,氯原子,溴原子,碘原子等之鹵原子,式中之Y爲硼 原子或磷原子。變性矽烷化合物在惰性氣體氣氛中或還原 性氣體氣氛中,進行熱分解,光分解,或雷射照射時,轉 變成以硼原子或磷原子變性之矽。式中a,b及c具有以 下關係,a爲3以上之整數,b爲a以上,2a + c + 2 以下之整數,C爲1以上,,a以下之整數。a小於3時 ,變性矽烷化合物爲氣體狀或液狀,故藉由塗佈法不易得 到良好之變性矽烷膜。
經濟部智慧財產局員工消費合作社印製 特別是上述一般式S i a X b Y。之矽化合物理想爲a + c爲5以上20以下者,a + c爲5或6之者更理想。 a + c小於5時,矽化合物本身因環結構之變形造成不安 定,不易使用,又a + c大於2 0時,因矽化合物之凝集 力使在溶液中之溶解性降低,實際能使用之溶媒的選擇範 圍變窄。 上述一般式S i aXbY。之具體例有下述式之化合物 6〜化合物2 7表示之變性矽烷化合物。 本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公釐) -20- 486824 A7 B7 五、發明說明(18 ) H2Si-H2Si H2 Si
BH *Si H2 H2SI 一 一 SiH2 / \ H2Si Si BH I \ / I H2Si H2Si — —SiH2 H2 Si H2 su
B-+SiH〇4-B
Si h2 H2 ‘SiH2I ,SiH2 化合物6 化合物7 化合物8 H2SiI H2Si sHr H2Si ——SiH2
B —r SiH〇 H— B
SiH2 H2Si-SiH2/ \ H2Si - H2Si ——SiH2/ \ SiH〇 •Si H2 H2Si ——SiH2 B S1H2 叶~ B H2Si ——SiH2 H2Si ——SiH2 (請先閱讀背面之注意事項再填寫本頁) 化合物9
SiH 化合物1 〇 HSi一"--BI\ HSi 乂、 HSi· / HSi、
H Sl SiH
SiH 化合物1 1
Si 化合物1 2
化合物13 H 經濟部智慧財產局員工消費合作社印製 HSi \ HSi· HSi HSi
Si
.SiH
Si- H
Si H
Sih
.SiH 化合物1 4
本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公釐) -21 - 486824 A7 B7 五、發明說明C19 ) CI2Si I CI2Si .
Cl2 .Si
BCI •Si Cl2 化合物1 6 B「2Si 1 Br2Si
Br2 -Si CI2Si ——SiCI2 / \ CI2Si\ BH CI2Si-SiCI2化合物1 7 Br2Si-SiBr2 SiBr2 ]— B SiBr2 CJ2Si.I ci2si, CI2 • Si
Cl2 Si、 /\Ίη\ 'Si si, CI2 CI2
SiCI2I SiCI2 化合物1 8
Si B「2 B「2Si-SiBr2
Br2Si-SiBr2 Br2Si B - \ / Br2Si-SiBr2 化合物1 9 CISi -
Br2Si-S 旧 r2 日/ \iBr2 Br2Si-SiBr2 化合物2 0 CISi--
•B (請先閱讀背面之注意事項却填寫本頁) «· 裝
CISi CISi
SiCI
SiCI
SiCI CISi 十 HSi· / CISi、
c卜 SL SiH
,SiCI
SiCI 化合物2 1 化合物2 2 化合物2 3 CISi " \asl· CISi CISi fl i!
SiH |\ I SiCI
Sih
.SiCI 經濟部智慧財產局員工消費合作社印製 sr Cl 化合物2 4 H3Si γ SiH2 Η— BH2 化合物2 6
化合物2 5 CI3Si
Cl2 Si 一 化合物2 7 本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公釐) -22- 486824 A7 B7 五、發明說明(20 ) 化合物8,9,10,18,19及20中之η爲〇 以上之整數,化合物2 6及2 7中之m爲2以上之整數。 這些式中雖僅舉硼之變性矽烷化合物,但也可舉具有與硼 之變性矽烷化合物相同骨架之磷之變性矽烷化合物。 本發明中,上述之變性矽烷化合物可以溶液中之矽化 合物的形態單獨使用,或與未變性之前述矽烷化合物混合 使用。變性矽烷化合物與未變性之矽烷化合物混合之混合 比例係因硼或磷之變性元素之含有比例而不同,對於矽原 子時,變性元素爲1 P p b〜2 5%。 本發明中,將如上述一般式S i nXm之矽化合物及/ 或上述一般式S i a X b Y。之變性矽化合物溶解於溶媒之 溶液塗佈於基板。本發明用之上述溶媒通常室溫之蒸汽壓 爲0 ·〇0 1〜200mmHg。蒸汽壓高於200mm H g時,以塗佈形成塗膜時,溶媒先蒸發不易形成良好的 塗膜。蒸汽壓低於0·OOlmmHg時,乾燥速度變慢 ,矽化合物之塗膜中易殘留溶媒,有時後段步驟之熱及/ 或光處理後也不易得到良質之矽膜及變性矽膜。 本發明使用的溶媒只要是將矽化合物溶解,不會與溶 媒反應者即無特別限制,具體例如正己烷,正庚烷,正辛 烷,癸烷,二環己烷,甲苯,二甲苯,硬炔,茚,四氫化 萘,十氫化萘,角鯊烯等之烴系溶媒,二丙醚,乙二醇二 甲醚,乙二醇二乙醚,乙二醇甲基乙醚,二乙二醇二甲醚 ,二乙二醇二乙醚,二乙二醇甲基乙醚,四氫化吡喃,四 氫化吡喃,1 ,2 —二甲氧基乙烷,雙(2 —甲氧基乙基 本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公釐) _7ί·-------»!裝 (請先閱讀背面之注意事項 寫本頁) tr: 經濟部智慧財產局員工消費合作社印製 -23- 486824 A7 B7 五、發明說明(21 ) )醚,對二噁烷等之醚系溶媒,丙烯碳酸酯,7 -丁內酯 ,N —甲基一 2 —吡咯烷酮,二甲基甲醯胺,乙腈,二甲 亞硕,氯仿等之極性溶媒。這些當中,若考慮矽化合物及 變性矽化合物之溶解性及該溶媒之安定性時,以醚系溶媒 較理想。這些溶媒可單獨使用,或混合兩種以上使用。特 別是烴系溶媒可提高矽化合物之溶解性,抑制後述之熱處 理或光處理時之矽化合物的殘留。 本發明之形成雜質濃度被控制之矽膜時,並非如一般 之C V D法供給氣體,而是將上述之矽化合物溶解之溶液 塗佈於基板,然後使溶媒乾燥形成矽化合物的膜,將該矽 化合物的膜進行熱分解及/或光分解轉變成金屬矽膜,或 熱分解及/或光分解後,再以雷射處理轉變成多晶矽膜者 。特別是使用變性矽化合物時,將硼原子或磷原子所變性 之矽膜不必在真空體系下進行離子注入,即可形成p型或 η型之矽膜。 含有矽化合物之溶液的塗佈方法例如可使用旋轉塗佈 ,輥塗’簾幕塗佈,浸塗,噴塗,噴墨法等之方法。一*般 係在室溫以上之溫度進行塗佈。室溫以下之溫度有時矽化 合物之溶解性降低,一部份會析出。塗佈時之氣氛係在氮 ,氦,氬等之惰性氣體中進行較佳。必要時可混入氫等之 還原性氣體者爲佳。使用旋轉塗佈法時之旋轉器之轉述係 由形成之薄膜之厚度,塗佈溶液組成來決定,一般使用 10〇〜5000rpm,理想爲300〜3000 r p m。塗佈後爲了除去溶媒而進行加熱處理。加熱之溫 本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公釐) (請先閱讀背面之注意事項 裝--- 寫本頁) 經濟部智慧財產局員工消費合作社印製 -24- 486824 A7 五、發明說明(22 ) 度係因使用之溶媒的種類,沸點(蒸汽壓)而異,通常爲 1 0 0°C〜2 0 0°C。加熱氣氛係與上述塗佈步驟相同之 氮’氮,氬等之惰性氣體中進行較佳。 本發明係將上述矽化合物藉由熱及/或光處理轉變成 砂膜。本發明所得之矽膜爲非晶質狀或多結晶狀,熱處理 時’一般到達溫度爲約5 5 0 °C以下之溫度時,可得到非 晶質狀,5 5 0 °C以上可得到多結晶狀之矽膜。欲得到非 晶質狀矽膜時,理想爲以3 Ο Ο ΐ〜5 5 0 °C,更理想爲
3 5 0 °C〜5 0 0 °C進行熱處理。到達溫度爲約3 0 0 °C 以下之溫度時’砍化合物之熱分解未充分進行,有時無法 形成足夠厚度之矽膜。上述熱處理時之氣氛爲氮,氬,氨 等之惰性氣體,或混入氫等之還原性氣體者較理想。欲得 到多結晶狀之矽膜時,對上述製得之非晶質狀矽膜照射雷 射可轉變成多結晶狀矽膜。上述照射雷射時之氣氛也使用 氮,氬,氦等之惰性氣體,或這些氣體中混入氫等之還原 性氣體者等不含氧之氣氛較理想。 對於矽化合物溶液之塗膜在除去溶媒之前及/或除去 溶媒後,可在惰性氣氛中進行光處理。溶媒可溶性之矽化 合物藉由該光處理之反應不僅成爲溶媒不溶性之強韌的塗 膜,藉由光處理後,或與光處理同時所進行之熱處理可轉 變成光學性,電氣特性優異之砂膜。 本發明中,將矽化合物轉變成矽膜時之光處理所用之 光源,例如可使用低壓或高壓之水銀燈,重氫燈或氬,氪 ,氙之稀有氣體之放電光,YAG雷射,氬雷射,一氧化 本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公釐) 請 先. 閱 讀· 背 面 之 注 意 事 I·! I裝 頁 -訂 經濟部智慧財產局員工消費合作社印製 -25- 經濟部智慧財產局員工消費合作社印製 A7 B7___五、發明說明P ) 碳雷射XeF,XeCl ,XeBr ,KrF,KrCl ’ A r F,A r C 1等之激發雷射等之光源。這些光源一 般可使用1 0〜5 0 0 0W之功率者,通常1 〇 〇〜 1 0 0 0 W即足夠。這些光源之波長只要能被矽化合物及 光開環矽化合物吸收者即可,無特別限制,通常爲1 7 〇 n m〜6 0 0 n m,特別是考慮吸收功率時,理想爲 1 7 〇 nm〜3 8 0 nm。若考慮轉變成多結晶狀矽膜的 效率時,使用雷射光爲佳。這些光處理時之溫度通常爲室 溫〜5 0 0°C,可配合製得之矽膜之半導體特性來適當選 擇。 本發明之上述矽化合物溶液之濃度爲1〜8 0重量% ’可依所要之矽膜厚度來調製。超過8 0重量%時,易析 出且無法得到均勻之塗佈膜。 這些溶液在不會影響目的之功能的範圍內,視需要可 微量添加氟系,聚矽烷系,非離子系等之表面張力調節劑 。此非離子系表面張力調節劑使溶液對塗佈對象物有良好 的潤濕性,改良塗膜之平坦性,防止塗膜產生顆粒,橘皮 〇 此非離子界面活性劑例如具有氟化烷基或全氟烷基之 氟系界面活性劑,或具有氧烷基之聚醚烷基系界面活性劑 。前述氟系界面活性劑例如有C 9 F i 9 C 0 N H C i 2 Η 2 5 ,C 8 F 1 7 S Ο 2 Η Ν - ( C 2 Η 4 Ο ) 6 Η » C 9 F 1 7 Ο ( Ρ L U R Ο Ν I C L - 3 5 ) C 9 F ι τ ^ C 9 F ι 7 Ο ( Ρ L U R Ο Ν I C Ρ — 84) C 9 F ι τ ^ C 9 F τ Ο ( (請先閱讀背面之注意事項巧填寫本頁) 3· :裝
本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公釐) -26- 486824 A7 B7 經濟部智慧財產局員工消費合作社印製 五、發明說明P4 ) TET〇r〇n I C — 7 Ο 4 ) (C9F17) 2 等( p L U R 〇 n I C L 一 35 :旭電化工業(股)製,聚 氧化丙烯一聚氧化乙烯嵌段共聚物,平均分子量 1 ’ 900 ;PLUR〇NIC P — 84:旭電化工業 (股)製,聚氧化丙烯-聚氧化乙烯嵌段共聚物,平均分 子量 4 ,200 ; TETORONI C — 704 :旭電化 工業(股)製,N,N,Ν’,Ν’一四(聚氧化丙嫌一聚氧 化乙烯嵌段共聚物),平均分子量5,000等。 這些氟系界面活性劑之具體例有E F Τ〇Ρ EF301 ,EF303,EF352 (新秋田化成(股 )製),MEGAFAK F171,F173 (大日本 油墨(股)製),ASAHIGARD AG710 (旭 玻璃(股)製),FUR 〇 LARD FC— 170C, FC430,FC431 (住友 3M (股)製), SAFURON S-382,SCl〇l,SC102 ,SC103,SC104,SC105,SC106 ( 旭玻璃(股)製),BM— 1〇0〇,11〇〇(Β·Μ —Chemie 公司製),Schsego-Fluor(Schwegmann 公司製) 等。 聚醚烷基系界面活性劑例如有聚環氧乙烷烷基醚,聚 環氧乙烷烯丙基醚,聚環氧乙烷烷基苯酚醚,聚環氧乙烷 脂肪酸酯,山梨糖醇脂肪酸酯,聚環氧乙烷山梨糖醇脂肪 酸酯,氧化乙烯氧化丙烯嵌段共聚物等。 這些聚醚烷基系界面活性劑具體例有 (請先閱讀背面之注意事項寫本頁) I· :裝 ->& · 本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公釐) 27- 486824 A7 ----- B7 五、發明說明(?5 ) EMULGEN105,430,8 1〇,920 ’ R E 〇 D 0 L SP — 40S,TW — L120’ EMAN〇L3199,4110, E K I s E L P-40S,BRIGE30,52’ 72,92,ARUSEL20,EMAS〇L320 ’ TWIN20,60,MAZ45 (皆爲(股)花王製) ’NONIBOL55 (三洋化成(股)製)等。除上述 以外之非離子界面活性劑例如有聚環氧乙院脂肪酸酯’聚 環氧乙烷山梨糖醇脂肪酸酯,聚氧化烯烴嵌段共聚物等’ 具體例有CHEMISTAD2500 (三洋化成(股) 製),SN— EX9228 (SANQPUK (股)製) ,N〇NAL530 (東邦化學工業(股)製)等。這些 非離子界面活性劑之使用量係對於(a ) + ( b )成分 1 0 0重量份時,使用0 · 0 1〜1 0重量份,理想爲 0 · 1〜5重量份。低於0 · 0 1重量份時,無法發揮非 離子界面活性劑之效果,而超過1 0重量份時,製得之組 成物易產生氣泡,且有時會產生熱變色。 胃 如上述所調製之含矽化合物溶液之粘度理想爲1〜 1 0 OmP a · s的範圍內,可配合塗佈裝置或目的之塗 佈厚度來選擇。粘度超過1 〇 OmP a · s時,不易得到 均勻之塗佈膜。 本發明中,儘可能使用液體材料的方法形成絕緣膜。 絕緣膜之形成所使用之液體材料例如有聚矽胺;I:完或s 0 G 及各種之有機材料。有機材料例如有聚醯亞胺或丙烯酸系 本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公釐) (請先閱讀背面之注意事項填寫本頁) »!裝 經濟部智慧財產局員工消費合作社印製 -28- 486824 A7 五、發明說明¢6 ) 2材料等各種材料,不適合作爲影響薄膜電晶體之電氣特 1'生之閘極絕緣膜,但可作爲層間絕緣膜或鈍化用之絕緣膜 使用。聚矽胺烷具有s i — N — S i鍵,SOG具有S i - 〇 - S i鍵’兩者在常溫下皆爲液體,可使用旋轉塗佈 ’輕塗,簾幕塗佈,浸塗,噴塗,噴墨法等之方法在基板 ±形成塗膜。塗膜之形成方法可依據絕緣膜之厚度或膜厚 之均勻性,基板之尺寸或形狀等來選擇。形成塗膜後之熱 處理溫度一般而言較高的溫度可得到良好膜質的絕緣膜, 但考慮使用之基板的耐熱性或半導體裝置之製程條件,使 用適當之溫度進行熱處理爲宜。熱處理溫度爲3 0 0°C〜 5 0 0 °C之較低溫度時,使用聚矽胺烷比S〇G更能得到 膜質良好的絕緣膜。也有將基板浸漬於液體材料中,在基 板表面形成絕緣膜的方法。例如特開平5 - 2 5 9 1 5 4 揭示將基板浸漬於矽氟化氫之水溶液中,在基板表面可形 成S i 〇2膜。前述熱處理溫度在製造薄膜半導體裝置中, 如閘極絕緣膜其膜質明顯影響薄膜電晶體的性能時,可藉 由使用以往真空裝置的成膜方法或熱氧化或電漿氧化來成 膜。 本發明中,儘可能使用液體材料的方法形成導電膜。 使用液體材料形成導電膜的方法例如由藉由旋轉塗佈法等 將液體材料在基板上形成塗膜的步驟;及使該塗膜熱處理 形成導電膜的步驟所構成的方法,步驟及/或光照射步驟 。將基板浸漬於液體材料中,在基板上形成導電膜的方法 。前述塗膜所使用的液體材料例如可使用將金屬微粒子分 本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公釐) 「!!··!裝 (請先閱讀背面之注意事項 寫本頁) •tr·· 經濟部智慧財產局員工消費合作社印製 -29 - 486824 A7 ___ ____ B7 五、發明說明(27 ) 散於有機溶媒之懸浮液或將含金屬之有機酸溶解於溶劑之 溶液。懸浮液係使用貴金屬之微粒子者已爲人知,可形成 A u或a g等之金屬膜。含有金屬之有機溶液係含有A 1 ’ C u等者,由含有I 11與3 η之有機化合物可形成 1 Τ 〇膜。浸漬法之成膜可使用所謂的電鍍法,可形成 Ni ,Cu,Au等之金屬膜。導電膜之形成步驟中,爲 了確保與下層之配線或電極或薄膜電晶體之源極•漏極領 域之接觸電阻時,可使用以往之濺鍍法,或濺鍍法與使用 前述液體材料之方法的組合可形成導電膜。 以下藉由實施例詳細說明本發明,但本發明不受這些 實施例所限制。 (實施例1 ) 本發明之第1實施例如第5圖所示。第5圖係依據步 驟說明製造本發明之共面型薄膜電晶體的方法。 弟5圖(a )中,使用將環五砂院與環六砍院之混合 物之矽化合物溶解於苯溶媒之5 %溶液,以轉數5 0 〇 r pm在玻璃基板50 1上形成塗膜。接著以1 〇〇 °c熱 處理2 0分鐘,除去塗膜中之溶劑後,以4 〇 〇 °c熱處理 3 0分鐘,使前述塗膜形成金屬狀之砂膜。接著以能量密 度2 5 〇m J /cm2進行雷射退火,形成多晶砂膜。再以 光飩刻形成源極,漏極及通道區域之島區域5 〇 2。Μ述 源極,漏極及成爲通道區域之島區域5 0 2係以非摻雜砂 膜所形成,但爲了控制薄膜電晶體之V t h時,可使用捧 本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公釐) (請先閱讀背面之注意事項41填寫本頁)
M rl裝---I----訂 ---I---- 經濟部智慧財產局員工消費合作社印製 -30 - 486824 A7 B7 五、發明說明P ) 雜磷或硼之摻雜矽膜。因此,使用環五矽烷與環六矽烷之 混合物之矽化合物,與磷變性矽烷化合物或硼變性矽烷化 合物之混合物形成塗膜。塗膜之熱處理或雷射退火係與前 述相同的條件下進行。摻雜矽膜之磷或硼之濃度控制係藉 由矽化合物與變性矽烷化合物的混合比例來調整。 前述摻雜矽膜之磷或硼之濃度控制的方法例如可使用 前述以矽化合物所成之塗膜與磷或硼變性矽烷化合物所形 成之塗膜的層積膜。形成前述層積膜後,藉由雷射退火使 摻雜矽膜中之雜質擴散至非摻雜矽膜內。雜質之濃度控制 可藉由前述塗膜之膜厚與雷射退火的條件來控制。 接著如第5圖(b )所示,使用液體材料形成閘極絕 緣膜503。首先以轉數2000rpm將二甲苯溶劑之 5%的聚矽胺烷塗佈在基板上形成膜厚1 2 0 0 A之塗膜 。接著將前述塗膜以8 0 °C烘烤3 0分鐘除去溶劑後,以 3 5 0 t熱處理6 0分鐘變成S i 0 2膜,形成閘極絕緣膜 503。前述350 °C熱處理係在含氧氣氛中進行,在含 有氧與水蒸氣之氣氛中進行時,可提高閘極絕緣膜之電氣 特性或Μ 0 S界面特性,也能提高薄膜電晶體之信賴度。 接著使用液體材料形成閘極5 0 4。閘極可利用使用 液體材料所形成之摻雜矽膜或使用金屬微粒子之懸浮液形 成之金屬膜,或以電鍍法所形成之金屬膜等,但本實施例 係使用藉由液體材料所形成之I Τ 0膜。首先,使用將含 有銦與錫之有機化合物溶解於二甲苯溶媒之濃度8%的溶 液,以轉數1 5 0 0 r p m在基板上形成塗膜。接著以 本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公釐) (請先閱讀背面之注意事項1ST填寫本頁) :裝 經濟部智慧財產局員工消費合作社印製 -31 - 486824 A7 ________B7 五、發明說明(29 ) 8 〇°C之熱處理除去溶媒,再以3 0 0°C熱處理形成膜厚 2 0 〇 〇 A之I T〇膜。接著藉由光蝕刻得到如圖5 ( b )所示之閘極5 0 4。前述熱處理中,以3 0 0°C熱處理 3 0分鐘〜6 0分鐘後,接著在含氫之還原氣氛下,將基 板冷卻至2 0 0 °C,理想爲1 5 0 °C後,在大氣中取出可 進一步降低I T〇膜的電阻。 如圖5 ( c )所示,以閘極爲掩模除去閘極絕緣膜, 使成爲源極·漏極區域之砂膜5 Ό 5及5 0 6外露。 其次如第5圖(d )所示,使用含有矽原子及含有硼 或磷之液體材料形成摻雜矽膜5 0 7。該摻雜矽膜係覆蓋 前述外露之源極•漏極區域5 0 5及5 0 6。本實施例之 含有矽原子及含有硼或磷之液體材料係使用將磷變性矽化 合物溶解於甲苯溶媒之溶液。將前述溶液以旋轉塗佈於基 板上形成塗膜,以1 5 0 t之熱處理除去溶媒,接著以 3 5 0 °C熱處理形成摻雜非晶矽膜,藉由X e C 1之雷射 退火,使矽膜形成多晶矽膜,同時磷從摻雜矽膜5 0 7擴 散至下層之矽膜,而源極•漏極區域5 0 5及5 0 6形成 η型之多晶矽膜。 接著如第5圖(e )所示,將η型之多晶矽膜進行光 蝕刻,形成源極·漏極區域之一部份(5 0 8,5 0 9 ) 。因此,源極•漏極區域一部份爲2層結構,但該2層結 構部分至少位於開設爲了取出源極•漏極區域之電極之連 接孔的位置。接著使用聚矽胺烷形成層間絕緣膜5 1 0。 將濃度1 0 %之聚矽胺烷溶液以轉數1 0 0 0 r p m塗佈 本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公釐) (請先閱讀背面之注意事項填寫本頁) ta. 經濟部智慧財產局員工消費合作社印製 -32- 4^6824 A7 R7 五、發明說明(30 ) -----------裝 i I (請先閱讀背面之注意事項寫本頁) 在基板上形成塗膜。接著以8 0。(:烘烤除去溶劑之二甲苯 ’再以3 5 0°C熱處理形成膜厚約5 000A之S i 〇2膜 。前述3 5 0°C熱處理係在含有氧之氣氛中進行,但在含 有氧與水蒸氣之氣氛中進行時,可提高絕緣膜之電氣特性 或信賴度。 前述層間絕緣膜上開設連接孔後,如第5圖(f )所 示使用液體材料形成源極·漏極用之電極5 1 1。該電極 形成所用之液體材料可使用金屬微粒子分散於有機溶劑之 懸浮液或將含有銦與錫之有機化合物溶解於有機溶劑之溶 液。也可使用藉由非電解電鍍所形成之鎳膜等之薄膜。形 成具有液晶顯示裝置等使用之透明電極之薄膜電晶體時, 可使用含有銦與錫之有機化合物之液體材料形成該透明導 電膜。本實施例係使用將數十A之金微粒子分散於有機溶 劑之懸浮液。將該懸浮液塗佈於基板上形成塗膜,以 2 0 0°C之熱處理形成導電膜,接著如第5圖(f )所示 形成圖型,形成電極5 1 1及電極5 1 1’。 (實施例2 ) 經濟部智慧財產局員工消費合作社印製 參照第6圖說明本發明之第2實施例。第6圖係依據 步驟說明製造本發明之共面型薄膜電晶體的方法。 第6圖(a)中,601爲玻璃基板,602及 6 0 3爲摻雜雜質之成爲源極·漏極區域之摻雜矽膜。摻 雜矽膜之形成係使用磷變性矽烷化合物溶液。以旋轉塗佈 法將磷變性矽烷化合物溶液塗佈於基板上形成塗膜。接著 本纸張尺度適用中國國家標準(CNS)A4規格(210 X 297公釐) -33- 486824 A7 ------ B7 五、發明說明(31 ) 請 先. 閱 讀· 背 面 之 注 意 事 項赢 以8 〇 t〜1 5 〇 °c熱處理除去塗膜中之溶劑後,再以 3 5 〇 °c熱處理3 0分鐘形成非晶質狀態之摻雜矽膜。接 著以能量密度3 0 Om J/cm2進行雷射退火,使摻雜矽 膜進行多晶化。再以光蝕刻形成源極•漏極區域6 〇 2及 6 0 3° 訂 接著如第6圖(b )所示,形成成爲通道區域之矽膜 6 〇 4。首先使用將環五矽烷與環六矽烷之混合物之矽化 合物溶解於苯溶媒之5 %溶液,以轉數5 0 0 r p m形成 塗膜。接著由1 0 0 t:慢慢升溫至3 5 0 °C之熱處理,除 去塗膜中之溶劑,同時使前述塗膜形成非晶質狀態之矽膜 後’以能量密度2 5 0 m J / c m 2進行雷射退火,形成多 晶矽膜。如第6圖(b )所示,以光蝕刻形成與源極,漏 極連接之成爲通道區域之島區域6 0 4。
前述通道區域係以非摻雜矽膜所形成,但爲了控制薄 膜電晶體之V t h時,可使用摻雜磷或硼之摻雜矽膜。因 此,使用環五矽烷與環六矽烷之混合物之矽化合物,與磷 變性矽烷化合物或硼變性矽烷化合物之混合物形成塗膜。 經濟部智慧財產局員工消費合作社印製 塗膜之熱處理或雷射退火係大致與前述相同的條件下進行 。摻雜矽膜之磷或硼之濃度控制係藉由矽化合物與變性矽 烷化合物的混合比例來調整。 前述摻雜矽膜之磷或硼之濃度控制的方法例如可使用 前述以矽化合物所成之塗膜與磷或硼變性矽烷化合物所形 成之塗膜的層積膜。形成前述層積膜後,藉由雷射退火使 摻雜矽膜中之雜質擴散至非摻雜矽膜內。雜質之濃度控制 本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公釐) -34- 486824 經濟部智慧財產局員工消費合作社印制衣 A7 ____ B7___五、發明說明(?2 ) 不僅可藉由前述變性矽烷中之磷或硼的濃度,也可藉由前 述層積膜之膜厚或雷射退火的條件來控制。 接著如第6圖(c )所示,使用液體材料形成閘極絕 緣膜605。首先以轉數2000 r pm將5%濃度的聚 矽胺烷塗佈在基板上形成膜厚1200A之塗膜。前述塗 膜以3 5 0 °C熱處理3 0分鐘變成S i〇2膜,形成閘極絕 緣膜6 0 5。 接著如第6圖(d )所示,使用液體材料形成閘極 6 0 6。閘極可利用使用液體材料所形成之摻雜矽膜或使 用金屬微粒子之懸浮液形成之金屬膜,或以電鍍法所形成 之金屬膜等,但本實施例係使用藉由液體材料所形成之 I T 0膜。首先,使用將含有銦與錫之有機化合物溶解於 二甲苯溶媒之濃度8 %的溶液,以轉數1 5 0 0 r p m在 基板上形成塗膜。接著以8 0 °C之熱處理除去溶媒,再以 3 0 CTC熱處理形成膜厚2 0 0 0A之I TO膜。接著藉 由光蝕刻得到如第6圖(d )所示之閘極6 0 6。前述熱 處理中,以3 0 0 t熱處理3 0分鐘〜6 0分鐘後,接著 在含氫之還原氣氛下,將基板冷卻至2 0 0 t,理想爲 1 5 0°C後,在大氣中取出可進一步降低I TO膜的電阻 〇 如第6圖(e )所示,使用液體材料形成層間絕緣膜 607。首先,以轉數1 〇〇〇 1: pm將濃度1 〇%的溶 液塗佈在基板上形成塗膜。接著將前述塗膜以8 0 t烘烤 除去二甲苯溶劑後,以3 5 0 °C熱處理形成膜厚約 1本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公爱) --- -35- (請先閱讀背面之注意事項 _填寫本頁) i· :裝 tr· 486824 A7 B7 五、發明說明(33 ) 5 Ο 〇 〇A之S i〇2膜。前述3 5 0 T:熱處理係在含有氧 之氣氛中進行,但在含有氧與水蒸氣之氣氛中進行時,可 提高絕緣膜之電氣特性或Μ 0 S界面特性,也能提高薄膜 電晶體之信賴度。 接著如第6圖(ί )所示,前述層間絕緣膜6 0 7上 開設連接孔後,使用液體材料形成電極6 0 8,6 0 8 ’。 該電極形成所用的液體材料可使用金屬微粒子分散於有機 溶劑之懸浮液或將含有銦與錫之有機化合物溶解於有機溶 劑之溶液。也可使用藉由非電解電鍍所形成之鎳膜等之薄 膜。形成具有液晶顯示裝置等使用之透明電極之薄膜電晶 體時,可使用含有銦與錫之有機化合物之液體材料形成該 透明導電膜。 本實施例係藉由I Τ 0膜形成電極。首先,使用將含 有銦與錫之有機化合物溶解於二甲苯溶媒之濃度8 %的溶 液,以轉數1 5 0 0 r p m在基板上形成塗膜。接著以 8 0 °C之熱處理除去溶媒,再以3 0 0 °C熱處理形成膜厚 2 Ο Ο Ο A之I T〇膜。接著藉由光蝕刻得到如第6圖( f )所示之電極6 0 8。前述熱處理中,以3 0 0°C熱處 理3 0分鐘〜6 0分鐘後,接著在含氫之還原氣氛下,將 基板冷卻至2 0 0 °C,理想爲1 5 0 °C後,在大氣中取出 可進一步降低I TO膜的電阻。前述電極爲透明電極,可 作爲液晶顯示裝置等所需之像素電極使用。 (實施例3 ) 本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公爱) (請先閱讀背面之注意事項 填寫本頁) 操 -裝 經濟部智慧財產局員工消費合作社印製 -36- 486824 Α7 _ Β7 五、發明說明(34 ) 參照第7圖說明本發明之第3實施例。第7圖係依其 步驟說明製造本發明之逆交錯型薄膜電晶體的方法。 首先,第7圖(a )所示,在玻璃基板70 1上形成 閘極7 0 2。本實施例係藉由非電解電鍍法形成閘電極。 鍍液係使用不含鈉之鎳鍍液,基板上形成膜厚約4 0 0〇 A之鎳膜。將基板浸漬於鍍液中,使鎳析出,使鎳膜成長 ’但其前處理步驟有基板之表面洗淨或活化劑處理。前述 前步驟處理通常係使用含鈉之溶液,但本發明之前述前步 驟處理係使用不含鈉之溶液。藉由一般之光蝕刻法製作鎳 膜之圖型。鎳之蝕刻液係使用硝酸與冰醋酸之混酸。 如第7圖(b )所示,使用液體材料形成閘極絕緣膜 7 0 3。首先以轉數2 0 0 O r pm將5%濃度的聚矽胺 烷塗佈在基板上形成膜厚1 2 0 0 A之塗膜。接著以8 0 °C熱處理除去前述塗膜中之溶劑,以3 5 0 °C熱處理3 0 分鐘變成S i〇2膜,形成閘極絕緣膜7 0 3。 接著如第7圖(c )所示,使用液體材料形成形成成 爲通道層之矽膜7 0 4。首先使用將環五矽烷與環六矽烷 之混合物之矽化合物溶解於苯溶媒之5 %溶液,以轉數 5 0 0 r pm形成塗膜。接著由1 5 0°C之熱處理除去塗 膜中之溶劑,接著以3 0 0 °C熱處理3 0分鐘,形成金屬 狀之矽膜後,以能量密度2 5 0 mc m 2進行雷射退火 ,形成多晶矽膜。 前述熱處理步驟及雷射退火後,爲了得到非晶矽膜時 ,可將前述熱處理溫度提高至4 5 0°C以上,而不進行雷 本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公釐) 請 先、 閱 讀· 背 δ 之 注 意 事 I·! I裝 頁 訂 經濟部智慧財產局員工消費合作社印製 -37- 486824 A7 B7 五、發明說明(35 ) 射退火,或以較低之雷射能量的條件進行雷射退火。但非 晶矽膜之非晶矽化的程度或多結晶狀態的比例,或矽以有 機化合物的形態殘留於膜中的比例等係與前述熱處理溫度 ’時間,及前述能量密度,前述塗膜厚度有密切關係,因 此爲了得到所要的非晶矽膜時,必須使這些條件設定在最 佳的狀態。 接著如第7圖(d )所示,使用液體材料形成通道保 護層之絕緣膜7 0 5與成爲源極.•漏極區域之雜質摻雜之 矽膜7 0 6及7 0 7。通道保護層例如與前述閘電極相同 ,可使用聚矽胺烷來形成。成爲源極•漏極區域之摻雜之 矽膜之形成係先形成磷或硼變性矽烷化合物之塗膜。接著 以8 0 °C〜1 5 0 t之熱處理除去塗膜中之溶劑,再以 3 5 0 °C熱處理3 0分鐘形成非晶質狀態之矽膜。接著以 能量密度3 0 0 m J / c m 2進行雷射退火,使摻雜矽膜形 成多晶矽膜。前述雷射退火時,雜質係由摻雜矽膜擴散至 下層之非摻雜矽膜內。以光蝕刻形成圖型,形成源極•漏 極區域706及707。 接著如第7圖(e )所示,使用液體材料形成電極 708。本實施例係以IT〇膜形成該電極。首先,使用 將含有銦與錫之有機化合物溶解於二甲苯溶媒之濃度8 % 的溶液,以轉數1 5 0 0 r pm在基板上形成塗膜。接著 以8 0 °C之熱處理除去溶媒,再以3 0 0 °C熱處理形成膜 厚2 0 0 0 A之I T〇膜。接著藉由光蝕刻得到如第7圖 (e )所示,形成電極7 0 8及7 0 8’。前述熱處理中, 本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公釐) (請先閲讀背面之注意事項 I裝--- 寫本頁) 經濟部智慧財產局員工消費合作社印製 -38· 486824 A7 B7 主、發明說明⑺) 以3 0 0°c熱處理3 0分鐘〜6 0分鐘後,接著在含氫之 還原氣氛下,將基板冷卻至2 0 0 °C,理想爲1 5 0 °C後 ’在大氣中取出可進一步降低I TO膜的電阻。前述電極 爲透明電極,可作爲液晶顯示裝置等所需之像素電極使用 〇 本發明之薄膜電晶體的製造方法係使用液體材料形成 構成薄膜電晶體的矽膜,絕緣膜,導電膜之各薄膜的全部 或一部份。其主要的方法係將液體材料塗佈於基板形成塗 膜,該塗膜藉由熱處理形成所要的薄膜。因此本發明係解 決以往之C VD法或濺鍍法之成膜方法之本質上所有的各 種問題,而本發明藉由小型,廉價裝置,得到生產性高, 缺陷少,良率高,段差部之被覆性佳,能以低成本形成薄 膜,因此,能夠以低成本製造薄膜電晶體。 本發明之薄膜電晶體的製造方法係使用液體材料形成 雜質濃度被控制之矽膜。因此,不必使用將雜質摻雜於矽 膜及控制該雜質量的昂貴裝置的離子注入法,因此,能夠 以低成本製造薄膜電晶體。 圖面之簡單說明 第1圖係表示藉由以往之C VD法之薄膜形成的步驟 圖。 第2圖係表示本發明之使用液體材料之薄膜形成的步 驟圖。 第3圖係表示藉由旋轉塗佈法形成塗膜之模式圖。 本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公釐) (請先閲讀背面之注意事 ϋ I I · I I 寫本頁) 經濟部智慧財產局員工消費合作社印製 -39- •寫充丨 民國90 柳824 附件2第89105751號專利申請案 中文說明書修正頁 A7 ___B7 五、發明説明(37) 弟4 ( a ) 、( b )圖係表不組合噴墨法與旋轉塗佈 法形成塗膜之方法的模式圖。 第5 ( a )〜(f )圖係表示本發明之實施例之共面 型薄膜電晶體之製造步驟的斷面圖。 第6 (a)〜(f)圖係表示本發明之實施例之交錯 型薄膜電晶體之製造步驟的斷面圖。 第7 (a)〜(e)僵係表示本發明之實施例之逆交 錯型薄膜電晶體之製造步驟的斷面圖。 (請先閲讀背面之注意事項再填寫本頁) 經濟部智慧財產局員工消費合作社印製 主 要 元件對 照 表 3 0 1 轉 台 3 0 2 基 板 3 0 3 液 體 材料 4 0 1 轉 台 4 0 2 基 板 4 〇 3 噴 墨 頭 4 0 4 液 材料 4 〇 6 旋 轉 軸 4 0 7 塗 膜 5 〇 1 基 板 5 0 2 島 區 域 5 0 3 絕 緣 膜 5 〇 4 閘 極 5 0 5 矽 膜 本紙張尺度適用中國國家標準(cns ) A4規格(210χ 297公釐) 486824 A7 B7 五、發明説明(38 經濟部智慧財產局員工消費合作社印製 5 1 1 電 極 6 〇 1 玻 璃 基 板 6 〇 2 源 極 區 域 6 〇 3 漏 極 is 域 6 〇 4 島 區 域 7 〇 5 絕 緣 膜 7 〇 6 矽 膜 零-裝----- (請先閱讀背面之注意事項再填寫本頁) 本紙張尺度適用中國國家標準(CNS ) A4規格(210X297公釐) -41,

Claims (1)

  1. 486824 A8 B8 C8 D8 外年)月>/日 六、申請專利範圍 附件1 a 第89 1 0575 1號專利申請案 (請先閱讀背面之注意事項再填寫本頁) 中文申請專利範圍修正本 民國9 1年2月修正 1·一種薄膜電晶體之製造方法,其係製造具有雜質 濃度被控制之砂膜,絕緣膜及導電膜之各薄膜之薄膜電晶 體的方法,其特徵爲前述雜質濃度被控制之矽膜係由非摻 雑矽膜與摻雜矽膜所構成,前述非摻雜矽膜之形成係由基 板上塗佈含有矽原子之液體材料,形成塗膜的步驟;及接 者使該塗膜形成非摻雜砂膜之熱處理步驟所構成,前述摻 雜矽膜之形成包括基板上塗佈含有矽原子及雜質之液體材 料’形成塗膜的步驟;及接著使該塗膜形成摻雜矽膜之熱 處理步驟所構成。 2 .如申請專利範圍第1項之薄膜電晶體之製造方法 ’其中前述由非摻雜矽膜與摻雜矽膜所構成之雜質濃度被 控制的矽膜中,前述非摻雜矽膜形成通道區域,前述摻雜 矽膜形成源極•漏極區域。 經濟部智慧財產局員工消費合作杜印製 3 ·如申請專利範圍第1項或第2項之薄膜電晶體之 製造方法,其中前述摻雜矽膜形成閘電極。 4 ·如申請專利範圍第1項或第2項之薄膜電晶體之 製造方法,其中前述摻雜矽膜形成電極及配線。 5 · —種薄膜電晶體之製造方法,其係製造具有雜質 濃度被控制之矽膜,絕緣膜及導電膜之各薄膜之薄膜電晶 體的方法,其特徵係爲了得到含有所要之雜質濃度之前述 本紙張尺度適用中國國家標準(CNS ) A4規格(210X 297公釐) 486824 A8 B8 C8 D8 六、申請專利範圍 雜質濃度被控制之矽膜時,包括基板上塗佈含有矽原子之 液體材料,與含有矽原子及雜質之液體材料的混合液,形 成塗膜的步驟;及接著使該塗膜形成雜質濃度被控制之砂 膜的熱處理步驟。 6 ·如申請專利範圍第5項之薄膜電晶體之製造方法 ’其中前述含有所要之雜質濃度之前述雜質濃度被控制之 矽膜係形成通道區域。 7 · —種薄膜電晶體之製造方法,其係製造具有雜質 濃度被控制之矽膜,絕緣膜及導電膜之各薄膜之薄膜電晶 體的方法’其特徵爲前述雜質濃度被控制之矽膜係由非摻 雜砂膜與摻雜矽膜之層積膜所形成,前述非摻雜矽膜之形 成係由基板上塗佈含有矽原子之液體材料,形成塗膜的步 驟;及接著使該塗膜形成非摻雜矽膜之熱處理步驟所構成 ’前述摻雜矽膜之形成包括基板上塗佈含有矽原子及雜質 之液體材料,形成塗膜的步驟;及接著使該塗膜形成摻雜 矽膜之熱處理步驟。 8 .如申請專利範圍第7項之薄膜電晶體之製造方法 ,其中前述由非摻雜矽膜與摻雜矽膜之層積膜所形成之雜 質濃度被控制之矽膜係形成通道區域。 9 · 一種薄膜電晶體之製造方法,其係製造具有雜質 濃度被控制之矽膜,絕緣膜及導電膜之各薄膜之薄膜電晶 體的方法,其特徵係包括:在絕緣基板或絕緣膜上塗佈含 有矽原子之液體材料或含有矽原子及雜質之液體材料,形 成塗膜的步驟;使該塗膜形成雜質濃度被控制之矽膜之熱 本&張尺度適用中國國家標準(CNS ) A4規格(210X297公釐) (請先閱讀背面之注意事項再填寫本頁) 、1T 經濟部智慧財產局員工消費合作社印製 486824 A8 B8 C8 D8 夂、申請專利範圍 處理步驟;將該矽膜製成圖型,形成源極,漏極及成爲通 道之島區域的步驟;形成閘極絕緣膜的步驟;形成閘電極 的步驟;以前述閘電極爲掩模除去源極,漏極區域上之閘 絕緣膜,使成爲源極,漏極區域之矽膜外露的步驟;將含 有矽原子及雜質之液體材料塗佈於外露之源極,漏極區域 上’形成塗膜的步驟;將該塗膜進行熱處理形成摻雜矽膜 之第1熱處理步驟;將該摻雜矽膜之雜質擴散至下層之矽 膜之第2熱處理步驟;將該摻雜矽膜製成圖型,形成與源 極’漏極區域上之一部份重疊之圖型的步驟;形成層間絕 緣膜的步驟;層間絕緣膜上開設連接孔的步驟;形成電極 的步驟。 1 0 . —種薄膜電晶體之製造方法,其係製造具有雜 質濃度被控制之矽膜,絕緣膜及導電膜之各薄膜之薄膜電 晶體的方法,其特徵係包括:在絕緣基板或絕緣膜上塗佈 含有矽原子及雜質之液體材料,形成塗膜的步驟;將該塗 膜熱處理製成摻雜矽膜,形成源極,漏極區域的步驟;基 板上塗佈含有矽原子之液體材料或含有矽原子及雜質之液 體材料,形成塗膜的步驟;使該塗膜形成雜質濃度被控制 之矽膜之熱處理步驟;使該雜質濃度被控制之矽膜製成圖 型,形成含有與前述源極•漏極區域連接之通道區域之島 區域的步驟;形成閘極絕緣膜的步驟;形成閘電極的步驟 ;形成層間絕緣膜的步驟;層間絕緣膜上開設連接孔的步 驟;形成電極的步驟。 1 1 · 一種薄膜電晶體之製造方法,其係製造具有雜 本紙張尺度適用中國國家標準(CNS ) A4規格(210X297公釐) (請先閱讀背面之注意事項再填寫本頁) l·訂 經濟部智慧財產局員工消費合作社印製 486824 A8 B8 C8 _ D8 六、申請專利範圍 質濃度被控制之矽膜,絕緣膜及導電膜之各薄膜之薄膜電 晶體的方法,其特徵係具備:在絕緣基板或絕緣膜上形成 閘電極的步驟;形成閘極絕緣膜的步驟;基板上塗佈含有 石夕原子之液體材料或含有矽原子及雜質之液體材料,形成 塗膜的步驟;使該塗膜形成雜質濃度被控制之矽膜之熱處 理步驟;塗佈含有矽原子及雜質之液體材料,形成塗膜的 步驟;將該塗膜熱處理製成摻雜雜質之矽膜,形成源極, 漏極區域的步驟;形成電極的步驟。 1 2 ·如申請專利範圍第1項,第5項,第7項,第 9項’第1 0項或第1 1項中任一項之薄膜電晶體之製造 方法,其中基板上塗佈前述液體材料形成塗膜,接著將該 塗膜熱處理形成雜質濃度被控制之矽膜之熱處理步驟係由 除去前述塗膜中之溶媒之第1熱處理步驟;及接著形成雜 質濃度被控制之砂膜之第2熱處理步驟所構成。 1 3 ·如申請專利範圍第1項,第5項,第7項,第 9項,第1 0項或第1 1項中任一項之薄膜電晶體之製造 方法,其中基板上塗佈前述液體材料形成塗膜,接著將該 塗膜熱處理形成雜質濃度被控制之矽膜之前述熱處理步驟 係由除去前述塗膜中之溶媒之第1熱處理步驟;形成雜質 濃度被控制之矽膜之第2熱處理步驟;及接著使該雜質濃 度被控制之砂膜結晶化之第3熱處理步驟所構成。 1 4 ·如申請專利範圍第1 2項之薄膜電晶體之製造 方法,其中前述第1熱處理步驟包括除去溶媒的乾燥步驟 ;及該塗膜內進行熱分解及/或光分解的步驟。 本紙張尺度適用中國國家標準(CNS ) A4規格(210X297公釐)" ' " -4 - (請先閲讀背面之注意事項再填寫本頁) 、1T L0 經齊部智慧財產局員工消費合作社印製 486824 A8 B8 C8 D8 六、申請專利範圍 1 5 ·如申請專利範圍第1 3項之薄膜電晶體之製造 方法,其中前述第1熱處理步驟包括除去溶媒的乾燥步驟 •,及該塗膜內進行熱分解及/或光分解的步驟。 1 6 ·如申請專利範圍第1 4項之薄膜電晶體之製造 方法,其中前述光分解的步驟係將波長爲1 7 0 n m以上 3 8 0 n m以下之光照射在前述塗膜上。 1 7 _如申請專利範圍第1 2項之薄膜電晶體之製造 方法’其中前述第2或第3的熱處理步驟係藉由燈光退火 或雷射退火的熱處理。 1 8 .如申請專利範圍第1 3項之薄膜電晶體之製造 方法,其中前述第2或第3的熱處理步驟係藉由燈光退火 或雷射退火的熱處理。 1 9 ·如申請專利範圍第1 2項之薄膜電晶體之製造 方法,其中第1,第2,第3的熱處理步驟係在氧濃度被 控制的氣氛下進行處理,使經由該熱處理步驟所形成之雜 質濃度被控制之矽膜中所含之氧原子爲1 〇 〇 p p m以.下 〇 2 〇 .如申請專利範圍第1 3項之薄膜電晶體之製造 方法’其中第1,第2,第3的熱處理步驟係在氧濃度被 控制的氣氛下進行處理,使經由該熱處理步驟所形成之雜 質濃度被控制之砂膜中所含之氧原子爲1 Q 〇 p p m以下 〇 2 1 .如申請專利範圍第1項,第5項,第7項,第 本紙張尺度適用中國國家標準(CNS ) A4規格(210X297公釐) IL------钃裝—— (請先閱讀背面之注意事項再填寫本頁) 、1T 經濟部智慧財產局員工消費合作社印製 486824 經濟部智慧財產局員工消費合作社印製 A8 B8 C8 D8六、申請專利範圍 9項,第1 0項或第1 1項中任一項之薄膜電晶體之製造 方法,其中製造具有雜質濃度被控制之矽膜,絕緣膜及導 電膜之薄膜電晶體的方法中,前述絕緣膜之形成步驟包括 基板上塗佈聚矽胺烷的步驟;及接著藉由熱處理使被塗佈 之聚矽胺烷成爲S i〇2膜的步驟。 2 2 ·如申請專利範圍第1項,第5項,第7項,第 9項,第1 0項或第1 1項中任一項之薄膜電晶體之製造 方法,其中製造具有雜質濃度被控制之矽膜,絕緣膜及導 電膜之薄膜電晶體的方法中,前述導電膜之形成步驟包括 基板上塗佈含金屬之液體材料,形成塗膜的步驟;及藉由 熱處理使該塗膜成爲導電膜的步驟;及將該導電膜製成圖 型的步驟。 2 3 .如申請專利範圍第1項,第5項,第7項,第 9項,第1 0項或第1 1項中任一項之薄膜電晶體之製造 方法,其中前述導電膜之形成步驟包括藉由電鍍法在基板 上形成導電膜的步驟;及將該導電膜製成圖型的步驟。 2 4 ·如申請專利範圍第1項,2項,第5項,第$ 項或第9項中任一項之薄膜電晶體之製造方法,其中前述 導電膜之形成步驟係由基板上塗佈含有銦與錫之有機化合 物,形成塗膜的步驟;及將該塗膜製成I T .0膜之熱處理 步驟所構成。 2 5 ·如申請專利範圍第1項,第5項,第7項,第 9項,第1 〇項或第1 1項中任一項之薄膜電晶體之製造 方法,其中製造具有雜質濃度被控制之矽膜,絕緣膜及導 本紙張尺度適用中國國家標準(CNS ) A4規格(210X297公釐) ^--------·裝-- (請先閲讀背面之注意事項再填寫本頁) l·訂 486824 A8 B8 C8 D8 六、申請專利範圍 電膜之薄膜電晶體的方法中,前述薄膜電晶體具有透明導 電膜,形成該透明導電膜的步驟係由基板上塗佈含有銦與 錫之有機化合物,形成塗膜的步驟;及將該塗膜製成 I τ ◦膜之熱處理步驟所構成。 2 6 ·如申請專利範圍第1項,第5項,第7項,第 9項,第1 0項或第1 1項中任一項之薄膜電晶體之製造 方法,其中前述形成雜質濃度被控制之矽膜之液體材料係 含有具有以一般式S i nXm ( n爲5以上之整數,m爲 η或2 η - 2或2 η之整數,X爲氫原子及/或鹵原子) 表示之環系之矽化合物的溶液。 2 7 ·如申請專利範圍第2 6項之薄膜電晶體之製造 方法’其中則述具有以前述一般式S i nXm表示之環系 的矽化合物之η爲5以上,2 0以下。 2 8 ·如申請專利範圍第2 5項之薄膜電晶體之製造 方法’其中前述形成雜質濃度被控制之矽膜之液體材料係 含有具有以一般式S i aXbY。(X爲氫原子及/或鹵原 子’ Y爲硼原子或磷原子,a爲3以上之整數,b爲a.以 上,2a + c + 2以下之整數,(:爲1以上,,a以下之 整數)表示之矽化合物的溶液。 2 9 _如申請專利範圍第2 8項之薄膜電晶體之製造 方法’其中前述以一般式s i (X爲氫原子及/ 或鹵原子,Y爲硼原子或磷原子,爲3以上之整數 ’ b爲a以上,2a + c + 2以下之整數)表示之矽化合 物中,a + c爲5以上,20以下。 本紙張尺度適用中國國家標準(CNS ) A4規格(21〇χ 297公着) ' :- (請先閱讀背面之注意事項再填寫本頁) ,裝· 訂 經濟部智慧財產局員工消費合作社印製 486824 A8 B8 C8 D8 六、申請專利範圍 3 〇 _如申請專利範圍第2 6項之薄膜電晶體之製造 方法,其中含有前述矽化合物之溶液的溶質濃度爲1〜 8 0重量%。 3 1 .如申請專利範圍第2 9項之薄膜電晶體之製造 方法,其中含有前述矽化合物之溶液的溶質濃度爲1〜 8 0重量%。 3 2 _如申請專利範圍第2 6項之薄膜電晶體之製造 方法,其中含有前述矽化合物之溶液的粘度爲1〜1 〇 〇 m P a · s ° 3 3 .如申請專利範圍第2 9項之薄膜電晶體之製造 方法,其中含有前述矽化合物之溶液的粘度爲1〜1〇〇 m P a · s ° 3 4 ·如申請專利範圍第2 6項之薄膜電晶體之製造 方法,其中含有前述矽化合物之溶液係含有在室溫之蒸汽 壓爲0 · 〇〇1〜l〇〇mmHg的溶媒。 3 5 ·如申請專利範圍第2 9項之薄膜電晶體之製造 方法,其中含有前述矽化合物之溶液係含有在室溫之蒸汽 壓爲0 . 〇〇1〜lOOmmHg的溶媒。 3 6 ·如申請專利範圍第3 > 4項之薄膜電晶體之製造 方法,其中前述溶媒爲烴系溶媒。 3 7 .如申請專利範圍第3 5項之薄膜電晶體之製造 方法,其中前述溶媒爲烴系溶媒。 3 8 . —種薄膜電晶體之製造方法,其係製造具有雜 質濃度被控制之矽膜,絕緣膜及導電膜之各薄膜之薄膜電 本紙張尺度適用中國國家標準(CNS ) A4規格(2l〇X297公釐) :--------'_裝-- (請先閱讀背面之注意事項再填寫本頁) 訂 經濟部智慧財產局員工消費合作社印製 486824 A8 B8 C8 D8 六、申請專利範圍 晶體的方法,其特徵爲前述所有的薄膜或大部分的薄膜以 使用液體材料的方法所形成,且該薄膜的形成係以不使用 真空裝置的方法來形成。 3 9 · —種薄膜電晶體之製造方法,其係製造具有雜 質濃度被控制之矽膜,絕緣膜,導電膜之各薄膜之薄膜電 晶體的方法,其特徵爲前述薄膜電晶體具有透明導電膜, 前述各薄膜之所有的薄膜或大部分的薄膜以使用液體材料 的方法所形成,且該薄膜之形成係以不使用真空裝置的方 法來形成。 4 0 .如申請專利範圍第3 8項或第3 9項之薄膜電 晶體之製造方法,其中藉由前述液體材料所形成之各薄膜 之形成方法中,基板上塗佈該液體材料,形成塗膜的方法 係輥塗佈法,簾流塗佈法,浸塗法,噴塗法,噴射法中任 一種的方法或組合前述任一種方法與旋轉塗佈法之方法。 (請先閱讀背面之注意事項再填寫本頁) 經濟部智慧財產局員工消費合作社印製 -9- 本紙張尺度適用中國國家標準(CNS ) A4規格(210X297公釐)
TW089105751A 1999-03-30 2000-03-29 Method of manufacturing thin-film transistor TW486824B (en)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP12750299 1999-03-30

Publications (1)

Publication Number Publication Date
TW486824B true TW486824B (en) 2002-05-11

Family

ID=14961573

Family Applications (1)

Application Number Title Priority Date Filing Date
TW089105751A TW486824B (en) 1999-03-30 2000-03-29 Method of manufacturing thin-film transistor

Country Status (8)

Country Link
US (1) US6767775B1 (zh)
EP (1) EP1085578B1 (zh)
JP (1) JP4075308B2 (zh)
KR (1) KR100412744B1 (zh)
CN (1) CN100385683C (zh)
DE (1) DE60034406T2 (zh)
TW (1) TW486824B (zh)
WO (1) WO2000059041A1 (zh)

Families Citing this family (58)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP0855614A4 (en) * 1996-05-15 2001-12-19 Seiko Epson Corp THIN FILM COMPONENT HAVING A COATING LAYER, LIQUID CRYSTAL PANEL, ELECTRONIC APPARATUS AND METHOD FOR MANUFACTURING THE THIN FILM COMPONENT
TW555690B (en) 2001-08-14 2003-10-01 Jsr Corp Silane composition, silicon film forming method and solar cell production method
JP3864413B2 (ja) * 2002-04-22 2006-12-27 セイコーエプソン株式会社 トランジスタの製造方法
JP2003318193A (ja) * 2002-04-22 2003-11-07 Seiko Epson Corp デバイス、その製造方法及び電子装置
JP2004134440A (ja) * 2002-10-08 2004-04-30 Okutekku:Kk シリコン膜の形態学的変化法
GB0225202D0 (en) * 2002-10-30 2002-12-11 Hewlett Packard Co Electronic components
JP4042685B2 (ja) * 2003-03-26 2008-02-06 セイコーエプソン株式会社 トランジスタの製造方法
JP4619050B2 (ja) * 2003-06-30 2011-01-26 株式会社半導体エネルギー研究所 表示装置の作製方法
US7879696B2 (en) * 2003-07-08 2011-02-01 Kovio, Inc. Compositions and methods for forming a semiconducting and/or silicon-containing film, and structures formed therefrom
JP4046029B2 (ja) * 2003-07-09 2008-02-13 セイコーエプソン株式会社 トランジスタの製造方法
GB2404082A (en) * 2003-07-12 2005-01-19 Hewlett Packard Development Co Semiconductor device with metallic electrodes and method of forming a device
CN1894803B (zh) * 2003-12-19 2010-12-22 株式会社半导体能源研究所 半导体器件及其制造方法
US7294449B1 (en) 2003-12-31 2007-11-13 Kovio, Inc. Radiation patternable functional materials, methods of their use, and structures formed therefrom
JP2005223268A (ja) * 2004-02-09 2005-08-18 Seiko Epson Corp 薄膜トランジスタの製造方法、ディスプレイの製造方法及びディスプレイ
EP1714309B1 (de) * 2004-02-13 2007-06-13 Shell Solar GmbH Einrichtung zum aufbringen einer flüssigen dotierungsstofflösung auf einem wafer
US7152804B1 (en) 2004-03-15 2006-12-26 Kovlo, Inc. MOS electronic article surveillance, RF and/or RF identification tag/device, and methods for making and using the same
US7757631B2 (en) * 2004-05-26 2010-07-20 Hewlett-Packard Development Company, L.P. Apparatus for forming a circuit
US7575979B2 (en) 2004-06-22 2009-08-18 Hewlett-Packard Development Company, L.P. Method to form a film
JP4853607B2 (ja) * 2004-07-09 2012-01-11 セイコーエプソン株式会社 薄膜トランジスタの製造方法
CN100440437C (zh) * 2004-07-09 2008-12-03 精工爱普生株式会社 薄膜晶体管的制造方法、电光学装置和电子仪器
US7314513B1 (en) * 2004-09-24 2008-01-01 Kovio, Inc. Methods of forming a doped semiconductor thin film, doped semiconductor thin film structures, doped silane compositions, and methods of making such compositions
US8211396B1 (en) 2004-09-24 2012-07-03 Kovio, Inc. Heterocyclic semiconductor precursor compounds, compositions containing the same, and methods of making such compounds and compositions
US7674926B1 (en) 2004-10-01 2010-03-09 Kovio, Inc. Dopant group-substituted semiconductor precursor compounds, compositions containing the same, and methods of making such compounds and compositions
US7485691B1 (en) * 2004-10-08 2009-02-03 Kovio, Inc Polysilane compositions, methods for their synthesis and films formed therefrom
US9953259B2 (en) * 2004-10-08 2018-04-24 Thin Film Electronics, Asa RF and/or RF identification tag/device having an integrated interposer, and methods for making and using the same
US20060088962A1 (en) * 2004-10-22 2006-04-27 Herman Gregory S Method of forming a solution processed transistor having a multilayer dielectric
US7619248B1 (en) 2005-03-18 2009-11-17 Kovio, Inc. MOS transistor with self-aligned source and drain, and method for making the same
US8461628B2 (en) 2005-03-18 2013-06-11 Kovio, Inc. MOS transistor with laser-patterned metal gate, and method for making the same
JP2006310345A (ja) * 2005-04-26 2006-11-09 Jsr Corp 積層膜の形成方法
JP4761199B2 (ja) * 2005-09-29 2011-08-31 セイコーエプソン株式会社 半導体素子用電極の製造方法、トランジスタの製造方法、およびpinダイオードの製造方法
KR100644968B1 (ko) * 2005-10-28 2006-11-14 한국과학기술연구원 생체적합성 실리콘 나노입자의 제조 방법
US7691691B1 (en) 2006-05-23 2010-04-06 Kovio, Inc. Semiconductor device and methods for making the same
US20080029046A1 (en) * 2006-05-25 2008-02-07 Welles Robert D Hot water reclaimer
US8796125B2 (en) 2006-06-12 2014-08-05 Kovio, Inc. Printed, self-aligned, top gate thin film transistor
KR100742383B1 (ko) * 2006-07-05 2007-07-24 삼성에스디아이 주식회사 박막 트랜지스터 및 그 제조방법
US7767520B2 (en) 2006-08-15 2010-08-03 Kovio, Inc. Printed dopant layers
US7701011B2 (en) * 2006-08-15 2010-04-20 Kovio, Inc. Printed dopant layers
US9196641B2 (en) 2006-08-15 2015-11-24 Thin Film Electronics Asa Printed dopant layers
US7709307B2 (en) * 2006-08-24 2010-05-04 Kovio, Inc. Printed non-volatile memory
EP2069368A4 (en) 2006-10-06 2011-06-22 Kovio Inc SILICON POLYMERS, METHODS FOR POLYMERIZING SILICON COMPOUNDS, AND METHODS FOR FORMING THIN FILMS OF SILICON POLYMERS
KR100906159B1 (ko) 2007-07-06 2009-07-03 정인 대구경 도트 사이트 장치
US7768080B2 (en) * 2007-07-30 2010-08-03 Hewlett-Packard Development Company, L.P. Multilayer dielectric
JP5292066B2 (ja) 2007-12-05 2013-09-18 株式会社半導体エネルギー研究所 表示装置
US8460983B1 (en) * 2008-01-21 2013-06-11 Kovio, Inc. Method for modifying and controlling the threshold voltage of thin film transistors
JP5519649B2 (ja) * 2008-05-29 2014-06-11 エヌディーエスユー リサーチ ファウンデーション 官能化されたシランの形成法
JP5899575B2 (ja) * 2008-11-25 2016-04-06 シン フィルム エレクトロニクス エーエスエー デバイスの製造方法、および、印刷アンテナを備えるデバイス
US8624049B2 (en) * 2010-01-18 2014-01-07 Kovio, Inc. Dopant group-substituted semiconductor precursor compounds, compositions containing the same, and methods of making such compounds and compositions
EP2528864B1 (en) 2010-01-28 2017-03-29 Ndsu Research Foundation Method of producing cyclohexasilane compounds
JP2012015436A (ja) * 2010-07-05 2012-01-19 Sony Corp 薄膜トランジスタおよび表示装置
US8616821B2 (en) * 2010-08-26 2013-12-31 Taiwan Semiconductor Manufacturing Company, Ltd. Integrated apparatus to assure wafer quality and manufacturability
KR101172187B1 (ko) * 2010-09-27 2012-08-07 한밭대학교 산학협력단 스프레이 방식을 이용한 박막트랜지스터 및 전자회로를 제조하는 방법
JP2012164898A (ja) * 2011-02-08 2012-08-30 Kagawa Univ 半導体微粒子膜、ダイオード、光電変換素子およびそれらの製造方法
JP6028238B2 (ja) * 2011-02-08 2016-11-16 小川 一文 半導体微粒子膜、ダイオード、光電変換素子およびそれらの製造方法
US8673426B2 (en) * 2011-06-29 2014-03-18 Semiconductor Energy Laboratory Co., Ltd. Driver circuit, method of manufacturing the driver circuit, and display device including the driver circuit
WO2014145107A1 (en) * 2013-03-15 2014-09-18 Ndsu Research Foundation Silicon materials from the processing of liquid silanes and heteroatom additives
US10763103B2 (en) * 2015-03-31 2020-09-01 Versum Materials Us, Llc Boron-containing compounds, compositions, and methods for the deposition of a boron containing films
CN109920796B (zh) * 2017-12-13 2021-06-15 湘潭宏大真空技术股份有限公司 一种tft基板的镀膜方法
US20240128439A1 (en) * 2021-02-22 2024-04-18 The Coretec Group Inc. Cyclohexasilane for electrodes

Family Cites Families (24)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4227291A (en) * 1978-06-22 1980-10-14 J. C. Schumacher Co. Energy efficient process for continuous production of thin semiconductor films on metallic substrates
US4332879A (en) * 1978-12-01 1982-06-01 Hughes Aircraft Company Process for depositing a film of controlled composition using a metallo-organic photoresist
US4683147A (en) * 1984-04-16 1987-07-28 Canon Kabushiki Kaisha Method of forming deposition film
US4719125A (en) * 1985-10-11 1988-01-12 Allied Corporation Cyclosilazane polymers as dielectric films in integrated circuit fabrication technology
US4724219A (en) * 1986-07-16 1988-02-09 Sprague Electric Company Radiation melting of semiconductor surface areas through a remote mask
JP2813428B2 (ja) * 1989-08-17 1998-10-22 三菱電機株式会社 電界効果トランジスタ及び該電界効果トランジスタを用いた液晶表示装置
JPH0481820A (ja) 1990-07-25 1992-03-16 Hitachi Ltd アクティブマトリクス基板及びこれを用いた液晶表示素子
US5294425A (en) * 1991-09-24 1994-03-15 Southwest Research Institute Polysilazane precursors for silicon nitride and resultant products
JPH05144741A (ja) 1991-11-21 1993-06-11 Showa Denko Kk アモルフアスシリコン膜の形成方法
EP0611067B1 (en) * 1993-02-05 1999-03-10 Dow Corning Corporation Coating electronic substrates with silica derived from silazane polymers
US5912047A (en) * 1993-03-25 1999-06-15 Dow Corning Corporation Borosilicate electronic coatings
JP2790163B2 (ja) * 1993-07-29 1998-08-27 富士通株式会社 シリコン酸化膜の形成方法、半導体装置の製造方法及びフラットディスプレイ装置の製造方法
WO1997003131A1 (fr) * 1995-07-13 1997-01-30 Tonen Corporation Composition de formation de ceramiques et procede de production de ceramiques
JPH0945922A (ja) 1995-07-27 1997-02-14 Showa Denko Kk 多結晶シリコン膜の形成方法
US5866471A (en) * 1995-12-26 1999-02-02 Kabushiki Kaisha Toshiba Method of forming semiconductor thin film and method of fabricating solar cell
US5747381A (en) * 1996-02-12 1998-05-05 Taiwan Semiconductor Manufacturing Company, Ltd. Technique for the removal of residual spin-on-glass (SOG) after full SOG etchback
EP0855614A4 (en) 1996-05-15 2001-12-19 Seiko Epson Corp THIN FILM COMPONENT HAVING A COATING LAYER, LIQUID CRYSTAL PANEL, ELECTRONIC APPARATUS AND METHOD FOR MANUFACTURING THE THIN FILM COMPONENT
JP2988399B2 (ja) * 1996-11-28 1999-12-13 日本電気株式会社 アクティブマトリクス基板
JP3191745B2 (ja) 1997-04-23 2001-07-23 日本電気株式会社 薄膜トランジスタ素子及びその製造方法
JP4030625B2 (ja) * 1997-08-08 2008-01-09 Azエレクトロニックマテリアルズ株式会社 アミン残基含有ポリシラザン及びその製造方法
US6383641B1 (en) * 1997-08-15 2002-05-07 Asahi Glass Company Ltd. Transparent coated molded product and method for producing the same
US5980699A (en) * 1997-10-24 1999-11-09 Southwest Research Institute Method for preparing silicon nitride and silicon nitride composites
US6071796A (en) * 1998-10-30 2000-06-06 Sharp Laboratories Of America, Inc. Method of controlling oxygen incorporation during crystallization of silicon film by excimer laser anneal in air ambient
TWI281921B (en) * 2000-03-13 2007-06-01 Jsr Corp Novel cyclosilane compound, and solution composition and process for forming a silicon film

Also Published As

Publication number Publication date
JP4075308B2 (ja) 2008-04-16
WO2000059041A1 (en) 2000-10-05
EP1085578A4 (en) 2005-07-27
US6767775B1 (en) 2004-07-27
DE60034406T2 (de) 2008-01-31
DE60034406D1 (de) 2007-05-31
CN100385683C (zh) 2008-04-30
EP1085578B1 (en) 2007-04-18
EP1085578A1 (en) 2001-03-21
KR20010043915A (ko) 2001-05-25
CN1297582A (zh) 2001-05-30
KR100412744B1 (ko) 2003-12-31

Similar Documents

Publication Publication Date Title
TW486824B (en) Method of manufacturing thin-film transistor
TW475269B (en) Method of manufacturing thin-film transistor
TW457554B (en) Method for forming a silicon film and ink composition for ink jet
TW447143B (en) Method of manufacturing solar cell
TW465131B (en) Method for forming silicon film
TW555690B (en) Silane composition, silicon film forming method and solar cell production method
TW200539253A (en) Method for doping impurities, methods for producing semiconductor device and applied electronic apparatus
WO2010011974A1 (en) Aluminum inks and methods of making the same, methods for depositing aluminum inks, and films formed by printing and/or depositing an aluminum ink
JP2003171556A (ja) シリコン膜の形成方法およびそのための組成物
TW201137169A (en) Process for production of silicon layers
KR101064799B1 (ko) 규소-코발트 막 형성용 조성물, 규소-코발트 막 및 상기막의 형성 방법
US20210184143A1 (en) Transistor production method
JP5618599B2 (ja) パターンの形成方法
JP2006310345A (ja) 積層膜の形成方法
JP2002338891A (ja) アルミニウム膜の形成方法およびアルミニウム膜形成用組成物
JP2005142540A (ja) シリコン・コバルト膜形成用組成物、シリコン・コバルト膜およびその形成方法

Legal Events

Date Code Title Description
GD4A Issue of patent certificate for granted invention patent
MM4A Annulment or lapse of patent due to non-payment of fees