TW463227B - Frequency doubling hybrid photoresist - Google Patents

Frequency doubling hybrid photoresist Download PDF

Info

Publication number
TW463227B
TW463227B TW086113401A TW86113401A TW463227B TW 463227 B TW463227 B TW 463227B TW 086113401 A TW086113401 A TW 086113401A TW 86113401 A TW86113401 A TW 86113401A TW 463227 B TW463227 B TW 463227B
Authority
TW
Taiwan
Prior art keywords
patent application
item
scope
exposure
photoresist
Prior art date
Application number
TW086113401A
Other languages
English (en)
Inventor
Mark C Hakey
Steven J Holmes
David V Horak
Ahmad D Katnani
Niranjan M Patel
Original Assignee
Ibm
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Ibm filed Critical Ibm
Application granted granted Critical
Publication of TW463227B publication Critical patent/TW463227B/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L28/00Passive two-terminal components without a potential-jump or surface barrier for integrated circuits; Details thereof; Multistep manufacturing processes therefor
    • H01L28/40Capacitors
    • H01L28/60Electrodes
    • H01L28/82Electrodes with an enlarged surface, e.g. formed by texturisation
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/0045Photosensitive materials with organic non-macromolecular light-sensitive compounds not otherwise provided for, e.g. dissolution inhibitors
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/038Macromolecular compounds which are rendered insoluble or differentially wettable
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/039Macromolecular compounds which are photodegradable, e.g. positive electron resists
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/09Photosensitive materials characterised by structural details, e.g. supports, auxiliary layers
    • G03F7/095Photosensitive materials characterised by structural details, e.g. supports, auxiliary layers having more than one photosensitive layer
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/16Coating processes; Apparatus therefor
    • G03F7/168Finishing the coated layer, e.g. drying, baking, soaking
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0273Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
    • H01L21/0274Photolithographic processes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/308Chemical or electrical treatment, e.g. electrolytic etching using masks
    • H01L21/3083Chemical or electrical treatment, e.g. electrolytic etching using masks characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/3085Chemical or electrical treatment, e.g. electrolytic etching using masks characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane characterised by their behaviour during the process, e.g. soluble masks, redeposited masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body

Landscapes

  • Physics & Mathematics (AREA)
  • Engineering & Computer Science (AREA)
  • General Physics & Mathematics (AREA)
  • Power Engineering (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Spectroscopy & Molecular Physics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Architecture (AREA)
  • Structural Engineering (AREA)
  • Exposure And Positioning Against Photoresist Photosensitive Materials (AREA)
  • Materials For Photolithography (AREA)

Description

4 63 2 A7 B7 之同種 五、發明説明(1 相關申請案 本申請案爲共待審美國專利申請案序號___ 事物申請案,於同一天提出申請且併於本文供參考 發明背景 1-技術簌圊 本發明一般而言係關於積體電路(iC)晶片之製造,且更 明確τ之,係關於包含正色調與負色調兩種屬性之光阻材 料。 孓背景技藝 半導體裝置之製造係端賴於電腦輔助設計(CAD)所產生之 圖樣精確複製於裝置基材之表面上a複製程序典型上係使 用石印程序,接著是許多去除(蝕刻)與添加(沈積)程序。 光石印術爲一種石印程序之型式,其係使用於半導體裝 置'整體光學裝置及光罩之製造上。此程序基本上包括: 塗,-層當曝露於㈣下時會反應之材料,稱爲光阻材料 或簡稱爲光阻;選擇性地使部份光阻曝露於光線或其他電 離輻射下,意即紫外光、電子束、χ_射線等,#以改變部 份材料之溶解度;及使光阻顯像,其方式是將其以㈣顯 像劑溶液,譬如氫氧化四甲基銨(ΤΜΑΗ)洗滌,藉以移除該 層(未經照射(於負型光阻中)或經照射(於正型光阻中)部 份。 習用正與負色調光阻之特徵在於溶解曲線,當使光 露於不同程度(光化輻射下時,丨中有單—轉移’從第一 個溶解速率至第二個溶解速率,在正型光阻中,最初未經 本絲尺錢财關 -----------i本------,1T---- * i - (請先閔讀背面之注意事項再填寫本頁) Μ濟部中央樣準局員工消费合作社印製 1---^ —丨! 經濟部中央榡準局貝工消費合作社印製 4S32) / A7 二 -—_______ B7 五、發明説明(2 ) " ' -— - 曝光〈光阻係不溶於顯像劑中,而當曝光劑量增加至高於 閥値時’經曝光之光阻變得更爲可溶。對負型光阻發現類 =行爲,惟最初未經曝光之光阻係可溶於顯像劑中,並使 得經曝光之區域不可溶。藉由經曝光與未經曝光之光阻區 域之此種差別溶解度,可在光阻薄膜上形成㈣。此圖樣 可用以形成積體電路裝置,且目前爲在其製造上之重要組 件。 在理想狀況中,曝光工具只允許輻射擊中光罩之透明區 域中疋光阻材料,因此提供銳利邊緣之線條與間隔。但是 ,繞射圖樣係在透明區域之邊緣形成,而造成在此等區域 中之光阻之部份曝光。某些專利已利用此種現象,譬如在 1986年2月4曰頒予Badami等人之美國專利4 568 631中,且歸 屬於本發明之登記讓受人,其揭示利用正型光阻與影像逆 轉用之添加劑,以僅在其中光強度已被繞射作用降低之區 域中’產生薄光阻線條。但是,此程序係使用具有習用正 與負色碉溶解回應之光阻’且需要兩個各別之曝光與顯像 操作’以自調製盤影像之邊緣形成光阻影像。 由於在此項工業上已產生對於愈來愈高程度整合之需求 ,故對於在特定區域中有較大數目之線條與間隔之需求已 急驟地增加。爲回應之,主要研究課題已是曝光工具與調 製盤系統之加強,以加強電路圖樣之空中影像。例如,相 移調製盤、較短波長曝光工具、較高數値孔徑曝光工具及 具有選擇性照射系統之工具’均正在持續發展中,以改良 積體電路之圖樣密度。由於高成本、不良產率及檢查與修 -5 - 本紙铁尺度適用中围國家標準(CNS ) A4規格(210X297公釐) 7 —抑水 ------d < , ~ f (請先閱讀背a·之注意事項再填窝本頁) A7 B7 4 6322 7 ---- 五、發明説明( 補困難,故相移調製盤通常不能採用。由於曝光工具設計 與構造i複雜性,故其建立較高數値孔徑與較短波長曝光 系統是極昂貴的。 在另一個活動領域中,係努力改良光阻之對比。但是, 光阻操作之基本機制依然是相同的;意即,光阻係以正或 負色調系統之一表現。因此,—般期望設計出光阻回應之 新穎機制,以致使習用光學石印術可擴大至較小特徵尺寸 ’而無需發展新穎工具與調製盤。此外,當此等新顆工具 :周製盤最後已被發展及施行時,此等新穎光阻研究途徑 將仍然適用於石印能力之進一步擴增。 目則,對於咼性能裝置而言,於調製盤上影像大小之控 制及從一批晶圓至下一批晶圓之影像大小控制,係包含在 產物上影像大小偏差之最大助長因素。在高性能下之晶片 良率,係強烈地端賴影像圖樣橫越晶片之均勻性,及影像 圖樣在正確尺寸下之集中作用。此等限制目前存在於所有 使用調製盤之石印構圖類型上;例如光學、x_射線及近發 E-光束。橫越調製盤影像之均勻性問題,對於使用光罩 I石印技術,譬如X-射線與近發Ε-束石印術,尤其劇烈。 因此,一般期望提供一種允許對於影像大小之極精密影像 控制’而與調製盤上之影像大小控制無關之光阻材料。 發明摘述 因此,本發明係提供一種光阻材料,其同時具有對於曝 光之正色1 周與負色調兩種回應。材料之此種组合,可提供 一種新穎光阻型式,吾人稱之爲混合式光阻。 -6 Μ说尺度逋用中賴家辟(CNS )从規格(21()>;297公瘦) ^ . —裝------訂------^咸 (請先聞讀.背面之注#事項再填寫本頁) 經濟部中央橾率局貝工消費合作社印製
A7 B7 $田'邑合式光阻以光化輻射曝光時,被高強度輻射曝光之 $域,會形成負色調線條影像。未被曝光之區域仍然不溶 ^顯像劑中,因此形成正色調線條圖樣。被中間量強度曝 光之區域,譬如芏中影像之邊緣,其中繞射作用已降低此 強度,會在顯像期間於光阻薄膜中形成間隔。此光阻回應 爲此種光阻獨特溶解速率性質之表現,其中未經曝光之光 阻不會顯像,經部份曝光之光阻會在高速率下顯像,及高 度曝光之光阻不會顯像β 因此’本發明之特徵爲該混合式光阻之獨特溶解速率回 iC 允《4早二中景> 像被印刷成間隔/線條/間隔组合, 而非與習用光阻一樣成爲單一線條或間隔s此光阻之此種 "倍頻”能力,允許習用曝光系統被擴大至較高圖樣密度。 本發明一項實例之優點是,可使用經設計以在〇 35微米解 析下操作之目前常用之遠紫外線①_石印工具,印刷〇2 微米及更小之線條與間隔。 此類型混合式光阻之另一項優點是,當曝光劑量與調製 盤影像大小改變時’其間隔寬度通常不會改變。這允許對 於在各晶片内、橫過各晶圓及從—批晶圓產物至下—批之 間隔寬度’產生極精密影像控制。 本發明之又另一個優點係爲最小調製盤特徵尺寸之減輕 ’此係~因於混合式光阻之倍頻能力。例如,爲以習用光 阻印刷0.2微米特徵’通常需要〇.2微米調製盤影像大小。 在使用混合式光阻下,0.2微米間隔可以調製盤特徵之單— 邊緣形成’例如0.5微米調製盤開孔可產生兩個〇.2微米間 <纸張尺度適用中國國家標準(CNS ) A4規格(210X297公烙 ----------裝------訂-----γ旅 - * ί 二 (諳先聞讀背面之注意事項再填寫本頁} 經濟部中央標準局負工消费合作社印製 ^ 63五、發明説明(5 A7 B7 經濟部中央標隼局員工消費合作社中製 隔及一個0.2微米線條《依此方式,可達成,,降低"x•射線或 E-束石印術;調製盤影像間距可爲基材上印刷間距之大約 2x。此亦具有允許減輕光學調製盤之影像大小要求條件、 降低成本及改善調製盤良率之額外優點。 本發明之優點是可達成0.2微米及更小之線條與間隔,而 無需改變目前之工具。 另一項優點是當曝光劑量與調製盤大小改變時,間隔寬 度通常不會改變,於是允許對於控制間隔寬度之較大處理 見谷度。經由使用本發明之混合式光阻,於調製盤上影像 尺寸之誤差,不會在被印刷於基材上之間隔寬度中重現。 結果,橫越晶片之間隔寬度偏差係被降至最低。這對於光 學、X-射線及E-束曝光方法是有價値的。其尤其可使用於 需要lx凋製盤4石印技術,意即一種與被印刷在基材上之 影像通常具有一對一關係之調製盤,因爲在調製盤影像大 小上之偏差通常會被複製在基材上。 本發明之前述及其他特徵與優點 具體實施例之更特定説明而明瞭。 辨圖簡述 本專利之中請内容包含至少—個以彩色實施之附圖。此 專利與彩色附圖之副本’將在要求與支付 利商標局提供。 〗卜田寻 本發明之較佳具體實施例’將於後文搭配隨文所附之附 圖加以描述’其中類似命名係表示類似構件,且: 圖1爲使用本發明之示意圖; 將自下文本發明較佳 ----------神衣-- , - 一 (請先閱讀背面之注意事項再填寫本頁) ,ιττ 旅 - I -· 1 -8 - 本紙張用中國國 -I I I -- / 經濟部中央襟準局負工消费合作社印製 Α7 ________Β7五、發明説明(6 ) 圖2爲標準負型光阻配方在不同曝光能量下,對焦距(微 米)作圖之線寬(毫微米)之圖表; 圖3爲本發明混合式光阻在不同曝光能量下對焦距(微米) 作圖之混合圖樣之負色調線條(毫微米)之線寬圖表; 圖4爲一圖表,説明對於被摻入本發明混合式光阻中之 正色調溶解抑制劑(MOP)之量作圖之線寬(毫微米); 圖5爲比較模式,説明在使用標準光阻配方與本發明混 合式光阻配方下’對於特定線寬之焦距範圍爲何; 圖6爲一圖表,説明格面積與裝置世代間之關係; 圖7爲彩色示意圖,説明六平方格之試樣佈置,其中可 使用本發明之混合式光阻以形成數元線; 圖8爲彩色示意圖,説明四平方格之試樣佈置,其中可 使用本發明之混合式光阻以形成該裝置; 圖9爲一圖表’説明使用一種本發明之混合式光阻配方 之溶解速率(毫微米/秒)作爲曝光劑量(毫焦耳乃)之函 數; 圖10爲使用本發明之一種混合式光阻配方所形成之線條 與間隔之掃描式電子顯微照片; 圖11爲一圖表’説明使用本發明之—種混合式光阻配方 所形成之線條與間隔寬度作爲路間隔寬度之函數; 圖12爲一圖表,説明一種混合式光阻替代配方之溶解速 率(¾微米/秒)作爲曝光劑量(毫焦耳)之函數; 圖13爲一圖表’説明使用本發明之一種混合式光阻配方 之間隔寬度偏差(微米)作爲MOP負載之函數; -9- {請先閲讀背面之注意事項苒填寫本頁) 裝. 訂 本紙張尺度適用中國國家標準(CNS ) A4規格(210X297公整) A7 B7 4 S3 22 五、發明説明( 圖Η爲本發明混合式光阻配方之回應圖表,其中經曝光 (負型)(線條、未經曝光(正型)之線條及間隔寬度係以曝 光劑量之函數作囷;及 圖15爲使时發明實例2巾料之混Μ光阻配方,在 0.5數値孔徑(ΝΑ)遠紫外線①^曝光工具上印刷之〇18微米 光阻線條與間隔之掃描式電子顯微照片。 隹具體實施例之銶^ 因此’本發明係提供—種光阻材料,其對於曝光同時具 有正色調與負色調回’應。正色調回應主要是在較低曝光劑 量下’而負色調回應i要是在較高曝光劑量下。此光阻之 曝光會產生間隔/線條/間隔組合,然而任一種習 只會產生單一特徵。正如下列圖表中所 & 1 "丨,贫,也膜也制 量增、加時,正型光阻係遭遇溶解度上之増加:田, 诗 先 聞 背 面 之 注 意 % 項一 -S- 填 寫 本 買 裝
曝光劑量 經濟部中央標率局負η消費合作社印製 這在印刷時會造成線條圖樣看起來像以下情況
寸 尺 本紙張足度適用中园国家標华(CMS ) A4規格 -10 溶解速率 3 22 Α7 _ _ Β7 五、發明説明(8) 另一方面,在負型光阻系統中,當曝光劑量增加時,經曝 光區域會遭遇溶解度上之降低,如以下圖表所示: 曝光劑量 當以調製盤線條圖樣印刷時,造成線條間隔圖樣看起來像 以下情況: --------^--裝-- " m I (诗先閣讀背面之注意事項再填寫本頁) 1丁 影像高度
經濟部中夬標準局員工消費合作祍印製 尺寸 對本發明之處合式光阻而τ,在其中繞射作用已降低曝 強度之區域中,譬如接近調製盤影像邊緣之區域中,-正 調回應會造成溶解度上之增加。當曝光劑量増加時:: 調回應主要是在較高度曝光區域中造成溶解度上之降 所形成光阻溶解度作爲曝光劑量之函數之 氐 圖: 罔衣係示於 本氏張尺度適用中陶家標準(C叫六4胁(公慶 經濟部中央標準局負工消费合作社印11 V )〆 A7 ____________B7 五、發明説明(9 ).
曝光劑量 印刷凋製盤線條圖樣於基材上,會造成下述間隔/線條/ 間隔圖樣:
尺寸· 依此方式,空中影像係被"倍頻",以產生比起以其他方 式’可以標準光阻獲得之特徵數目之兩倍。圖i係説明正 型光阻、負型光阻與混合式光阻間之此等顯著差異。 倍頻混合式光阻,典型上係使用現有正與負色調光阻之 成份進行調配。這包、括例如聚(羥基苯乙烯)樹脂,其係以 酸敏感性溶解度溶解抑制用官能基部份改質,交聯劑,光 酸發生劑,及選用之驗性添加劑與光敏化劑。 此光阻配方可經改變以獲得快速正色調反應,及缓慢負 色調反應,以獲得最適宜結果β此外,正色調成份可經選 擇,以致使其對於曝光後烘烤溫度相對較不敏感’同時負 -12- ___________一 ^ 本紙張尺度適用中國國家標準(CNS } Λ4規格(210X 297公釐) 裝 訂 --^ (請先閱讀背面之注意事項再填寫本頁) ‘ 4 63 227 A 7 B7 五、發明説明(1〇 ) 色調部份係經選擇,以對曝异接ω ^ 由古也 喂光後烘烤溫度具更问度敏咸性 。依此方式’正與負回應之相對敏感度’可隨著烘烤溫度 而改變’以提供所要之成像結果。 又 此外’錄配方可經改變以提供不同尺寸之間隔寬度。 例如,當在聚(羥基苯乙烯)樹脂上之溶解抑制劑之量增加 時,所印刷之間隔寬度變得較小(圖13)。此研究途徑亦可 用以改變負色調線條之等焦點印刷偏差;在較高正色調溶 解抑制劑派度下,負色碉線條之等焦點印刷偏差會增加( 圖4)。這在一些應用上是所期望的,以降低經印刷負色調 線條之尺寸’以使光阻之倍頻特性達最佳化。 混合式光阻之正與負色調功能之相對回應,亦可藉由修 改曝光條件而改變。例如,混合式光阻之負色調線條確實 會隨著曝光劑量及調製盤尺寸而改變,類似習用光阻之行 爲。因此,當曝光劑量增加時,則例如負色調線條會增加 I度’而間隔仍然保持相同尺寸,但此間隔係移位至基材 上之新位置’因其係位於鄰近負線條之位置。同樣地,當 曝光劑量或調製盤尺寸改變時,正色調線條會改變尺寸。 經濟部中央樣準局員工消费合作社印製 以下述作爲另一項實例,可使用兩個調製盤以在光阻上 印刷兩個各別圖樣。一個調製盤可使用高劑量曝光,造成 ί昆合功能表現在光阻中。另一個調製盤可在較低劑量下於 相同光阻薄膜中曝光,僅造成正色調功能表現在部份光阻 上。若例如調製盤在需要較低曝光劑量之區域中含有光化 輻射之部份濾波器,則此種作用亦可使用單一曝光程序達 成。這允許較寬廣間隔與較狹窄特徵同時印刷,其在—些 13- 本紙張尺度適用中國國家標準(CNS ) Α4規格(210Χ297公釐) 463227 A7 B7 經濟部中央標準局Μ工消f合作社印製 五、發明説明(11 裝置應用上是必須的。
在此兩步驟成像途徑之修正方、本A 万法中’可使用混合式光阻 以產生標準負色調圖樣。若此弁陌货械 先阻溥膜係以影像複製方式 使用標準負色ί周調製盤曝光,緩供拔w ^ 工供烤以形成混合影像,然 後使包覆層以光化輻射曝光及顯像,而未進行第二個曝光 後烘烤程序’則其結果是標準負色調影像。此途徑在一些 應用中可能是所想要的,譬如㈣導體電路之形成,其需 要印刷極小線條,但不需要高密度影㈣⑱。以下述作爲 此万法t -種替代万式,此光阻可在以影像複製方式曝光 後及在烘烤步驟前,經被覆曝光於低劑量之光化能量下。 此方法之希求係依溶解抑制保護基是否存在於樹脂上,及 正色調回應是否爲溫度依存性而定。 在此種應用中使用混合式光阻之優點,係爲混合式光阻 之負色凋線條,可在其等焦點處顯示大印刷偏差,如圖3 中所示。換言之’在對於混合式負色調線條之最大處理寬 容點下’光阻影像大小可實質上小於調製盤影像大小。這 是一般想要的,因爲在較大調製盤尺寸下,空中影像較不 會被繞射作用所減退,因此允許比使用習用正與負色調系 統所可能達成者獲得較大焦距深度,如圖2中所示。此印 刷偏差係由於鉻線條印刷之邊緣作爲間隔之事實所造成。 此間隔事實上係用以"修整"空中影像之邊緣,造成負線條 被印刷得比習用負型光阻更小。此即爲混合式光阻之倍頻 特性之表現。 可設計光阻配方以使負色調線條之印刷偏差達最佳化。 -14- 本紙張尺度適用中國國家標準(CNS ) A4規^ ---------It衣------*------ (請先聞讀背面之注意事項再填寫本頁) A7 B7 人可獲得特定印刷偏差,如圖4中所示。理論上, 分顯 463 227 '—----- 五、發明説明(12 例如,藉由對正色調溶解抑制劑選擇適當負載因數,則五 而易見的是,於光阻回應上之類似偏差,亦可藉由在其他 成份之濃度與反應性上造成適當改變而產生。 例如,吾人已發現使用在DUV 0.5 NA石印工具上之曝光, 則對於混合式光阻之等焦點印刷偏差可大於對標準負色調 光阻之等焦點印刷偏差〇. Π微米,如在圖2與3中舉例之情 況’此時係對數據施行此項技藝中已知之標準計算a此^頁 差異可以兩種方式利用。在一種途徑中,相同調製盤影像 大小可與混合式光阻一起使用,以印刷比標準光阻較小之 線條’同時保持焦距與曝光程序寬容度。在另一種使用方 式中’凋製盤特徵之大小可隨著混合式光阻相對於標準光 阻而增加,同時印刷與標準光阻相同之影像大小。較大調 製盤影像之使用,係提供較大焦距深度,此係由於降低之 繞射作用所致’如在圖5之圖表中所示者。在前述應用中 ’較向性能係以較小尺寸之混合式光阻達成。在後述應用 中’由於混合式光阻之較大處理寬容度,故達成較高良率 〇 此等光阻配方可以改變,以獲得高光速度正色調反應及 低光速度負色調反應,以獲得最適宜結果β此外,正色調 光阻可經選擇,以致使其對於曝光後烘烤ρΕΒ)條件不敏感 ’因此正色調對負色調功能之敏感性比例可經改變,因而 改變間隔/線條/間隔組合之比例。 另一種改變間隔/線條/間隔比例之選擇,係在曝光工 -15 桃張尺妓财·家 丨裝 訂丨 ^旅 r碕先閉讀背面之ii意事再填寫本s-j 經濟部中央標準局負工消费合作杜印製 經濟部中央標準局貝工消f合作社印裝 /1 63 227 A7 ___________87_ 五、發明説明(13 ) 具I調製盤中使用灰度濾波器。灰度濾波器只允許一部份 輻射通過調製盤,藉以產生中間曝光之區域。這會防止負 色調光阻功能在此等區域中操作,因爲其曝光劑量絕不會 達到此臨界點’但仍然允許正型功能發生,藉以產生較寬 廣間隔。這允許較寬廣間隔與較狹窄特徵同時被印刷,其 在一些裝置應用中是必須的。 在進一步加工處理精製中,可將典型上獲得之甜甜圈形 狀之特徵’以第二個遮蔽步驟修剪,除非其不需要。雖然 圓形或長圓形甜甜圏形壕溝,在動態隨機存取記憶器 (DRAM)之深壕溝電容器中及在十億數元(GB) DRAM之第一 階佈線中,是所想要的,但線條係爲數元線與字元線之四 平方(4SQ)與平方(6SQ)佈線所必須的。如圖6中所示,在 母一世代之格面積上之趨勢,係顯示典型八平方(8Sq)線條 並未符合對於1GB與較高密度裝置之面積要求條件。因此 ’已建議改變裝置佈置’譬如交錯數元線。但是,使用可 信賴之次石印特徵’如在本發明中所揭示者,則晶片之經 折疊數元線構造仍然是可能的《此外,在裝置佈置上應進 一步進展,降低特徵尺寸之能力可加強裝置之整體性能與 大小。 如圖7中所示,係提供6SQ堆疊電容器折疊數元線構造, 其中數元線之間距爲1.5F ’如所需要者,以造成遴當連接 。藉由降低數元線之間距甚至進一步至1.0F,及降低淺溝 隔離(STI)階層在垂直方向上之寬度,則4SQ堆疊電容器折 疊數元線構造可使用目前技術達成,如圖8中所示。對4Sq -16- 本紙張尺度適用中國國家標準(CNS ) A4規格(210X 297公釐) ^------iT------^ .+ ί -(请先閱讀背面之注意事項再填寫本頁) 4 63227 A7 B7 經濟部中央標準局貝工消費合作社邱製 五、發明説明(14 而言,在y-方向上之堆疊雷宜哭 ' 译®电奋#,亦必須使用本發明之 合式光阻界定。 下述實例爲倍頻光阻組合物泛m ^ ^ ^ . 初 < 舉例,但並非意謂限制, 且許多·變異係—般:熟諳此藝者所明瞭。 適用於本發明之光阻樹脂’包括適合作爲光阻配方中之 聚合體樹脂使用之任㈣可溶性 ' 長鏈聚合體。特殊實例 包括:(i)具有-0H基之芳族聚合體,例如聚經基苯乙缔, 譬如聚(4- !基苯乙烯)' 聚(3_羥基笨乙烯),可市購得自 Hoechst Cdanese (Corpus Christi,TX),酚醛清漆樹脂,可市購得 自Shipley (Marlboro, Mass.),及具有酚性_〇H基之聚合體,例如 酚曱醛樹脂;(11)具有酸基之聚合體,例如具有酯側鏈之聚 甲基丙晞酸;及(iii)丙烯醯胺基型聚合體。 呈其去除保護形式之聚合體樹脂,意即一旦已發生正色 賙反應’係爲鹼可溶性並可與顯像劑溶液相容,該顯像劑 溶液譬如不含金屬之氫氧化銨、氫氧化四甲基銨與氫氧化 四乙基銨、含金屬之氫氧化鉀及偏矽酸鈉之水溶液。較佳 聚合體樹脂具有平均分子量在約1,000道爾呑至約250,000道 爾呑之範圍内,且最佳係在約1,000至25,000道爾呑之範圍 内,以加強其在顯像劑溶液中之溶解度。實例包括對-羥 基苯乙烯-順丁烯二酸酐共聚物、聚羥基苯乙烯-對-第三 丁基-卡更基苯乙烯共聚物、聚(2-羥基苯乙烯)、酚-甲醛 樹脂、聚甲基丙烯酸曱酯-甲基丙烯酸第三丁酯-聚甲基丙 烯酸三聚物、聚~4-羥基苯乙烯-甲基丙烯酸第三丁酿共聚 物,具有一或多個酸不安定性烷基或芳基取代基在其芳族 -17 本紙乐尺度通用中國國家標準(CN’S ) A4規格(210 X 29?公着) (讀先閔讀背面之注項再填寫本頁) 裝_ 線 W3227 A7 B7 五 、發明説明( 15 苯乙歸P具有—或多個Μ或芳基取代 、肤壤上t聚(3·搜基苯乙烯)’或任何此等聚合俨 在:聚物中作爲主要數目之亞單位,譬如聰_c,其;: 購得自美國M_en公司(Νεν/Υ〇ΓίςΝγ)。PHM_C包含聚、(羥基 苯乙烯)亞單位與乙烯基環己醇亞單位,較佳係在約卯:又 至約50 : 50 i範圍内。最佳比例爲約9〇個聚(羥基苯乙烯) 單位對約10個乙烯基環己醇亞單位。 义聯组合物較佳爲四甲氧基甲基甘脲與雙 (¾甲基)-對-甲酚。但是,其他可能之交聯组合物包括: (請先閱讀背面之注意事項再填寫本頁) -裝. njCm,6CU,1,
tl ~ tH. 經濟部中央標準局貝工消费合作社印製
Cu#ocut
cn,〇cU
18- 本紙張尺度通用中國國家標準(CNS > Α4Ϊ見格UlOxM7公釐) 463227
A7 B7 五、發明説明(16). 其類似物及衍生物,其可參閱日本特許公開專利申請案( 公開專利案)编號1-2^339,以及經醚化之胺基樹脂,例如 經甲基化或經丁基化之三聚氰胺樹脂(個別爲N-甲氧基甲 基-或N- 丁氧基甲基-三聚氰胺)或經甲基化/ 丁基化之甘 服,例如下式: 。 其可參閱加拿大專利編號1 204 547。 光酸發生劑("PAG")包括但不限於:N-(三氟曱基磺醯氧基) -雙環幷[2.2.1]庚-5-烯-2,3-二羧醯亞胺("MDT"),鑌鹽,芳族 重氮鹽,疏鹽,二芳基鋏鹽及N-羥基醯胺或-醯亞胺之續 酸酯,如在美國專利4,731,605中所揭示者,併於本文供參 考。亦可使用會產生較弱酸之PAG,譬如N-羥基-莕二甲醯 亞胺之十二烷磺酸酯("DDSN") « 可行之鹼性添加劑包括但不限於:二甲胺基吡啶、7·二 乙胺基-4-曱基香豆素("香豆素1") '三級胺類、質子海綿、 小檗驗,及聚合體胺類,如在得自BASF之"Pluronic"或 "Tetronic"系列產物中者。此外,當PAG爲鑕鹽時,可使用氫 氧化四烷基銨或氫氧化鯨蠟基三甲基銨。 可使用之敏化劑實例包括··銪類、蒎類、螢蒽類 '蔥酮 類、二苯曱酮類、嘍哼酮類及蒽類,譬如9-蒽甲醇(9__ 。其他蒽衍生物敏化劑係揭示於美國專利4,371,605中,其 _ -19- 本紙張尺度適用中國國家標準(CNTS ) Λ4規格(210X297公釐) ί靖先閱讀背面之注意事項再填寫本頁) 、τ 經濟部中央標準局員工消費合作社印製 463227 A7 B7 經濟部中央標隼局負Η消費合作社印製 五、發明説明(17 係併於本文供參考。敏化劑可包含氧或硫。較佳敏化劑係 爲不。氮因爲氮之存在,例如胺或吩嘧畊基,會有多價 整合曝光程序期間所產生之自由態酸之傾向,且此配方將 失去光敏性。 鑄膜溶劑係用以對整體组合物提供適當稠度,因此可將 其塗敷至基材表面上,使薄層不會太濃稠或太稀薄。籍膜 /谷劑之實例包括:丙酸乙氧基乙酯("ΕΕΡ"),ΕΕΡ與r _ 丁内 月s ( GBL’)之组合,及丙二醇單乙基醚醋酸酯醋酸酯)。 於下述實例中,每一種此等物質均經選擇,但是,應明 瞭的是,許多其他组合物可針對光阻之不同部份加以選擇 ,本發明之基礎在於混合式光阻包含負色調成份與正色調 成份之事實,其中正色調成份係在第一個光化能階下發生 作用,而負色調成份係在第二個光化能階下發生作用,第 一個與第二個光化能階係被中間範圍之光化能階分隔。 實例1 使下列組合物溶解於丙二醇單曱醚醋酸酯醋酸酯)溶 劑中’該溶劑可得自Pacific Pac公司(Hollister, CA),其中含有 350ppmFC-430,爲一種非離子性氟化烷基酯界面活性劑, 可得自3M (St. Paul, MN),以獲得總計20%固體: 聚(羥基苯乙烯)(PHS),經10%氫化,可得自美國Maruzen 公司(New Υ〇Γΐς NY),其中約25%酚基係以曱氧基丙烯 (MOP)保護,81.2%固體; (三氟曱基磺醯氧基)-雙環并[2.2.1]-庚-5-烯-2,3-二羧醯 亞胺(MDT),可得自 Daychem 實驗室(Centerville,OH), -20- 本紙乐尺度適用中國國家標準(CNS > A4規格(21 OX 297公釐) 裝------訂-----旅 (請先^讀背面之注意事項再填寫本頁) ύτ 63 227 經濟部中央標率局只工消費合作杜印製 Α7 Β7 五、發明説明(18) 10.5%固體; 四曱氧基甲基甘脲(Powdertink),可得自Cytec (Danbury, Ct), 8.2%固體;及 7-二乙胺基-4-甲基香豆素染料(香豆素丨),可得自以此也 化學公司,0.1%固體。 將此溶液經過0.2微米遽器過滅a將溶液塗覆於已使用六甲 基-二砂氮燒底塗之砂晶圓上,並於1 l〇°C下輕微烘拷,造 成約0.8微米厚之薄膜,其係藉Nanospec反射度分光光度計 測定。然後,使已塗覆之晶圓,在具有從低劑量至高劑量 之不同劑量基體之0.37數値孔徑(NA) Canon步進器中,以具 有波長爲248毫微米之遠紫外光(DUV)激元雷射輕射曝光, 及在11CTC下進行曝光後烘烤(PEB) 90秒。經曝光薄膜之溶 解速率,係在使用0.14當量(N)氫氧化四甲基鐘·(TMAH)顯像 劑顯像一段特定量之時間後,自殘留薄膜之厚度計算而得 。溶解速率對曝光劑量關係,係示於圖9中。如圖9中所示 ,當未經曝光時,此光阻具有極低溶解速率(約2毫微米/ 秒)°當劑量增加時,溶解速率會增加,直到抵達約50毫 微米/秒爲止。溶解速率在劑量範園約1毫焦耳至約3毫焦 耳之程度下,保持相對較恒定。進一步增加劑量,則負型 交聯化學性質變成主要的,且溶解速率落回接近零之數値 〇 此光阻之典型石印回應係示於圖10中’其顯示使光阻經 過具有1微米寬棲息鉻線條在間距2微米下之光罩,使用具 有0.37 NA之248 DUV步進器曝光之結果。於光罩中之每一鉻 -21 - 本紙張尺度適用中舀國家標準(CNS ) A4规格(210x 297公瘦) I^衣 ,1T----.¾ (請先閲讀背面之注意事項再填寫本頁) 經濟部ΐ央標準局負工消費合作社印製 d 63 227 A7 --------- B?__ 五、發明説明(19 ) 線條與間隔組合,在光阻上印刷成兩個線條與兩個間隔: 負裂線條約as微米、正色調線條約0 6微米及兩個相等間 隔約0.3微米。 在另一個實驗中’使用相同光阻,當使用MICRASCAN Π 0.5NADUV步進器以使隔離鉻間隔曝光至混合式光阻薄膜上 時’將間隔/線條/間隔度量値作爲鉻間隔寬度之函數作 圖’如圖11中所示。此等數據指出,雖然線條之寬度相應 地隨著光罩上之路間隔寬度而增加,但在線條任一側上之 間隔仍然相對較恒定。 實例2 此實例係説明改變光酸發生劑類型與各種成份相對量之 方式,可改變混合式光阻之溶解速率特性,及接著是其石 印回應。此第二個配方係以類似實例丨之方式製備與處理 ,但是,其包含下列成份: PHS,其,中約25%酿基團係以mop保護,9〇.8%固體; 三氟甲烷磺酸三苯基锍,1.3%固體;
Powderlink,7.8% 固體; 氫氧化四丁基按驗,〇·1%固體;及 含有350 ppm FC-430界面活性劑之足量ΡΜ醋酸酯,作爲溶 劑’以形成18.98固體溶液。 所形成混合光阻之溶解速率特性,係示於圖12中。曲線 之整m性寅仍然類似實例1之;昆合式光阻所示者,因爲其 溶解速率對未經曝光之光阻而言,在開始時很低,在約5 毫焦耳下増加至高値,及在高於7毫焦耳下降低至低値。 _ _ -22- 本紙張尺度適用中國國家^ ( CNS ) Λ4規格(210X297公楚) ---—--- —裝------訂-----j涑 - { 一 (請先閱讀背面之注意事項再填寫本頁) 63227
五、發明説明(2〇) 翅濟部中夬標準局員工消费合作社印製 <是絕對#|量H園及在此等範自A之溶解速率,係與圖 9中所示者十分不同。 圖14表示此混合式光阻配方,當經過具有棲息鉻線條及 相等寬度間隔之光罩,在mcrascaniiduv〇5na步進器工 具中曝光時之回應。負型線條、未經曝光(正型)線條及間 隔寬度’係作爲光罩尺寸之函數作圖。間隔仍㈣持相對 4父杈足,在約0.18微米之範園内,然而當光罩尺寸改變時 ,兩線條均改變。代表此配方與程序之光阻影像係示於圖 15中。 實例3 此實例係説明倍頻影像之間隔寬度,可藉由改變pHS被 MOP之保護程度而改變。使用兩個各別具有24〇/。與15 〇/。 MOP負載量之不同PiiS批料,以製造相同於實例1之混合配 方,惟總固含量係經調整爲總計π.〇%,以獲得约〇 5微米 之薄膜厚度。自此兩種儲備配方,製備數種具有平均Μ〇ρ 含量範圍從15至24%之其他配方》塗覆晶圓並在1 ι〇χ下輕 微烘烤’於MICRASCANΠDUV0.5NA步進器上曝光,在llo。c 下進行曝光後烘烤60秒,及最後以0.14NTMAH顯像劑顯像 。將具有隔離鉻開孔之調製盤印刷在混合式光阻薄膜上D 度量光阻影像之間隔寬度,並以用於製造個別配方之PHS 中之平均MOP溶解抑制劑負載量爲函數作圖。已發現間隔 宽度係強烈地依存於MOP濃度,如圖13中所示。 實例4 負色調成像作用可以本發明之混合光阻,於PEB後及在 請 先 閏 讀― 背 之 » 項_ 再 填 寫裝 f 訂 旅 -23- 本紙伕尺度適用中國國家標华(CNS ) Λ4规格(210X:!97公t ) ^ 63227 A7 B7 -— 五、發明説明(21 ) 顯像之前,使用被覆DUV曝光進行。 將一種如實例2中所述之混合式光阻配方,使用具有電 試驗圖樣之鉻調製盤,於0.5NADUV曝光系統上,以影像複 製方式曝光。使用具有2000埃多晶矽薄膜之矽晶圓(2〇〇毫 米)作爲基材,以致使所形成光阻影像之蝕刻圖樣可以電 探測技術度量。在曝光後烘烤程序之後,使晶圓循環回復 至曝光工具(MICRASCAN Π),及在每平方公分1〇毫焦耳下, 使用透明玻璃调製盤曝光。於第二次曝光後,並未進行曝 光後烘烤程序。第二次曝光之目的,係爲自晶圓移除最初 未經曝光之光阻,於顯像後僅留下負色調光阻圖樣。 以影像複製方式曝光之最初劑量爲17-24毫焦耳/平方公 分’曝光後烘烤溫度爲110T歷經90秒,及在0.UN TMAH中 之顯像時間爲100秒。將一種標準負色調光阻以類似方式 處理’其中省略被覆曝光步驟,作爲對照物。得自此實驗 之電數據’係示於圖2與3中。相對於標準負型光阻,當使 用此項技藝中已知之標準方法計算時,發現此混合式光阻 之大的等焦點印刷偏差大約爲0.11微米。 雖然本發明已特別參考較佳具體實施例加以説明與描述 ’但熟請此藝者應明瞭的是,在未偏離本發明之精神與範 圍下’在形式與細節上之前述及其他改變,均可在其中施 行。 —裝1τ^ .成 (請先閱請背面之注意事項再填寫本頁} 經濟部中央標準局貝工消t合作社印製 私紙張尺奴 24- (〇阳)八4規格(210乂297公釐)

Claims (1)

  1. 46322, 第861134〇1號專利申請案 申請專利範圍修正本卿年5月)T、申請專利範圍
    經濟部中央榡準局員工消費合作杜印裝 h 一種光阻組合物’包含一有效量之負色調成份及正色調 成份以使該正色調及負色調成份共同響應於一單一曝 光,俾使 一第一部份之光阻’其在曝露於該單一曝光之一第 一光化能階下能保持感光性; 一弟二部份之光阻,其在曝露於該單一曝光之一第 二光化能階下變成實質非感光的;及 一第二部份之光阻’其在曝露於介於該第一及第二 光化能階之中間範圍光化能階下變成可溶解於顯像液。 2. 如申請專利範園第1項之光阻組合物,其中該正色調成 份對曝光後烘烤條件較該負色調成份不敏感。 3. 如申請專利範圍第1項之光阻組合物,其中該第一光化 能階低於該第二光化能階。 4,如申請專利範圍第〖項之光阻組合物,其中該第二光化 能階低於該第一光化能階a 5. 如中請專利範圍第丨項之光阻组合物,其中該正色調成 份包含一預定濃度之溶解抑制劑之樹脂。 6. 如申請專利範園第5項之光阻組合物,其中該溶解抑制 劑之濃度係被增加以減少印刷間隔之宽度或被減少以增 加印刷間隔之寬度。 7. 如申請專利範圍第6項之光阻組合物,其中該溶解抑制 劍之濃度係被增加以擴大負色調線條之等焦點印刷偏差 或被減少以降低負色調線條之等焦點印刷偏差。 8-如申請專利範圍第1項之光阻組合物,其中該曝露於嘴 請 先 W 讀 背 1ΪΓ 之 注 項 再 填 I 訂 本紙張尺度適用中國國家標準(CMS > A4况格(2丨0X297公楚) d63227 Λ8 BS C8 D8 經濟部4-央標率局員工消費合作社印製 六、申請專利範圍 單一曝光之一第一光化能階下之第一部份光阻更維持不 溶解於顯像液。 9.如申請專利範圍第1項之光阻組合物,其中該曝露於該 單一曝光之一第二光化能階下之第二部份光阻變成不溶 解於顯像液。 10‘如申請專利範圍第1項之光阻組合物’其中該曝露於介 於該第一及第二光化能階之中間範圍光化能階下之該第 三光阻部份更維持感光性。 11,如申請專利範圍第1項之光阻組合物,其中第一程度之 曝光幾乎無曝光且第二程度之曝光則幾乎全曝光。 12, 一種製造積體電路晶片之方法,其包括以下步驟: 選擇包含負色調成份與正色調成份之光阻組合物, 其中正色調成份係在第一個光化能階下發生作用,而其 中負色調成份係在第二個光化能階下發生作用,該第— 個與第二個光化能階係被中間範圍之光化能階分隔; 沈積一層經選擇之光阻材料於一個表面上,於是形 成薄膜; 使經選擇之薄膜部份曝露至第一個光化能階,一範 圍之中間能階及第二個光化能階:及 使薄膜顯像’於是形成至少—個線條及至少一 隔。 13. 根據中請專利範圍第12項之方法,其進—步包括在使薄 膜曝光後及顯像前,烘烤薄膜之步驟。 ⑷根據中請專利範圍第13項之方法^進—步包括在 ^ 2 本紙張尺度^揉準(CNS ) A娜( --------{裝------訂------1, 線 (請先鬩讀背面之注意事項再填寫本頁) 463227 A8 B8 C8 DS 經濟部中央榡準局員工消費合作杜印製 3- 申請專利範圍 步驟後及烘烤步驟前,使薄膜被覆曝光至低劑量光化能 量下之步驟》 % 15. 根據申請專利範圍第13項之方法,其進一步包括在烘烤 步觸後及顯像步驟前,使薄膜被覆曝光之步驟。 16. 根據申請專利範圍第丨3項之方法,其進一步包括在烘烤 步驟後及顯像步驟前,以影像複製方式使薄膜再曝光之 步驟。 根據申請專利範圍第12項之方法,其中曝光劑量之改變 對於至少—個線條及至少一個間隔之尺寸沒有影嚮。 18_根據申請專利範圍第12項之方法,其進一步包括選擇具 有線條與間隔之調製盤,其中線條與間隔兩者具有長度 與寬度,用於使所選擇之薄膜部份曝光,其中在調製盤 之線條與間隔之寬度上之變異,對於至少—個線條之寬 度沒有影嚮。 19, 根據申請專利範圍第12項之方法,其進一步包括選擇具 有線條與間隔之頻率之調製盤,其中線條與間隔之頻率 係被加倍。 20. 根據申請專利範圍第丨2項之方法,其進一步包括選擇正 色調成份與負色調成份之步驟,以致使第—個光化能階 低於第二個光化能階。 根據申請專利範圍第丨2項之方法,其進一步包括選擇正 色凋成份與負色調成份之步驟,以致使第二個光化能階 低於第一個光化能階。 22.根據申請專利範圍第1 2項之方法,其進一步包括選擇對 本紙張尺度適用中國S家梯準(CNS > A4胁(210XW公瘦 --------一-裝------訂-----U...線 f請先閑讀背面之注意事項再填寫本頁j 463227 A8 B8 CS D8 經濟部中央標率局貝工消費合作社印製 -4 - 六、申請專利範圍 於曝光後烘烤條件相對於負色調成份較不敏感之正色調 成份之步驟 23. 根據申請專利範圍第12項之方法,其中光阻組合物進一 步包含一預定濃度之溶解抑制劑,其進一步包括選擇溶 解抑制劑之濃度以獲得所要間隔寬度之步驟。 24. 根據申請專利範圍第12項之方法,其進一步包括在曝光 步驟期間利用灰度濾波器,藉以產生中間曝光區域之步 驟。 25. —種積體電路晶片’其係藉由根據申請專利範圍第12項 之方法所製成。 26. 根據申請專利範圍第25項之積體電路晶片,其中該產物 係利用製造數元線層之方法,達成六平方經折疊數元線 構造β 27‘根據申請專利範圍第25項之積體電路晶片,其中該產物 係利用製造數元線層及沿著軸之淺環溝隔離層之方法, 達成四平方經折疊數元線構造β 28‘ 一種根據下列之步驟組成之方法所製造之積體電路晶 片,該方法包含下列步驟: (a) 選擇包含負色調成份與正色調成份之光阻组合物, 其中正色調成份係在第一個光化能階下發生作用, 而其中負色調成份係在第二個光化能階下發生作 用,該第一個與第二個光化能階係被中間範圍之光 化能階分隔; (b) 沈積一層經選擇之光阻材料於一個表面上,於是形 本紙張尺度適用中國國家標準(CNS ) A4規格(2I0x;>Q7A^ \ --------^ .裝------訂—.----一级 (请先M讀背面之注意事項再填寫本頁)
    s 8 SS ABCD 經濟部中央標隼局員工消費合作社印製 成薄膜; (C)使經選擇之薄膜部份曝露至第一個光化能階’一範 圍之中間能階及第二個光化能階;及 (d)使薄膜顯像,於是形成至少—個線條及至少一個間 隔。 29.如申請專利範園第28項之積體電路晶片,其中該晶片具 有六平方經折疊數元線構造,該構造係根據申請專利範 圍第2 8項之製造數位線層之方法製成。 30-如申請專利範圍第2 8項之積體電路晶片,其中該晶片具 有四平方經折疊數元線構造,該構造係根據申請專利範 圍第28項之製造數位線層及沿著軸之淺槽溝隔離層之方 法製成。 31. —種根據申請專利範圍第28項之方法所製造之積體電路 晶片,其中該方法更包含在使薄膜在曝光後及顯像前烘 烤薄膜之步驟。 " 32. —種根據申請專利範圍第3 1項之方法所製造之積體電路 晶片,其中該方法更包含在曝光步驟後及烘烤步驟前, 使薄膜被覆曝光至低劑量光化能量下之步驟。 33. —種根據申請專利範圍第3 1項之方法所製造之積體電路 晶片,其中該方法更包含在烘烤步驟後及顯像步驟前, 使薄膜被覆曝光之步驟。 34. —種根據申請專利範圍第3 1項之方法所製造之積體電路 晶片,其中該方法更包含在烘烤步驟後及顯像步驟前’ 以影像複製方式使薄膜再曝光之步驟。 __^___ 本紙張尺度適用中國國家標準(匸吣)厶4祕(210乂29?公|)_ ----___ --------it.------ΐτ------~ ^ (請先閔讀背面之注項再填寫本頁) A8 B8 C8 D8 463227 ☆、申請專利範圍 35. —種根據申請專利範圍第28項之方法所製造之積體電路 晶片’其中曝光劑量之改變對於至少一個線條及至少— 個間隔之尺寸沒有影嚮。 36. —種根據申請專利範圍第2 8項之方法所製造之積體電路 晶片,其中該方法進一步包括選擇具有線條與間隔之調 製盤’其中線條與間隔兩者具有長度與寬度,用於使所 選擇之薄膜部份曝光,其中在調製盤之線條與間隔之寬 度上之變異’對於至少一個線條之寬度沒有影嚮。 37_ —種根據申請專利範圍第2 8項之方法所製造之積體電路 晶片’其中該方法進一步包括選擇具有線條與間隔之頻 率之調製盤,其中線條與間隔之頻率係被加倍。 38. —種根據申請專利範圍第2 8項之方法所製造之積體電路 晶片’其中該方法更包含進一步包括選擇正色調成份與 負色調成份之步驟’以致使第一個光化能階低於第二個 光化能階》 39. —種根據申請專利範圍第2 8項之方法所製造之積體電路 晶片,其中該方法更包含進一步包括選擇正色調成份與 負色調成份之步驟’以致使第二個光化能階低於第一個 光化能階。 40. —種根據申請專利範圍第2 8項之方法所製造之積體電路 晶片’其中該方法更包含進一步包括選擇對於曝光後烘 烤條件相對於負色調成份較不敏感之正色調成份之步 驟。 41,一種根據申請專利範圍第2 8項之方法所製造之積體電路 _- 6 - 本紙張足度適用中國國家標準(CNS ) A4規满·( 210Χ297公| ) --------'-裝— (請先閲讀背面之注意事項再填寫本頁) 訂 經濟部中央標準局員工消費合作社印製 463227 A8 B8 C8 D8 申請專利範囷 片’其中光阻組合物進一步包含一預定.農.· 以獲得所要 制劑’其進-步包括選擇溶解抑制劑之濃度Ί合解抑 間隔寬度之步驟。 & 42. 種根據申請專利範圍第28項之方法 ΘΕΙ . 甘i社、κ 積體電路 •片”其:該万法進一步包括在曝光步帮期間利用灰度 滅波器’ I皆以產生中間曝光區域之步驟。 43, 一種製備可形成薄膜之光阻組合物之太 农’其包括以下 步驟: 提供第一個比例之正色調成份: 提供第二個比例之負色調成份;及 合併第-個與第二個比例’其量足夠使正色調及負 色調成份共同響應於一單一曝光,俾使 第部伤之光阻’其在曝露於該單—曝光之一第 一光化能階下能保持感光性; 一第二部份之光阻,其在曝露於該單—曝光之一第 一光化能階下變成實質非感光的;及 一弟二部份之光阻,其在曝露於介於該第一及第二 光化能階之中間範圍光化能階下變成可溶解於顯像液。 經濟部t央標準局員工消費合作社印製 44, 根據申請專利範圍第43項之方法,其中第—能階之光化 能量曝光,係低於第二能階。 45, 根據申請專利範圍第43項之方法,其中正色調成份係被 酸不安定基團保護之鹼可溶性樹脂。 46, 根據申請專利範圍第43項之方法,其中負色調成份為 種交聯劑,選自包括: 本紙張尺度適用中國國家標準(CNS ) A4见格(210 X 297公釐) ABCD 酸發生劑係選 t 63 227 申請專利範園 四甲氧基甲基甘脲;2,6-雙(羥甲基)_對-甲酚;甲基 化二聚氰胺樹脂;丁基化三聚氰胺樹脂;甲基化甘脲; 及丁基化甘腺。 47‘根據申請專利範圍第43項之方法,其進一步包括以下步 驟: 合併光酸發生劑與第一個及第二個比例,於是形成 一種混合物;及 添加鑄膜溶劑至混合物中,直到其稠度適合用以塗 敷成為薄膜為止,且其中可形成薄膜之光阻組合物包含 具有酸不安定阻斷基團之樹脂及交聯劑。 48.根據申請專利範園第47項之方法,其進一步包括以下 驟: 在添加鑄膜溶劑之前,將鹼性添加劑與敏化劑合併 在混合物中。 49.根據申請專利範圍第π項之方法,其中光 自包括: N-(三氟甲基-磺醯氧基)-雙環并[2 2丨]庚_5_晞_2少二羧 酿亞胺;錯鹽;芳族重氮鹽;锍鹽;二芳基碘鹽 基-莕二甲醯亞胺之十二烷磺酸酯:Ν_羥基醯亞胺之^ 酸酯;及Ν-羥基醯胺之磺酸酯。 秀 5〇_根據申請專利範圍第47項之方法,其中鑄膜溶劑係 包括: ^ ' 丙酸乙氧基乙酯;丙酸乙氧基乙酯與了_丁内脂之 合;及丙二醇單乙基醚醋酸酯。 本紙尺度適用中國国家標隼(CNS > A4说格(210 X 297公釐) ί ’裝------訂-----V級 (靖先聞讀背面之注意ί項再填寫本頁) 經濟部中央標準局負工消費合作杜印製 組 d 63227 A8 B8 C8 D8 々、申請專利範圍 51. 根據申請專利範圍第48項之方法,其中鹼性添加劑係選 自包括: 二甲胺基毗啶;7-二乙胺基-4-曱基香豆素;三級胺 類;質子海綿;小檗鹼;聚合體胺類;氫氧化四烷基 銨;及氫氧化鯨蠟基三甲基銨。 52. 根據申請專利範圍第48項之方法,其中敏化劑係選自包 括: 鐯類;蒎類;螢蔥類;E酮類;二苯甲酮類;嘧哼 酮類;及蒽類。 ^ 訂 j ^ (請先閲讀背面之注意事項再填寫本頁) 經濟部中央標準局員工消費合作社印製 -9- 本紙掁尺度適用中國國家標準(CNS ) A4規格(2丨OX29?公釐)
TW086113401A 1996-09-16 1997-09-15 Frequency doubling hybrid photoresist TW463227B (en)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US08/715,287 US6114082A (en) 1996-09-16 1996-09-16 Frequency doubling hybrid photoresist having negative and positive tone components and method of preparing the same

Publications (1)

Publication Number Publication Date
TW463227B true TW463227B (en) 2001-11-11

Family

ID=24873424

Family Applications (1)

Application Number Title Priority Date Filing Date
TW086113401A TW463227B (en) 1996-09-16 1997-09-15 Frequency doubling hybrid photoresist

Country Status (4)

Country Link
US (3) US6114082A (zh)
JP (1) JP3723670B2 (zh)
KR (2) KR100268292B1 (zh)
TW (1) TW463227B (zh)

Families Citing this family (58)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6358793B1 (en) * 1999-02-26 2002-03-19 Micron Technology, Inc. Method for localized masking for semiconductor structure development
US6387783B1 (en) * 1999-04-26 2002-05-14 International Business Machines Corporation Methods of T-gate fabrication using a hybrid resist
KR100328824B1 (ko) * 1999-07-09 2002-03-14 박종섭 커패시터 제조방법
JP2001100429A (ja) * 1999-09-29 2001-04-13 Mitsubishi Electric Corp レジストのパターニング方法
US6495435B2 (en) * 2000-02-17 2002-12-17 Advance Micro Devices, Inc. Method for improved control of lines adjacent to a select gate using a mask assist feature
US7049246B1 (en) * 2000-05-19 2006-05-23 Newport Fab, Llc Method for selective fabrication of high capacitance density areas in a low dielectric constant material
US6627361B2 (en) 2001-07-09 2003-09-30 International Business Machines Corporation Assist features for contact hole mask patterns
KR100433847B1 (ko) * 2001-12-15 2004-06-04 주식회사 하이닉스반도체 스토리지 노드 형성 방법
JP4213925B2 (ja) * 2002-08-19 2009-01-28 富士フイルム株式会社 ネガ型レジスト組成物
US6905811B2 (en) * 2003-04-22 2005-06-14 Headway Technologies, Inc. Method to form reduced dimension pattern with good edge roughness
US6780736B1 (en) 2003-06-20 2004-08-24 International Business Machines Corporation Method for image reversal of implant resist using a single photolithography exposure and structures formed thereby
CA2544209C (en) 2003-10-28 2011-10-18 Sachem, Inc. Cleaning solutions and etchants and methods for using same
CN100421217C (zh) * 2004-01-29 2008-09-24 罗姆及海斯电子材料有限公司 T栅的形成方法
US7585614B2 (en) * 2004-09-20 2009-09-08 International Business Machines Corporation Sub-lithographic imaging techniques and processes
CN101137938B (zh) * 2004-11-25 2011-07-06 Nxp股份有限公司 光刻方法
US7387916B2 (en) * 2004-12-02 2008-06-17 Texas Instruments Incorporated Sharp corner lead frame
TW200715067A (en) * 2005-09-06 2007-04-16 Koninkl Philips Electronics Nv Lithographic method
US7358140B2 (en) * 2005-11-04 2008-04-15 International Business Machines Corporation Pattern density control using edge printing processes
US7598022B2 (en) * 2006-07-21 2009-10-06 National Taiwan University Positive and negative dual function magnetic resist lithography
US20080113157A1 (en) * 2006-11-13 2008-05-15 Seagate Technology Llc Method for fabricating master stamper/imprinters for patterned recording media utilizing hybrid resist
JP5277203B2 (ja) * 2006-12-25 2013-08-28 富士フイルム株式会社 パターン形成方法、該パターン形成方法に用いられる多重現像用ポジ型レジスト組成物、該パターン形成方法に用いられるネガ現像用現像液及び該パターン形成方法に用いられるネガ現像用リンス液
DE102007007719A1 (de) * 2007-02-16 2008-08-21 Forschungszentrum Karlsruhe Gmbh Verfahren zur Strukturierung einer Schicht auf einem Substrat
US8110339B2 (en) * 2007-09-06 2012-02-07 Massachusetts Institute Of Technology Multi-tone resist compositions
US8283111B2 (en) * 2008-09-17 2012-10-09 Tokyo Electron Limited Method for creating gray-scale features for dual tone development processes
US8197996B2 (en) * 2008-09-19 2012-06-12 Tokyo Electron Limited Dual tone development processes
US8728710B2 (en) * 2009-03-31 2014-05-20 Sam Xunyun Sun Photo-imageable hardmask with dual tones for microphotolithography
US8568964B2 (en) * 2009-04-27 2013-10-29 Tokyo Electron Limited Flood exposure process for dual tone development in lithographic applications
JP5520590B2 (ja) * 2009-10-06 2014-06-11 富士フイルム株式会社 パターン形成方法、化学増幅型レジスト組成物及びレジスト膜
JP5573578B2 (ja) * 2009-10-16 2014-08-20 信越化学工業株式会社 パターン形成方法及びレジスト材料
JP5548427B2 (ja) * 2009-10-30 2014-07-16 富士フイルム株式会社 組成物、レジスト膜、パターン形成方法、及びインクジェット記録方法
JP5556451B2 (ja) * 2010-07-06 2014-07-23 信越化学工業株式会社 パターン形成方法
JP5556452B2 (ja) * 2010-07-06 2014-07-23 信越化学工業株式会社 パターン形成方法
US8232198B2 (en) 2010-08-05 2012-07-31 International Business Machines Corporation Self-aligned permanent on-chip interconnect structure formed by pitch splitting
US8580479B2 (en) 2010-11-03 2013-11-12 Empire Technology Development, Llc Lithography using photoresist with photoinitiator and photoinhibitor
US8856697B2 (en) 2011-02-23 2014-10-07 Synopsys, Inc. Routing analysis with double pattern lithography
US9599895B2 (en) 2011-04-12 2017-03-21 Empire Technology Development Llc Lithography using photoresist with photoinitiator and photoinhibitor
US9054160B2 (en) 2011-04-15 2015-06-09 International Business Machines Corporation Interconnect structure and method for fabricating on-chip interconnect structures by image reversal
US8900988B2 (en) 2011-04-15 2014-12-02 International Business Machines Corporation Method for forming self-aligned airgap interconnect structures
US8890318B2 (en) 2011-04-15 2014-11-18 International Business Machines Corporation Middle of line structures
JP5772717B2 (ja) 2011-05-30 2015-09-02 信越化学工業株式会社 パターン形成方法
US8822137B2 (en) 2011-08-03 2014-09-02 International Business Machines Corporation Self-aligned fine pitch permanent on-chip interconnect structures and method of fabrication
JP5675532B2 (ja) * 2011-08-30 2015-02-25 富士フイルム株式会社 パターン形成方法、感活性光線性又は感放射線性樹脂組成物、及び感活性光線性又は感放射線性膜
US20130062732A1 (en) 2011-09-08 2013-03-14 International Business Machines Corporation Interconnect structures with functional components and methods for fabrication
KR20130039124A (ko) * 2011-10-11 2013-04-19 삼성전자주식회사 반도체 소자의 패턴 형성방법
US8932796B2 (en) 2011-11-10 2015-01-13 International Business Machines Corporation Hybrid photoresist composition and pattern forming method using thereof
JP5723829B2 (ja) * 2011-11-10 2015-05-27 富士フイルム株式会社 感活性光線性又は感放射線性樹脂組成物、感活性光線性又は感放射線性膜、マスクブランクス及びパターン形成方法
US8846295B2 (en) * 2012-04-27 2014-09-30 International Business Machines Corporation Photoresist composition containing a protected hydroxyl group for negative development and pattern forming method using thereof
US9087753B2 (en) 2012-05-10 2015-07-21 International Business Machines Corporation Printed transistor and fabrication method
US9041116B2 (en) 2012-05-23 2015-05-26 International Business Machines Corporation Structure and method to modulate threshold voltage for high-K metal gate field effect transistors (FETs)
JP6438645B2 (ja) * 2013-09-26 2018-12-19 富士フイルム株式会社 感活性光線性又は感放射線性組成物、並びに、これを用いた、レジスト膜、パターン形成方法、レジスト塗布マスクブランクス、フォトマスクの製造方法、及び電子デバイスの製造方法
WO2015065649A1 (en) 2013-10-30 2015-05-07 California Institute Of Technology Direct photopatterning of robust and diverse materials
US9356046B2 (en) * 2013-11-22 2016-05-31 Globalfoundries Inc. Structure and method for forming CMOS with NFET and PFET having different channel materials
JP6003873B2 (ja) * 2013-11-28 2016-10-05 信越化学工業株式会社 レジスト材料並びにこれを用いたパターン形成方法
US9383646B2 (en) * 2014-02-24 2016-07-05 Irresistible Materials Ltd Two-step photoresist compositions and methods
US9678435B1 (en) * 2014-09-22 2017-06-13 Mentor Graphics, A Siemens Business Horizontal development bias in negative tone development of photoresist
KR20190141025A (ko) * 2017-05-16 2019-12-20 어플라이드 머티어리얼스, 인코포레이티드 주파수 배가 간섭 리소그래피를 이용하는 와이어 그리드 편광자 제조 방법들
JP2021530732A (ja) * 2018-07-09 2021-11-11 アプライド マテリアルズ インコーポレイテッドApplied Materials, Incorporated ライン倍増のためのフォトレジスト組成物
WO2020092963A1 (en) * 2018-11-02 2020-05-07 Brewer Science, Inc. Bottom-up conformal coating and photopatterning on pag-immobilized surfaces

Family Cites Families (16)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
NL8101200A (nl) * 1981-03-12 1982-10-01 Philips Nv Werkwijze voor het aanbrengen van een resistmateriaal op een drager en resist-materiaal.
US4568631A (en) * 1984-04-30 1986-02-04 International Business Machines Corporation Process for delineating photoresist lines at pattern edges only using image reversal composition with diazoquinone
JPH0682800B2 (ja) * 1985-04-16 1994-10-19 株式会社東芝 半導体記憶装置
US4687730A (en) * 1985-10-30 1987-08-18 Rca Corporation Lift-off technique for producing metal pattern using single photoresist processing and oblique angle metal deposition
US4707218A (en) * 1986-10-28 1987-11-17 International Business Machines Corporation Lithographic image size reduction
DE3637717A1 (de) * 1986-11-05 1988-05-11 Hoechst Ag Lichtempfindliches gemisch, dieses enthaltendes aufzeichnungsmaterial und verfahren zur herstellung von positiven oder negativen reliefkopien unter verwendung dieses materials
DE3711264A1 (de) * 1987-04-03 1988-10-13 Hoechst Ag Lichtempfindliches gemisch und hieraus hergestelltes lichtempfindliches kopiermaterial
US5210000A (en) * 1989-05-22 1993-05-11 Shipley Company Inc. Photoresist and method for forming a relief image utilizing composition with copolymer binder having a major proportion of phenolic units and a minor proportion of non-aromatic cyclic alcoholic units
US5296332A (en) * 1991-11-22 1994-03-22 International Business Machines Corporation Crosslinkable aqueous developable photoresist compositions and method for use thereof
EP0543569B1 (en) * 1991-11-22 1999-03-10 AT&T Corp. Fabrication of phase-shifting lithographic masks
JPH05297597A (ja) * 1992-04-23 1993-11-12 Fujitsu Ltd レジストパターンの形成方法
US5330879A (en) * 1992-07-16 1994-07-19 Micron Technology, Inc. Method for fabrication of close-tolerance lines and sharp emission tips on a semiconductor wafer
JP3037509B2 (ja) * 1992-08-04 2000-04-24 新日本製鐵株式会社 半導体記憶装置の製造方法
TW288112B (zh) * 1993-06-02 1996-10-11 Sumitomo Chemical Co
KR960015081A (ko) * 1993-07-15 1996-05-22 마쯔모또 에이이찌 화학증폭형 레지스트 조성물
US5648196A (en) * 1995-07-14 1997-07-15 Cornell Research Foundation, Inc. Water-soluble photoinitiators

Also Published As

Publication number Publication date
US6372412B1 (en) 2002-04-16
JP3723670B2 (ja) 2005-12-07
US6114082A (en) 2000-09-05
US6313492B1 (en) 2001-11-06
JPH10104834A (ja) 1998-04-24
KR19980024671A (ko) 1998-07-06
KR100293401B1 (ko) 2001-07-12
KR19980024047A (ko) 1998-07-06
KR100268292B1 (ko) 2000-12-01

Similar Documents

Publication Publication Date Title
TW463227B (en) Frequency doubling hybrid photoresist
JP3440122B2 (ja) 反射防止膜およびこれを使用した半導体装置の製造方法
EP1465877B1 (en) Positive-working photoimageable bottom antireflective coating
US4578344A (en) Photolithographic method using a two-layer photoresist and photobleachable film
EP0355934B1 (en) Photobleachable composites
US4985344A (en) Radiation imaging process for forming pattern without alkali-soluble polymer underlayer and water soluble radiation-sensitive diazonium salt overlayer
GB2354005A (en) Organic polymer for organic anti-reflective layer and preparation thereof
KR100444546B1 (ko) 홀패턴 포토레지스트층의 형성방법
US6632582B2 (en) Pattern formation material and pattern formation method
GB2354247A (en) Organic polymer for organic anti reflective coating layer and preparation thereof
KR100832247B1 (ko) 유기 난반사 방지막 조성물 및 이를 이용한 패턴 형성방법
JP4514583B2 (ja) 有機反射防止膜組成物及びこれを利用したフォトレジストのパターン形成方法
TW541444B (en) Photoresist compositions with pendant polar-functionalized aromatic groups and acid-labile branching
US20040029035A1 (en) Pattern-forming material and method of forming pattern
US5275921A (en) Pattern forming process
US6475706B1 (en) Pattern formation method
JPH0261640A (ja) 感光性組成物
US7220679B2 (en) Method for forming patterns in a semiconductor device
JPS59121042A (ja) ネガ型レジスト組成物
EP1134617A2 (en) Pattern formation material and method
CN117148670A (zh) 一种euv金属光刻胶及其制备方法和应用
CN117148671A (zh) 一种蝶烯化合物组成的光刻胶及其制备方法和应用
JP2618978B2 (ja) レジスト材料およびこのレジスト材料を使用するパターン形成方法
JPH0237689B2 (zh)
JPH01118830A (ja) パターン形成材料

Legal Events

Date Code Title Description
GD4A Issue of patent certificate for granted invention patent
MM4A Annulment or lapse of patent due to non-payment of fees