TW440913B - Substrate processing apparatus having a substrate transport with a front end extension and an internal substrate buffer - Google Patents

Substrate processing apparatus having a substrate transport with a front end extension and an internal substrate buffer Download PDF

Info

Publication number
TW440913B
TW440913B TW087109006A TW87109006A TW440913B TW 440913 B TW440913 B TW 440913B TW 087109006 A TW087109006 A TW 087109006A TW 87109006 A TW87109006 A TW 87109006A TW 440913 B TW440913 B TW 440913B
Authority
TW
Taiwan
Prior art keywords
substrate
chamber
substrate processing
main
conveyor
Prior art date
Application number
TW087109006A
Other languages
English (en)
Inventor
David Beaulieu
Michael W Pippins
Original Assignee
Brooks Automation Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Brooks Automation Inc filed Critical Brooks Automation Inc
Application granted granted Critical
Publication of TW440913B publication Critical patent/TW440913B/zh

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67196Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the transfer chamber
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/22Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the process of coating
    • C23C14/56Apparatus specially adapted for continuous coating; Arrangements for maintaining the vacuum, e.g. vacuum locks
    • C23C14/564Means for minimising impurities in the coating chamber such as dust, moisture, residual gases
    • C23C14/566Means for minimising impurities in the coating chamber such as dust, moisture, residual gases using a load-lock chamber
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/22Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the process of coating
    • C23C14/56Apparatus specially adapted for continuous coating; Arrangements for maintaining the vacuum, e.g. vacuum locks
    • C23C14/568Transferring the substrates through a series of coating stations
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67109Apparatus for thermal treatment mainly by convection
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67739Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber
    • H01L21/67745Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber characterized by movements or sequence of movements of transfer devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67739Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber
    • H01L21/67748Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber horizontal transfer of a single workpiece
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67739Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber
    • H01L21/67751Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber vertical transfer of a single workpiece
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S414/00Material or article handling
    • Y10S414/135Associated with semiconductor wafer handling
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S414/00Material or article handling
    • Y10S414/135Associated with semiconductor wafer handling
    • Y10S414/136Associated with semiconductor wafer handling including wafer orienting means
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S414/00Material or article handling
    • Y10S414/135Associated with semiconductor wafer handling
    • Y10S414/137Associated with semiconductor wafer handling including means for charging or discharging wafer cassette
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S414/00Material or article handling
    • Y10S414/135Associated with semiconductor wafer handling
    • Y10S414/139Associated with semiconductor wafer handling including wafer charging or discharging means for vacuum chamber

Landscapes

  • Engineering & Computer Science (AREA)
  • Chemical & Material Sciences (AREA)
  • Manufacturing & Machinery (AREA)
  • General Physics & Mathematics (AREA)
  • Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • General Chemical & Material Sciences (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)
  • Physical Vapour Deposition (AREA)
  • Chemical Vapour Deposition (AREA)

Description

經濟部中央標箏局另工消費合竹社印聚 A7 B7 五、發明说明(ί ) 發明背景 發明領域 本發明關於基質處理而且更特別地,關於帶有基質輸 .送器之棊質移動。 習知技術 美國專利第5,0i3,385號及第5,512,320號揭示附著於 基質輸送器之基質處理模組,該輸送器具有主要真空輸送 室。習知技藝中所熟知是將基質冷卻模組附著至主要輸送 室外該基質輸送器之一側。習知技藝中所熟知是附著一基 質定位器至主要輸送室外之基質輸送器。習知技藝中所熟 知係提供一基質緩衝機在主要輸送室外空氣載入/卸載部分 。習知技藝中所熟知係在該模組淸潔操作中使用基質處理 模組內基質以覆蓋在該模組之基質夾頭。 發明摘要 本發明之實施例中,所提供之基質處理裝置包括主要 部分及基質處理模組。該主要部分具有框架及連接至該框 架之基質輸送機構。該框架形成一大致上密合主要環境室 。該基質輸送機構具有放置在該主要室之可移動臂總成及 連接至該可移動臂總成之基質固持器。該基質輸送機橇被 設計將基質插入該基質處理模組而且從該基質處狸模組移 除該基質。該主要部分進一歩包括設置在主要室之基質緩 衝機,該主要室設計用於固定數個基質,其中數個基質存 __________3- 本紙裱尺度速用中國®家標年((.NS ) Λ4規格(210X297公釐) (請先聞讀背面之注意事項再填寫本頁)
,1T 44〇9ί 3 經漪部中央標皁局貝T;消費合作杜印製 A7 B7 五、發明説明(2) 放在該緩衝機之主要室內。 本發明之方法中,提供移動在基質處理裝置之基質的 方法°該基質包括樣品或淸潔操作基質3該基質處理裝置 具有連接該基質處理模組之充分地密合主要輸送室及用來 移動介於該輸送室與基質處理模組間之基質的機器人。該 方法包括將該淸潔操作基質插入第一基質處理模組之步驟 ;在第一基質處理模組中實行淸潔操作,當該淸潔操作基 質在第一模組內;將淸潔操作基質從該第一基質處理模組 移除;而且存放該淸潔操作基質在設置於輸送室之緩衝站 3存放步驟存放淸潔操作基質具有至少其他基質在該緩衝 站。在另一方法’一樣品基質在淸潔後可移出該主要輸送 室而非存放在該緩衝站。此外,該方法可包括存放該樣品 基質往該緩衝站,在該樣品基質被插入該第一基質處埋模 組前。 本發明另一實施例中,提供用於基質處理裝置之基賛 輸送器。該基質輸送器包括一框架,一輸送機構,及〜基 質緩衝站5联框架界定一主要輸送室及設置介於該主要_ 送室與在框架上負載隔艙安裝區域間之兩個存取路徑。診 輸送機構連接該框架而且具有可移動地設置在該主要輪壤 室之基質固持器。該基質緩衝站連接該主要輸送室內之俺 架。該基質緩衝站介於兩個存取路徑間直接地被設置。_ 質可直接地存放在緩衝站介於兩個存取路徑間而不干渉_ 質通過該存取路徑之運動。 本發明之另一方法,提供一淸潔在基質處理裝置之爹 本纸張尺度遶州中囡阀家榡卑((,NS ) Λ4規格(210X 297公釐) ^^1 I! .^—^1 —it n-i nm^ 1-11 I. . 1 -I - ί lOJ (請先閲讀背面之注意事項再填寫本頁) 4 4 υ 9 ; Β7 五、發明説明(3 ) 質處理模組的方法。該基質處理裝置具有連接該基質處理 模組之主要輸送室及用來移動介於該主要輸送室與基質處 理模組間之基質的機器人。該方法包括實施第一淸潔操作 在基質處理模組之一的步驟,當基質在該模組內時;將基 質從該已淸潔基質處理模組移除;存放該基質在該主要輸 送室內,當該已淸潔基質處理模組擁有其他基質;而且隨 後將該基質插入用於實施第二淸潔操作之基質處理模組之 一。用於第一淸潔操作之基質不移出介於帶有基質之多重 基質處理模組淸潔操作間之主要輸送室。 本發明另一實施例中,所提供用於基質處理裝置之基 質輸送器包括一殼體、一基質輸送機構及選擇性連接至少 一基質固持器直接地至殼體之裝置。該殼體界定一實質上 密合主要輸送室帶有通道進入位於該殼體側邊之主要輸送 室。該基質輸送機構連接至殼體而且具有可移動地放置在 主要輸送室之基質固持器。該固持器可移動的藉由該基質 輸送機構通過在該殼體之通道。用於選擇地連接之裝置可 連接該基質固持器至該殼體在殼體中兩個不同位置。該基 質固持器放置在兩個不同位置之一該主要輸送室內。 本發明另一實施例,提供用於基質處理裝置之基質輸 送器包括一殼體及連接該殼體之基質輸送機構。該殼體界 定一實質上密合主要輸送室帶有通道進入位於該殼體側邊 之主要輸送室。該基質輸送機構具有可移動地放置在主要 輸送室之基質固持器》該固持器可移動的藉由該基質輸送 機構通過在該殼體之通道。該框架具有一前端延長部分, ___5__ 本紙張尺度適用中國國家橾哗((’NS ) /\4規格(210/297公釐) ^^1 .^^^1 t^^ii ΐΐ Jn^ n^i r I - I - .^d— In1J. 0¾ *-a (請先閱讀背面之注意事項再填艿本頁) A7 A7 經濟部中央標孪局吳工消费合作社印紫 Β7 五、發明説明(d ) 其延伸當作大致80度向外膨脹楔形。由殼體上之負載隔艙 安裝區域進入該主要輸送室之至少一基質存取路徑延伸通 過該前端延長部分。 圖式簡單說明 本發明先前方面及其他特色被解說於以下描述中,與 附屬圖不相關,其中: 圖1係本發明之基質處理裝置之俯視圖; 圖2係顯示於圖1裝置之主要部分之立體圖; 圖3係附著於兩個負載隔艙顯示於圖2該主要部分前 端之慨略圖; 圖4係顯示於圖2在主要部分內該緩衝機之部分斜切 立體圖; 圖5Α係冷卻器在上位置之槪略剖面圖; 圖5Β係冷卻器在下位置之槪略剖面圖; 圖6係移動淸潔操作基質之方法的方塊圖; 圖7係流程圖顯示可能的運動藉由該輸送機構至不同 位置;而且 圖8係在該主要輸送室內基質固持器之另一實施例的 槪略圖。 較佳實施例說明 參考圖1,顯示包括本發明特色之基質處理裝置10之 槪略俯視圖。雖然本發明將被描述參考顯示於圖式之單一 (請先閱讀背面之注意事項再填寫本頁) 裝 訂 '本紙張尺度通用十國闺家枯命((:NS ) M規格(210X297公f ) ^409 1 3 A7 B7 _ 五、發明説明($ ) 實施例,但是可瞭解的是本發明將實施於不同形式之實施 例中。此外,任何適當的尺寸、形狀及形式之元件或材料 可使用。 裝置10包括主要部分,基質處理模組Μ ’基質負 載隔艙模組16 ’及大氣部分17 =該大氣部分17包括用於 固持基質匣之裝置而且用於移動該基質進出該負載隔艙i6 之機器人(未顯示)。在另一實施例中’任何適當的基質 載入系統,手動及/或自動電腦控制’可用於載入基質至該 負載隔艙16。參考圖2 ’顯示主要部分12之立體圖。頂蓋 24爲說明目的顯示於上位置。在正常操作期間’該頂蓋24 係關閉的及密合的在該框架18之頂端。該主要部分12係 具有殼體13及基質輸送機構22之基質輸送器。該殼體13 具有框架18帶有門部分20之多重側開口。該模組M,16 連接門部分20.。該門部分20具有可移動的門機構23之通 道21以開啓及關閉該通道。該基質處理模組14及負載隔 艙模組16係熟知在習知技術中。基質S可爲半導體晶圓, 平坦的平板顯示基質,或任何其他形式基質。該基質輸送 機構22用來沿著該模組14,16移動該基質S。該基質輸送 機構2 2具有一驅動部分2 5,一移動臂部分2 7及兩個基質 固持器29。該固持器29可移動通過該通道21進出該模組 \ 16以移動該基質S進出該模組14, 1(5。相似基質輸送 機構描述在PCT專利公告WO 94/23911,其倂入參考文獻 。然而,任何適當形式基質輸送機構可被使用。殼體13包 括一可移動的頂蓋24及頂蓋移動曲柄26。該殼體13之前 ._ _ __ -t ___ ^紙浪尺度遘用中因阀家榡爷(('NS } Λ4#見格(2i0X29?公釐) I -- I -· In H ί 1 — I i 1^1 -I : ίι (請先閱讀背面之注意事項再填寫本頁) 經潆部中央標枣局貞工消资合作社印製 ί$消部中央掠準局只工消费合作社印來 4 4 Ο 9 1 3 .-) Α7 Β7 五、發明説明(έ ) 端28具有延長部分30。該名詞1‘前端”僅用於描述。該延 長部分可放置在該殼體之任一側,可與來回該負載隔艙之 路徑相間隔,及/或可包括多重延長部分。該部分30固定 —基質固持緩衝機32及兩個基質固持器。在顯示之實施例] 中,該基質固持器之一係基質定位器32而且另一基質固持 器係基質冷卻器36。在另一實施例,該基質固持器可包括 一基質脫氣/預熱器,一組合的基質加熱器/冷卻器,一多重 基質加熱器,冷卻器或加熱器/冷卻器,或其他適當形式基 質固持器。前方延長部分30具有大致向外地膨脹楔形,其 延伸朝向該框架18之前方以80度之路徑如圖1角度C所 見=然而,任意適當的角度C可提供而且該前端延長部分 之不同形狀可被提供。該80度楔形已選擇以允許該鄰近基 質處理模組14如顯示被傾斜及放置。這有助於定位所有基 質處理模組在與主要部分12之有效率位置及間隔。前端延 長部分30較佳地係與框架18之其他部分一體成形而且從 該框架之其他部分不可移除的。然而,在另一實施例中, 該前端延長部分可爲獨立元件可移動地連接該框架18之其 他部分。所期望的是該前端延長部分30具有一室,其對於 該主要室31是單一的而不需要介於雨室間之可移動門。在 另一實施例中,假如該基質固持器32, 36及/或緩衝機34 在該主要室31,則前端延長部分30不需要的。前端延長 部分30之總長度D係充分地短以允許該輸送機構22之可 移動臂部分27通過前端延長部分30進入該負載隔艙16。 然而,總長度D係充分地長以安裝定位器32,緩衝機34 _______2- 本紙張尺度適用中闽國家標f ( (’NS ) Λ4规格(210X 297公釐) I-1— II - 1- : I— --n t It n —l»1 ^n— ^J (請先閱讀背面之注意事項再填寫本頁) ,¾济‘部中央#隼局β工消費合作杜印^1 五、發明説明(q ) 及冷卻器36。殼體13形咸一主要輸送室31。該主要輸送 室31具有可移動臂部分27而且通道21設置於該室31之 外部周邊。該輸送室31被維持當作大致上密合環境。在通 道21之門可暫時地打開以插入及取出該模組14. 16之基質 =較佳地,該主要輸送室31維持在真空。然而,該主要輸 送室31輪流地充滿情性氣體。參考圖3,前端延長部分30 具有前端室31a,其係該主要輸送室31之一部份。框架18 在前端具有兩個負載隔艙安裝區域90, 91。該前端室;Ha 形成兩個基質存取路徑E,F従該負載隔艙16至主要輸送 室3〗之中間區域。緩衝機34直接地設置於介於兩存取路 徑E,F間之前端室31a =在另一實施例中,該緩衝機34可 設置在該前端室31a,但不直接地介於兩存取路徑E,F間 ,譬如假設只有一存取路徑通過該室3U,或假如雨存取 路徑被互相鄰近設置而且該緩衝機設置於鄰近該存取路徑 之一。 緩衝機34直接地設置介於定位器32及冷卻器36間。 該緩衝機固定一或多個基質,當等待該機構22以移動該基 質進入模組M,16之一。然而,該緩衝機34不需被提供。 參考圖4,顯示緩衝機34之立體圖。在此實施例中,緩衝 機34用來以間隔堆疊組態固定六個基質。然而,在另一實 1 施例中,該緩衝機可用來固定大約六個基質。在顯示之實 施例中,該緩衝機34係帶有兩個分隔的支撐座92, 93之靜 止緩衝機。懸臂式支撐臂94甶該支撐座92, 93向內相互延 伸。該支撐臂94在頂側具有中止器以支撐基質。在另一實 ____9_ 本紙張尺度谪用十ϋ國家標Φ < (.’NS ) Λ4規格(210X297公釐) -^^^1 —: - ^^^1 1J1- I— ^ »n^i si . ---- "f -τ (請先閱讀背面之注意事項再填窍本頁) 經湳部中央標準局只工消合作社印製 A7 B7 五、發明説明(友) 施例,其他組態可被提供以存放在緩衝機之基質=該緩衝 機係可移動的,譬如具有升降器。然而,在較佳實施例中 ,緩衝機係靜止的以至於緩衝機如有需要時容易存取3基 質輸送機構22用來垂直地移動該可移動臂部分27及固持 器29。因此,該基質輸送機構22可將基質插入並且移除 基質由顯示於圖4中該緩衝機34之六個不同高度基質固持 區域。該固持器29僅介於兩支撐架93, 94間延伸以拾取或 放下基質。該緩衝機34設置於該兩存取路徑E,F外而且 重要地是,不干涉定位器32及冷卻器36之適當操作。該 定位器32用來校準該基質如習知技術中所熟知。在此實施 例中,定位器32係模組化插入單元,其插入該捱架18通 過在該框架18之頂表面30之孔洞。四個螺釘40隨後用來 固定該定位器32之安裝突緣41至框架18。該定位器32 具有可移動部分或設置於前端室31a之基質支撐32’。該基 質放置在該支撐32’而且與支撐32’轉動以定位或校準該基 質。因爲該定位器之可移動支撐放置於該室31,該定位器 可對準基質而不需將基質移出該主要輸送室31。曲柄26 藉由平板42安裝至框架18 <該平板42放置在該緩衝機34 上。該平扳42可從框架i8移除以允許使用者獲得進入緩 衝機。在另一實施例中,該緩衝機及/或一或多基質固持器 可設置在主要室31,但是不一定在延伸室3U 然而,延 長部分30之使用係較佳地,因爲該部分提供小足跡對於具 有緩衝機之主要部分12及兩個基質固持器,其先前地無法 獲得。該小足跡允許該裝置i〇佔用製造工廠地板之少量空 ____U)--- 本紙悵尺度ϋ州中國®家榡卒((’NS ) Λ4规格U10X297公釐) ----------裝— (讀先閱讀背面之注意事項再填寫本頁) 經濟部中央標準β工消费合作社印" 440913 A7 B7 五、發明説明(?) 間而且因此,可節省製造者成本或允許該裝置1〇放置數目 在已知地板空間較大於習知技術之較大裝置。該延伸室 31a及/或該室31其他部分具有大於兩個基質固持器。 參考圖5Α,該冷卻器36包括頂部單元44及底部單元 46。該底部單元46包括具有中止器50及冷卻器通道52之 熱傳導平板48。該平板48由粗製的閥54連接真空源。該 平板48也藉由回塡閥56及充氣閥58連接至情性氣體源 60 =該回塡閥56及充氣閥58具有導管57介於其間,其形 成氣體計量區域。導管62, 64延伸至熱傳導平板48之頂部 表面。 頂部單元或支架機構44係模組化插入單元,其插入該 框架18通過頂部表面38之孔洞66。四個螺釘隨後用來固 定該安裝突緣68至該框架18。安裝突緣68與定位器的安 裝突緣41相同尺寸及形狀。框架18、定位器32及冷卻器 36已經製造以至於該框架18可接收不是定位器32就是冷 卻器36在兩個位置Α及Β (見圖1)。因此,主要部分12 可有兩定位器或兩冷卻器,或該定位器及冷卻器可放置在 相對側邊。這模組化結構允許製造者相當地容易規劃該裝 置1〇符合特殊要求。假如沒有冷卻器及./或定位器在主要 部分12被需要,密封平扳(未顯示)只需要連接框架18 取代冷卻器及/或定位器。另一重要優點係,因爲插入總成 ,該定位器32之頂端及冷卻器36之頂部單元44可藉由僅 移除四個安裝螺釘容易地從該主要部分12之頂側移除。這 允許快速而且容易進入定位器及冷卻器,假如晶圖破裂時 ____U- 本紙汰尺度通州中阈围家桴今(「NS ) Λ4規格(2】0'Χ2*?7公犛) (請先閱讀背面之注意事項再填筘本頁) 裝- 訂 4409]a 經滅部中央標本局另工消费合作社印繁 五、發明説明(π ) ,以便淸除該破裂的晶圓。該頂部單元44包括頂蓋7〇、 框架72及移動支撐74。該框架72係靜止地連接框架18。 該移動支撐74具有驅動部分76及下支撐78。參考圖5B ,該驅動部分76可相對該框架72向上及向下移動,譬如 水壓或氣壓,以上下移動該移動支撐74 =圖5Α顯示該支 撐74在上位置用於載入或解載基質。圖5Β顯示該支撐74 在下位置用於冷卻基質=該下支撐78具有基質支撐臂80 '封口 82及通過孔84。在顯示於圖5Α之上位置,基質S 可藉由輸送機構22載入或從該臂80移除。在顯示於圖5Β 之下位置,該輸送機構22可通過該孔84以延伸進入模組 16。當該下支撐78向下移動,該封口 82結合下單元46以 形成小密封室86。該基質S放置在該室86之中止器50。 該惰性氣體隨後被導入該室86用於晶圓S之氣體協助冷卻 。在另一實施例中,其他形式冷卻器可被提供或沒有冷卻 器需要被提供在前方延長部分;30,譬如分別的冷卻器模組 附接於該框架1S或假如不需要冷卻器。 如習知技術所熟知者,基質處理室需要週期地實行淸 潔操作。例如,CVD (化學蒸氣沉積)基質處理模組及 PVD (物理蒸氣沉積)基質處理模組,譬如這些使用噴塗 ’需要週期地被淸潔。這包括放置一淸潔操作基質,所熟 知的樣品的、測試或犧牲的基質,在模組之基質夾頭上而 且實施該淸潔操作。用於CVD模組之淸潔操作包括使用非 常高溫將CVD模組室烘烤淸潔;相似於自行淸潔爐。該淸 潔操作基質在該淸潔操作期間放置於基質處理模組以保護 本紙张尺度適用中阐國冢標肀(f’NS了Lt規格(210><^7公楚) "" ---------裝------訂 (請先閱讀背面之注意事項再填寫本頁) 經漓部中央標準局負工消资合竹社印" A7 B7 五、發明説明(〖\ ) 模組中該基質夾頭。 本發明使用緩衝機34以存放介於淸潔操作間之淸潔操 作基質。在較佳實施例中,該緩衝機34固定一淸潔操作基 質用於每個處理模組14直接地連接該主要部分12。較佳 地,每個淸潔操作基質只使用該模組14之一。因此,這是 相當容易計算的,當每個淸潔操作基質被取代依照該個別 模組14經歷淸潔操作次數。然而,在另一實施例中,淸潔 操作基質數目可少於模組14之總數而且淸潔操作基質可用 於超過一模組14。 參考圖6,移動該淸潔操作基質之方法將被描述。該 淸潔操作基質首先載入主要部分12»該起始載入可爲手動 的或藉由電腦控制之機器人所移動。該基質可直接地插入 該基質處理模組14之一,譬如在裝置10開始時,或存放 在緩衝機34直到需要時。在該基質插入該基質處理模組後 以被淸潔如方塊所指出,該淸潔操作被實施如方塊 102所指出。當該淸潔操作完成時,該基質從該模組移除 如方塊104所指出而且存放在緩衝機34如方塊106所指出 。重新地淸潔基質處理模組隨後用來處理基質如方塊 所指出。當該基質處理模組需要再度淸潔,步驟100-108 被重複如方瑰110所指出。該相同過程將重複對於需要週 期的淸潔之每個該基質處理模組。當然,許多處理模組可 與不同的樣品/淸潔基質同時地被淸潔。單一樣品/淸潔基質 也用來淸潔多基質處理模組。 緩衝機34,除存放淸潔操作基質之外,也可用來存放 -----45-^- 本紙伕尺度適川中家榡卒(('NS ) Λ4規格(2]0X297公麓) n^i I - f »— - I -- - ^^^1 1-^1 ΐ-, -5 (請先閱讀背面之注意事項再填商本頁) 經濟部中央標準局負工消费合作社印製 Μ Β7 五、發明説明(,1) 正常過程基質在該模組14、定位器32及/或冷卻器36其中 在該過程基質之位置間。該名詞“正常過程基質”係意指 一基質,其中至少一部份最終地將用於最後產品。該名詞 “淸潔操作基質”係意謂一基質而非一正常過程基質。這 類淸潔操作基質未必被限制使用在處理模組淸潔,但是可 用於附加的及/或另種功能。如圖4所見,該緩衝機34可 固定淸潔操作基質Scc>及正常過程基質SP雨者。該過程基 質SP可存放在空固持區域,當該淸潔操作基質正常地在該 固持區域使用在一淸潔操作。選擇地,該緩衝機34已預先 設定對於該正常過程基質之固持區域除用於淸潔操作基質 總數之固持區域外。因此,該緩衝機同時可固定淸潔操作 基質及正常過程基質兩者。 參考圖7,槪略圖顯示在不同站間基質移動之可能路 徑。如上所示,緩衝機34不需被提供。因此,該緩衝機以 虛線表示。該裝置1〇可選擇地串聯其他裝置1〇’。G指示 一在裝置10基質可達成所有移動而不需要離開主要部分 12。僅藉由適當地程式規劃該裝置電腦控制器,在G之實 心連接線任意處之基質任意適當路徑可被提供。例如,過 程基質在第一基質處理模組可被處理,移動至定位器,而 且移動至第二處理模組用於進一步處理。在其他案例中, 過程基質可在第一基質處理模組被處理,移動至冷卻器用 於冷卻,而且隨後移動至其他基質處理模組,或定位器及 隨後其他模組,或僅返回該負載隔艙3可能基質移動之變 化是多樣的。然而,藉本發明,該基質可移動至定位器32 ---U- 本紙张尺度漣州中國國家標(’NS ) Λ4現格(210 X 297公釐) ^^1 —ί 1 1-—— 11 ' . - - - I - .^ϋ _ TV (請先閱讀背面之注意事項再填寫本頁) d Δη q A , 4 4091 3 五、發明説明(、、) 、緩衝機34及冷卻器36而不需離開該室31。附加的或較 少站可被提供在該室31內。因此,附加的或少數可獲得路 徑及移動順序可提供在該室3i中。 經"部中央標革局負工消费合作社印*'4 H - I I 丨 1 - % - I -- - I I ,n (請先閲讀背而之注意事項再填寫本頁) 參考圖8,基質固持器之另一實施例放置在主要輸送 室31內,但是不在延長部分。該固持器120係用於基質之 雙熱交換單元。固持器120具有可移動基質支撐122 '底 部熱交換構件124 '頂部熱交換構件126及驅動器128。該 驅動器128設計以上下移動該支撐122。該支撐122之底 部具有支撐臂130用於支撐基質S,。該支撐122之頂部具 有中止器用於支撐第二基質該支撐122顯示於上位置 。在此位置,基質固持器29可直接地放置基質Si在該支 撐臂130或由支撐臂130移動基質Si。第二基質S2放置在 密合室134,其形成藉由支撐122及頂部熱交換構件126。 當該支撐Π2藉由驅動器128移動至下位置,基質Si在由 支撐122及底部熱交換構件124所形成之室B6被密封》 在下位置,第二基質S:可藉由基質固持器29在中止器132 被插入或移除a該兩熱交換構件兩者可爲冷卻平板,或加 熱平板及冷卻平板之組合。在另一實施例,該基質固持器 29可製造以補充熱交換用來同時移動兩基質。該熱交換單 元可爲組合的加熱器/冷卻器單元。然而,該實施例特色之 一係多個基質可在一單元冷卻及/或加熱,其允許談基質插 入該單元而該基質固持器29離開該主要輸送室。該單元 120直接地連接該殼體13而且該可移動基質支撐122被放 置,至少部分地,在主要輸送室內部。基質可從該單元 度珀川中國因家標莩((、NS ) Λ4说格(2丨0^297公梵) A7 B7 4409 彳 3 五、發明说明(μ) 120插入或移除,當其他基質仍在該單元120。 應瞭解先前描述只解說該發明。不同變形及改良可爲 熟習該技藝之裝置而不偏離本發明。因此’本發明意欲包 括所有這類變形、改良及變化,其在附屬申請專利範圍之 範疇內^ H -1 —^1 ^^1 ^^1 K^— nt $ I— _-l^i TJ A^,-° (請先閱讀背面之注意事項再填寫本頁) 經消部中决標準局另工消费合作社印裝 本紙張尺度適用中國困家標中.(CNS > Λ4現格(2丨OX 297公费)

Claims (1)

  1. ABCD 經濟部中央梯準局員工消費合作社印製 、申請專利範圍 I一種基質處理裝置包括: 主要部分具有框架及連接至該框架之基質輸送機構, 該框架形成大致上密合主要環境室,該基質輸送機構具有 放置在該主要室之可移動臂總成及連接至該可移動臂總成 之基質固持器;及 基質處理模組連接至該框架,基質輸送機構被設計將 基質插入該基質處理模組而且從該基質處理模組移除該基 質, 其中該主要部分進一步包括設置在主要室之基質緩衝 機’該室設計用於固定數個基質*其中數個基質存放在該 緩衝機之主要室內。 2. 如申請專利範圍第1項之裝置,其中緩衝站係靜止 地連接至該框架而且被設計以固定數個基質以堆疊組態。 3. 如申請專利範圍第2項之裝置’其中該主要部分具 有介於主要室及連接該主要部分之兩負載隔艙間之存取路 徑而且其中該緩衝站直接地故置介於兩存取路徑間° 4如申請專利範圍第2項之裝置’其中該基質輸送機 構垂直地移動該基質固持器以從緩衝站不同高度插入與移 除基質s 5. 如申請專利範圍第1項之裝置’其中該框架具有可 移動頂蓋帶有頂蓋移動機構直接地放置在該緩衝站上5 6. 如申請專利範圍第1項之裝置’其中該主要部分具 有前端其前端延長部分附著於一負載隔艙’其中該緩衝站 放置在延長部分鄰近放置在延長部分之基質固持單元° ___1___—________ Ut逋用中國國家梯率(CNS ) A4規格(2丨〇><297公釐) -- I ί. - «I I ! L -I -- I— n m __ T (請先閱讀背面之注意事項再填寫本頁) 經濟部中央標準局員工消費合作社印製 ; 鉍 C8 D8 六、申請專利範圍 7. 如申請專利範圍第6項之裝置,其中該基質固持單 元係基質定位器。 8. 如申請專利範圍第6項之裝置,其中該基質固持單 元係基質冷卻器。 9. 如申請專利範圍第6項之裝置,其中該延長部分包 括兩基質固持單元。 10. —種移動在基質處理裝置中基質之方法,該基質包 括一'淸潔操作基質,該基質處理裝置具有連接至少一基質 處理模組之充分地密合主要輸送室及用來移動介於該輸送 室與至少一基質處理模組間之基質的機器人,該方法包括 步驟: 將該淸潔操作基質插入第一基質處理模組; 在第一基質處理模組中實行淸潔操作,當該淸潔操作 基質在第一模組內; 將淸潔操作基質從該第一基質處理模組移除;而且 存放該淸潔操作基質在設置於輸送室之緩衝站,其中 存放步驟存放淸潔操作基質具有至少其他基質在該緩衝站 〇 Π.如申請專利範圍第10項之方法,其中存放該淸潔 操作基質在緩衝站之方法包括存放在緩衝站基質之總數大 於或等於直接地連接該主要輸送室之基質處理模組總數3 12.如申請專利範圍第10項之方法,其中進一步包括 存放一基質,其中在淸潔操作期間不放置在基質處理模組 ,暫時地在緩衝站在.該基質在基質處理模組已經被處理。 (請先閱讀背面之注意事項再填寫本頁) 本紙張凡度適用中國國家榡準(CNS M4規格(210X297公釐) 經濟部中央標準局員工消費合作社印製 A8 B8 C8 DS TV、申請專利乾圍 13. 如申請專利範圍第12項之方法,其中存放淸潔操 作基質及另一基質兩者,其在個別淸潔操作期間不放置在 任意基質處理模組,同時在該緩衝站。 14. 如申請專利範圍第10項之方法,進一步包括將該 淸潔操作基質只插入第一基質處理模組而且沒有其他基質 處理模組在個別基質處理模組之淸潔期間。 15. 如申請專利範園第14項之方法,其中每個基質處 理模組具有本身個別淸潔操作基質存放在該緩衝機以至於 每個淸潔操作基質只插入個別基質處理模組及沒有其他基 質處理模組。 16. 如申請專利範園第10項之方法,進一步包括插入 基質,其在基質處理模組中被處理,進入該輸送室沿著輸 入通過路徑直接地鄰近該緩衝站。 如申請專利範圍第10項之方法,進一步包括在鄰 近緩衝站之定位器中定位基質。 18. 如申請專利範圍第Π項之方法,進一步包括位於 鄰近緩衝站之冷卻器中冷卻一基質。 19. 如申請專利範圍第10項之方法,進一步包括位於 鄰近緩衝站之冷卻器中冷卻一基質。 20. —種用於基質處理裝置之基質輸送器,其該基質輸 送器包括: 該框架界定一主要輸送室及介於該主要輸送室與在捱 架上負載隔艙安裝區域間之兩個存取路徑; 該輸送機構連接該框架而且具有可移動地設置在該主 (請先閣讀背面之注意事項再填寫本頁) 丁 本紙張又度適用t國國家樣準(CNS ) A4規格(210X297公釐) 440913 經濟部中央標準局員工消費合作社印製 AS B8 C8 D8 六、申請專利範圍 要輸送室之基質固持器:及 該基質緩衝站連接該主要輸送室內之框架,該基質緩 衝站介於兩個存取路徑間直接地被設置,其中基質可直接 地存放在緩衝站介於雨個存取路徑間而不干涉基質通過該 存取路徑之移動。 21.如申請專利範圍第20項之基質輸送器,其中存取 路徑之一具有安裝至該框架用於在該存取路徑定位基質之 定位器,其中該定位器係鄰近該緩衝站被放置。 21如申請專利範圍第20項之基質輸送器,其中存取 路徑之一具有安裝至該框架用於冷卻基質之冷卻器,其中 該冷卻器係鄰近該緩衝站放置。 23. 如申請專利範圍第20項之基質輸送器,進一步包 括安裝至兩個存取路徑之框架之兩個基質固持單元用於移 動鄰近該緩衝站之基質。 24. 如申請專利範圍第23項之基質輸送器,其中雨個 基質固持單元係交換地安裝兩個存取路徑之框架,其中在 框架之兩個基質固持單元組態可選擇地規劃的。 25. 如申請專利範圍第24項之基質輸送器,其中該兩 基質固持單元包括一定位器及一冷卻器。 26. 如申請專利範圍第20項之基質輸送器,其中該捱 架具有前端延長部分沿著大約80度朝向該框架前方之路徑 延長,其中該緩衝站及兩存取路徑置於前端延長部分。 27. —種在基質處理裝置中淸潔基質處理模組之方法, 該基質處理裝置具有連接該基質處理模組之主要輸送室及 (請先閱讀背面之注意事項再填寫本頁) 裝 訂 本紙張尺度適用中國國家標隼(CNS ) A4規格(210X297公釐) A8 B8 C8 D8 經濟部中央標準局男工消费合作社印策 六、申請專利範圍 用來移動介於該主要輸送室與基質處理模組間之基質的機 器人,該方法包括: 實施第一淸潔操作在基質處理模組之一,當基質在該 模組內時; 將基質從該已淸潔基質處理模組移除; 存放該基質在該主要輸送室內,當該已淸潔基質處理 模組擁有其他基質;而且 隨後將基質插入用於實施第二清潔操作之基質處理模 組之一,其中用於第一淸潔操作之基質不移出介於帶有基 質之多重基質處理模組淸潔操作間之主要輸送室。 28. 如申請專利範圍第27項之方法,其中該基質在淸 潔操作期間只插入該基質處理模組之一而且在個別淸潔操 作期間不插入其他基質處理模組。 29. 如申請專利範圍第27項之方法,其中存放步驟包 括存放基質在該主要輸送室內之靜止多重基質固持緩衝機 〇 30. 如申請專利範圍第29項之方法,其中存放步驟固 定多重基質在緩衝機具有一基質被提供及來自該緩衝機被 使用於淸潔操作在每個個別基質處理模組。 31. 如申請專利範圍第29項之方法,其中存放步驟固 定該基質在緩衝機具有另一基質,其在個別淸潔操作期間 不放置於任意基質處理模組。 32. —種用於基質處理裝置之基質輸送器,該基質輸送 器包括: I- —I- - - - I— -- - 11 - ' I I- i ----— n {請先閱讀背面之注意事項再填寫本頁) 本紙張尺度逋用中國國家操準(CNS ) A4说格(210X297公釐)
    經濟部中央標隼局員工消費合作社印災 六、申請專利範圍 該殼體界定一實質上密合主要輸送室帶有通道進入位 於該殻體側邊之主要輸送室; 該基質輸送機構連接至殼體而且具有可移動地放置在 主要輸送室之基質固持器,該固持器可移動的藉由該基質 輸送機構通過在該殼體之通道:而且 用於選擇地連接之裝置可連接該基質固持器直接地至 兩個不同位置之殼體中,該基質固持器放置在該主要輸送 室內。 33. 如申請專利範圍第32項之基質輸送器,其中該基 質固持器係基質定位器。 34. 如申請專利範圍第32項之基質輸送器,其中該基 質固持器係基質冷卻器。 35. 如申請專利範圍第32項之基質輸送器,其中用於 選擇地連接之裝置包括用於連接在兩個不同位置之兩個基 質固持器。 36. 如申請專利範圍第35項之基質輸送器,其中該兩 個基質固持器係不同形式基質固持器而且交換地可安裝置 不同位置之殼體以提供不同組態。 37. —種用於基質處理裝置之基質輸送器,該基質輸送 器包括: 一殼體界定一實質上密合主要輸送室帶有通道進入位 於該殻體側邊之主要輸送室: 一基質輸送機構連接該殼體及具有可移動地放置在主 要輸送室之基質固持器,該固持器可移動的藉由該基質輸 n ^^1 II - - —I:— I..... t - -- I! I ---1 -- In ^^1 (請先閱讀背面之注意事項再填寫本頁) 本紙張足度適用中國國家梂準(CNS ) A4*t格(210X297公釐) 經濟部中央標準局員工消f合作社印震 A8 BS C8 D8 六、申請專利範圍 送機構通過在該殻體之通道, 其中該框架具有一前端延長部分,其延伸當作大致80 度向外膨脹楔形,而且其中由殻體上之負載隔艙安裝區域 進入該主要輸送室之中間區域至少一基質存取路徑延伸通 過該前端延伸部分s 3S.如申請專利範圍第37項之基質輸送器,其中該前 端延長部分之前端具有兩個分隔的負載隔艙安裝區域。 39. 如申請專利範圍第37項之基質輸送器,進一步包 '括至少一基質固持單元直接地連接在基質存放路徑之前端 延長部分之殼體。 40. 如申請專利範圍第39項之基質輸送器,其中該前 方延長部分具有兩個分隔基質存放路徑,而且其中該兩個 基質存放路徑係由殻體單獨形成當作該主要輸送室之部分 〇 41. 如申請專利範圍第37項之基質輸送器,其中該基 質緩衝站放置在該前方延長部分。 42. 如申請專利範圍第41項之基質輸送器,其中該緩 衝站被塑造以固定數個基質。 43. —種在基質處理裝置中移動基質之方法,該基質處 理裝置具有藉由一通道置至少一基質處理室之輸送室及用 來移動介於該輸送室與基質處理室間之基質的機器人,該 方法包括: 存放一樣品基質放置該輸送室之緩衝站,存放步驟包 括存放該樣品基質同時帶有至少一其他基質在緩衝站上; -11 - - - - -1 P. —^1 I 1 m. I I----- H - ---- (請先閱讀背面之注意事項再填寫本頁) 本紙悵尺度適用中國國家梯準(CNS ) A4規格(210 X 297公釐) 經濟部中央標準局員工消費合作社印製 A8 B8 C8 D8 六、申請專利範圍 及 移動該樣品從該緩衝站進入該基質處理室藉由機器人 ,當至少一其他基質遺留在該緩衝站中。 44. 如申請專利範圍第43項之方法,進一步包括淸潔 該基質處理室,當該樣品基質放置在該基質處理室內。 45. —種移動在基質處理裝置中基質之方法,該基質處 理裝置具有一真空輸送室藉由通道連接至基質處理室及用 來移動介於該輸送室與基質處理室間之基質的機器人,該 ,方法包括步驟: 藉由機器人移動樣品基質從該基質處理室至設置在輸 送室之基質緩衝站;而且 存放該樣品基質在基質緩衝站帶有至少一已在緩衝站 之其他基質,其中該機器人存取該真空輸送站內不同高度 之緩衝站以個別地移動該基質進出該緩衝站。 46. —種具有基質輸送器及連接基質輸送器之基質處理 室的基質處理裝置,該基質輸送器包括; 形成一主要輸送室之殼體; 機器人連接該殼體而且具有可移動放置在主要輸送室 之基質固持器;及 基質冷卻器直接地連接該殼體而且具有設置在該主要 輸送室內之可移動部分,該冷卻器具有至少兩個冷卻區域 用於冷卻基質, 其中一基質可從該冷卻器藉由機器人直接地移動進入 該主要輸送室,當其他基質仍然在該冷卻器中。 _ 8_ 本紙張尺度逍用中國國家糅準(CNS ) A4見格(210X297公4 ) In --- I 1^( I -I 111 - 1 . n » - I -I- - - - --- l I \~~* -1° (請先閲讀背面之注意事項再填寫本頁) 4 9 ABCD 經濟部令央標率局員工消費合作社印製 六、申請專利範圍 47. —種具有基質輸送器及連接基質輸送器之基質處理 室的基質處理裝置,該基質輸送器包括; 形成一主要輸送室之殼體; 機器人連接該殼體而且具有可移動放置在主要輸送室 之基質固持器;及 基質加熱器直接地連接該殼體而且具有設置在該主要 輸送室內之可移動部分, 其中該機器人可移動在基質固持器之基質移動至可移 動部分而不需移動該基質固持器離開該主要輸送室。 48. 如申請專利範圍第47項之基質處理裝置,其中該 基質加熱器被塑造以固定數個基質而且該機器人同時插入 及移除該基質來回該加熱器。 49. 如申請專利範圍第47項之基質處理裝置,其中該 站質加熱器係組合的基質加熱器及冷卻器之部分。 50. —種具有基質輸送器及連接基質輸送器之基質處理 .室的基質處理裝置,該基質輸送器包括; 形成一主要輸送室之殼體; 機器人連接該殼體而且具有可移動放置在主要輸送室 之基質固持器;及 基質定位器連接該殻體而且具有設置在該主要輸送室 內之可移動部分, 其中該定位器可校準一基質而不需要移動該基質離開 該主要輸送室。 --------I------1Τ------ (請先閔讀背面之注意事項再填寫本頁) 本紙張尺度適用中國國家標準{ CNS ) ΑΊ規格(210Χ297公釐)
TW087109006A 1997-07-11 1998-06-06 Substrate processing apparatus having a substrate transport with a front end extension and an internal substrate buffer TW440913B (en)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US08/891,532 US5882413A (en) 1997-07-11 1997-07-11 Substrate processing apparatus having a substrate transport with a front end extension and an internal substrate buffer

Publications (1)

Publication Number Publication Date
TW440913B true TW440913B (en) 2001-06-16

Family

ID=25398358

Family Applications (1)

Application Number Title Priority Date Filing Date
TW087109006A TW440913B (en) 1997-07-11 1998-06-06 Substrate processing apparatus having a substrate transport with a front end extension and an internal substrate buffer

Country Status (8)

Country Link
US (1) US5882413A (zh)
EP (1) EP0996765B1 (zh)
JP (2) JP4620863B2 (zh)
KR (1) KR100618355B1 (zh)
AU (1) AU7607498A (zh)
DE (1) DE69838423T2 (zh)
TW (1) TW440913B (zh)
WO (1) WO1999002752A1 (zh)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN103765571A (zh) * 2012-01-10 2014-04-30 株式会社日立高新技术 真空处理装置

Families Citing this family (81)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5879461A (en) * 1997-04-21 1999-03-09 Brooks Automation, Inc. Metered gas control in a substrate processing apparatus
US6073366A (en) 1997-07-11 2000-06-13 Asm America, Inc. Substrate cooling system and method
US5882413A (en) * 1997-07-11 1999-03-16 Brooks Automation, Inc. Substrate processing apparatus having a substrate transport with a front end extension and an internal substrate buffer
US6079928A (en) * 1997-08-08 2000-06-27 Brooks Automation, Inc. Dual plate gas assisted heater module
US6530732B1 (en) * 1997-08-12 2003-03-11 Brooks Automation, Inc. Single substrate load lock with offset cool module and buffer chamber
US6688375B1 (en) * 1997-10-14 2004-02-10 Applied Materials, Inc. Vacuum processing system having improved substrate heating and cooling
US6083566A (en) * 1998-05-26 2000-07-04 Whitesell; Andrew B. Substrate handling and processing system and method
US6231289B1 (en) 1998-08-08 2001-05-15 Brooks Automation, Inc. Dual plate gas assisted heater module
US6957690B1 (en) 1998-09-10 2005-10-25 Asm America, Inc. Apparatus for thermal treatment of substrates
US6108937A (en) 1998-09-10 2000-08-29 Asm America, Inc. Method of cooling wafers
US6322312B1 (en) 1999-03-18 2001-11-27 Applied Materials, Inc. Mechanical gripper for wafer handling robots
US6440261B1 (en) 1999-05-25 2002-08-27 Applied Materials, Inc. Dual buffer chamber cluster tool for semiconductor wafer processing
US6318945B1 (en) 1999-07-28 2001-11-20 Brooks Automation, Inc. Substrate processing apparatus with vertically stacked load lock and substrate transport robot
US6949143B1 (en) * 1999-12-15 2005-09-27 Applied Materials, Inc. Dual substrate loadlock process equipment
EP1124252A2 (en) * 2000-02-10 2001-08-16 Applied Materials, Inc. Apparatus and process for processing substrates
KR100462237B1 (ko) * 2000-02-28 2004-12-17 주성엔지니어링(주) 기판 냉각장치를 가지는 반도체 소자 제조용 클러스터 장비
US6620723B1 (en) * 2000-06-27 2003-09-16 Applied Materials, Inc. Formation of boride barrier layers using chemisorption techniques
US7732327B2 (en) 2000-06-28 2010-06-08 Applied Materials, Inc. Vapor deposition of tungsten materials
US6551929B1 (en) * 2000-06-28 2003-04-22 Applied Materials, Inc. Bifurcated deposition process for depositing refractory metal layers employing atomic layer deposition and chemical vapor deposition techniques
US7101795B1 (en) * 2000-06-28 2006-09-05 Applied Materials, Inc. Method and apparatus for depositing refractory metal layers employing sequential deposition techniques to form a nucleation layer
US7964505B2 (en) * 2005-01-19 2011-06-21 Applied Materials, Inc. Atomic layer deposition of tungsten materials
US7405158B2 (en) * 2000-06-28 2008-07-29 Applied Materials, Inc. Methods for depositing tungsten layers employing atomic layer deposition techniques
JP2002043229A (ja) * 2000-07-25 2002-02-08 Hitachi Kokusai Electric Inc 半導体製造装置
US6765178B2 (en) 2000-12-29 2004-07-20 Applied Materials, Inc. Chamber for uniform substrate heating
US20020083897A1 (en) * 2000-12-29 2002-07-04 Applied Materials, Inc. Full glass substrate deposition in plasma enhanced chemical vapor deposition
US6825447B2 (en) * 2000-12-29 2004-11-30 Applied Materials, Inc. Apparatus and method for uniform substrate heating and contaminate collection
US6609869B2 (en) 2001-01-04 2003-08-26 Asm America Transfer chamber with integral loadlock and staging station
US6951804B2 (en) * 2001-02-02 2005-10-04 Applied Materials, Inc. Formation of a tantalum-nitride layer
US6878206B2 (en) 2001-07-16 2005-04-12 Applied Materials, Inc. Lid assembly for a processing system to facilitate sequential deposition techniques
US6660126B2 (en) 2001-03-02 2003-12-09 Applied Materials, Inc. Lid assembly for a processing system to facilitate sequential deposition techniques
US6734020B2 (en) 2001-03-07 2004-05-11 Applied Materials, Inc. Valve control system for atomic layer deposition chamber
US20020137346A1 (en) * 2001-03-12 2002-09-26 Applied Materials. Inc. Workpiece distribution and processing in a high throughput stacked frame
US20070009658A1 (en) * 2001-07-13 2007-01-11 Yoo Jong H Pulse nucleation enhanced nucleation technique for improved step coverage and better gap fill for WCVD process
US7211144B2 (en) * 2001-07-13 2007-05-01 Applied Materials, Inc. Pulsed nucleation deposition of tungsten layers
JP4821074B2 (ja) * 2001-08-31 2011-11-24 東京エレクトロン株式会社 処理システム
US7049226B2 (en) * 2001-09-26 2006-05-23 Applied Materials, Inc. Integration of ALD tantalum nitride for copper metallization
US6936906B2 (en) * 2001-09-26 2005-08-30 Applied Materials, Inc. Integration of barrier layer and seed layer
JP4027072B2 (ja) * 2001-10-18 2007-12-26 松下電器産業株式会社 減圧プラズマ処理装置及びその方法
US7780785B2 (en) 2001-10-26 2010-08-24 Applied Materials, Inc. Gas delivery apparatus for atomic layer deposition
US6916398B2 (en) * 2001-10-26 2005-07-12 Applied Materials, Inc. Gas delivery apparatus and method for atomic layer deposition
US6719517B2 (en) * 2001-12-04 2004-04-13 Brooks Automation Substrate processing apparatus with independently configurable integral load locks
US6729824B2 (en) 2001-12-14 2004-05-04 Applied Materials, Inc. Dual robot processing system
US6620670B2 (en) 2002-01-18 2003-09-16 Applied Materials, Inc. Process conditions and precursors for atomic layer deposition (ALD) of AL2O3
US6998014B2 (en) * 2002-01-26 2006-02-14 Applied Materials, Inc. Apparatus and method for plasma assisted deposition
US6911391B2 (en) * 2002-01-26 2005-06-28 Applied Materials, Inc. Integration of titanium and titanium nitride layers
US6899507B2 (en) * 2002-02-08 2005-05-31 Asm Japan K.K. Semiconductor processing apparatus comprising chamber partitioned into reaction and transfer sections
US6827978B2 (en) * 2002-02-11 2004-12-07 Applied Materials, Inc. Deposition of tungsten films
US6833161B2 (en) * 2002-02-26 2004-12-21 Applied Materials, Inc. Cyclical deposition of tungsten nitride for metal oxide gate electrode
US7439191B2 (en) * 2002-04-05 2008-10-21 Applied Materials, Inc. Deposition of silicon layers for active matrix liquid crystal display (AMLCD) applications
US6846516B2 (en) * 2002-04-08 2005-01-25 Applied Materials, Inc. Multiple precursor cyclical deposition system
US6720027B2 (en) 2002-04-08 2004-04-13 Applied Materials, Inc. Cyclical deposition of a variable content titanium silicon nitride layer
US20030194825A1 (en) * 2002-04-10 2003-10-16 Kam Law Deposition of gate metallization for active matrix liquid crystal display (AMLCD) applications
US6869838B2 (en) * 2002-04-09 2005-03-22 Applied Materials, Inc. Deposition of passivation layers for active matrix liquid crystal display (AMLCD) applications
US7279432B2 (en) 2002-04-16 2007-10-09 Applied Materials, Inc. System and method for forming an integrated barrier layer
US6827546B2 (en) * 2002-08-19 2004-12-07 Brooks-Pri Automation, Inc. Modular frame for a wafer fabrication system
US20040053514A1 (en) * 2002-08-27 2004-03-18 Ali Shajii Apparatus for cooling a substrate through thermal conduction in the viscous regime
US6821563B2 (en) 2002-10-02 2004-11-23 Applied Materials, Inc. Gas distribution system for cyclical layer deposition
US20040065255A1 (en) * 2002-10-02 2004-04-08 Applied Materials, Inc. Cyclical layer deposition system
US6769861B2 (en) 2002-10-08 2004-08-03 Brooks Automation Inc. Apparatus for alignment and orientation of a wafer for processing
US6990430B2 (en) * 2002-12-20 2006-01-24 Brooks Automation, Inc. System and method for on-the-fly eccentricity recognition
KR20060079144A (ko) * 2003-06-18 2006-07-05 어플라이드 머티어리얼스, 인코포레이티드 배리어 물질의 원자층 증착
US20070269297A1 (en) * 2003-11-10 2007-11-22 Meulen Peter V D Semiconductor wafer handling and transport
US8403613B2 (en) * 2003-11-10 2013-03-26 Brooks Automation, Inc. Bypass thermal adjuster for vacuum semiconductor processing
US10086511B2 (en) 2003-11-10 2018-10-02 Brooks Automation, Inc. Semiconductor manufacturing systems
DE102004019732A1 (de) * 2004-04-20 2005-11-17 Sse Sister Semiconductor Equipment Gmbh Vorrichtung und Verfahren für die Bearbeitung eines Substrats in der Halbleitertechnik sowie System, das eine Vorrichtung für die Bearbeitung eines Substrats umfasst
DE102004020466A1 (de) * 2004-04-26 2005-11-17 Applied Films Gmbh & Co. Kg Verfahren zum Beschichten von Substraten in Inline-Anlagen
WO2007064148A1 (en) * 2005-11-29 2007-06-07 Semes Co., Ltd. System and method for producing carbon nanotubes
US20070241351A1 (en) * 2006-04-14 2007-10-18 Applied Materials, Inc. Double-sided nitride structures
US7575982B2 (en) * 2006-04-14 2009-08-18 Applied Materials, Inc. Stacked-substrate processes for production of nitride semiconductor structures
US8821637B2 (en) * 2007-01-29 2014-09-02 Applied Materials, Inc. Temperature controlled lid assembly for tungsten nitride deposition
JP2008192642A (ja) * 2007-01-31 2008-08-21 Tokyo Electron Ltd 基板処理装置
JP5192719B2 (ja) * 2007-04-12 2013-05-08 株式会社アルバック 加熱装置および基板処理装置
TWI465599B (zh) * 2008-12-29 2014-12-21 K C Tech Co Ltd 原子層沉積裝置
DE102009037290A1 (de) * 2009-04-24 2010-11-11 Singulus Technologies Ag Transporteinrichtung mit einem auslenkbaren Dichtrahmen
CN101642909A (zh) * 2009-06-26 2010-02-10 东莞宏威数码机械有限公司 多级机械传输模块用腔体
US9202733B2 (en) 2011-11-07 2015-12-01 Persimmon Technologies Corporation Robot system with independent arms
US9401296B2 (en) 2011-11-29 2016-07-26 Persimmon Technologies Corporation Vacuum robot adapted to grip and transport a substrate and method thereof with passive bias
US9696097B2 (en) * 2014-08-01 2017-07-04 Applied Materials, Inc. Multi-substrate thermal management apparatus
JP6802726B2 (ja) * 2017-02-14 2020-12-16 株式会社Screenホールディングス 基板搬送装置、それを備える基板処理装置および基板搬送方法
KR20210079296A (ko) 2018-10-23 2021-06-29 에이에스엠엘 네델란즈 비.브이. 검사 장치
TW202230583A (zh) * 2020-12-22 2022-08-01 日商東京威力科創股份有限公司 基板處理系統及微粒去除方法

Family Cites Families (31)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4293249A (en) * 1980-03-03 1981-10-06 Texas Instruments Incorporated Material handling system and method for manufacturing line
US5013385A (en) * 1986-04-18 1991-05-07 General Signal Corporation Quad processor
JP2545591B2 (ja) * 1988-09-30 1996-10-23 国際電気株式会社 ウェーハ処理装置
US5002010A (en) * 1989-10-18 1991-03-26 Varian Associates, Inc. Vacuum vessel
JP2926798B2 (ja) * 1989-11-20 1999-07-28 国際電気株式会社 連続処理エッチング方法及びその装置
US5310410A (en) * 1990-04-06 1994-05-10 Sputtered Films, Inc. Method for processing semi-conductor wafers in a multiple vacuum and non-vacuum chamber apparatus
JP2644912B2 (ja) * 1990-08-29 1997-08-25 株式会社日立製作所 真空処理装置及びその運転方法
JPH05275511A (ja) * 1991-03-01 1993-10-22 Tokyo Electron Ltd 被処理体の移載システム及び処理装置
US5223001A (en) * 1991-11-21 1993-06-29 Tokyo Electron Kabushiki Kaisha Vacuum processing apparatus
JP2598353B2 (ja) * 1991-12-04 1997-04-09 アネルバ株式会社 基板処理装置、基板搬送装置及び基板交換方法
US5315473A (en) * 1992-01-21 1994-05-24 Applied Materials, Inc. Isolated electrostatic chuck and excitation method
JP3176118B2 (ja) * 1992-03-27 2001-06-11 株式会社東芝 多室型基板処理装置
JP2688555B2 (ja) * 1992-04-27 1997-12-10 株式会社日立製作所 マルチチャンバシステム
JPH06140492A (ja) * 1992-10-28 1994-05-20 Fujitsu Ltd クラスタ装置
US5516732A (en) * 1992-12-04 1996-05-14 Sony Corporation Wafer processing machine vacuum front end method and apparatus
ES2090893T3 (es) * 1993-01-28 1996-10-16 Applied Materials Inc Aparato de tratamiento en vacio que tiene una capacidad de produccion mejorada.
US5474410A (en) * 1993-03-14 1995-12-12 Tel-Varian Limited Multi-chamber system provided with carrier units
CN1046654C (zh) * 1993-04-16 1999-11-24 布鲁克斯自动化公司 传送装置
JP3196131B2 (ja) * 1993-10-22 2001-08-06 東京エレクトロン株式会社 半導体ウエハの搬送方法
US5525160A (en) * 1993-05-10 1996-06-11 Tokyo Electron Kabushiki Kaisha Film deposition processing device having transparent support and transfer pins
JPH0729958A (ja) * 1993-07-14 1995-01-31 Hitachi Ltd 半導体製造装置
DE69402918T2 (de) * 1993-07-15 1997-08-14 Applied Materials Inc Substratfangvorrichtung und Keramikblatt für Halbleiterbearbeitungseinrichtung
CN1137296A (zh) * 1993-12-17 1996-12-04 布鲁克斯自动化公司 加热或冷却晶片的设备
JPH07288275A (ja) * 1994-04-19 1995-10-31 Tokyo Electron Ltd ハンドリングアーム
JPH0846013A (ja) * 1994-05-23 1996-02-16 Tokyo Electron Ltd マルチチャンバ処理システム用搬送装置
KR960002534A (ko) * 1994-06-07 1996-01-26 이노우에 아키라 감압·상압 처리장치
JP3288200B2 (ja) * 1995-06-09 2002-06-04 東京エレクトロン株式会社 真空処理装置
JPH09107013A (ja) * 1995-10-09 1997-04-22 Dainippon Screen Mfg Co Ltd 基板受け渡し装置
US5647724A (en) * 1995-10-27 1997-07-15 Brooks Automation Inc. Substrate transport apparatus with dual substrate holders
US5882413A (en) * 1997-07-11 1999-03-16 Brooks Automation, Inc. Substrate processing apparatus having a substrate transport with a front end extension and an internal substrate buffer
US6530732B1 (en) * 1997-08-12 2003-03-11 Brooks Automation, Inc. Single substrate load lock with offset cool module and buffer chamber

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN103765571A (zh) * 2012-01-10 2014-04-30 株式会社日立高新技术 真空处理装置

Also Published As

Publication number Publication date
JP5483556B2 (ja) 2014-05-07
DE69838423D1 (de) 2007-10-25
EP0996765A1 (en) 2000-05-03
KR20010021758A (ko) 2001-03-15
DE69838423T2 (de) 2008-06-12
JP4620863B2 (ja) 2011-01-26
JP2001513592A (ja) 2001-09-04
WO1999002752A1 (en) 1999-01-21
EP0996765A4 (en) 2004-08-25
JP2010147490A (ja) 2010-07-01
EP0996765B1 (en) 2007-09-12
AU7607498A (en) 1999-02-08
KR100618355B1 (ko) 2006-08-30
US5882413A (en) 1999-03-16

Similar Documents

Publication Publication Date Title
TW440913B (en) Substrate processing apparatus having a substrate transport with a front end extension and an internal substrate buffer
JP2001513592A5 (zh)
TWI385748B (zh) 真空處理裝置、真空處理方法、及電腦可讀取記憶媒體
US6327794B2 (en) Processing method for substrate
US7198447B2 (en) Semiconductor device producing apparatus and producing method of semiconductor device
TW442891B (en) Vacuum processing system
JP2003077974A (ja) 基板処理装置および半導体装置の製造方法
US7371683B2 (en) Method for carrying object to be processed
KR20020025042A (ko) 기판 처리 장치, 기판 처리 방법 및 반도체 장치의 제조방법
JP2000129442A (ja) 成膜装置
US6079928A (en) Dual plate gas assisted heater module
US7416405B2 (en) Vertical type of thermal processing apparatus and method of using the same
KR100456711B1 (ko) 열처리장치
KR19990076902A (ko) 수직형 열처리 장치
JP2018093087A (ja) 基板処理装置
CN111430269A (zh) 处理装置
JPH07254538A (ja) 加熱処理装置
JP4383636B2 (ja) 半導体製造装置および半導体装置の製造方法
US6231289B1 (en) Dual plate gas assisted heater module
JP7483118B1 (ja) 基板処理装置
KR20150089924A (ko) 기판 열처리 장치, 기판 열처리 장치의 설치 방법
TW457620B (en) Load-lock with external staging area
JP2002246445A (ja) 基板処理装置
JP2021072424A (ja) 基板収容ユニット及び基板搬送装置における真空搬送ユニットのメンテナンス方法
JP2020047842A (ja) 基板処理装置、ダクトおよび半導体装置の製造方法

Legal Events

Date Code Title Description
GD4A Issue of patent certificate for granted invention patent
MM4A Annulment or lapse of patent due to non-payment of fees