TW202036704A - 基板處理方法及基板處理裝置 - Google Patents

基板處理方法及基板處理裝置 Download PDF

Info

Publication number
TW202036704A
TW202036704A TW108147963A TW108147963A TW202036704A TW 202036704 A TW202036704 A TW 202036704A TW 108147963 A TW108147963 A TW 108147963A TW 108147963 A TW108147963 A TW 108147963A TW 202036704 A TW202036704 A TW 202036704A
Authority
TW
Taiwan
Prior art keywords
film
substrate processing
substrate
wafer
top plate
Prior art date
Application number
TW108147963A
Other languages
English (en)
Other versions
TWI828832B (zh
Inventor
広城幸吉
樋口倫太郎
香川興司
関口賢治
Original Assignee
日商東京威力科創股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 日商東京威力科創股份有限公司 filed Critical 日商東京威力科創股份有限公司
Publication of TW202036704A publication Critical patent/TW202036704A/zh
Application granted granted Critical
Publication of TWI828832B publication Critical patent/TWI828832B/zh

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/30604Chemical etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76814Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics post-treatment or after-treatment, e.g. cleaning or removal of oxides on underlying conductors
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B82NANOTECHNOLOGY
    • B82YSPECIFIC USES OR APPLICATIONS OF NANOSTRUCTURES; MEASUREMENT OR ANALYSIS OF NANOSTRUCTURES; MANUFACTURE OR TREATMENT OF NANOSTRUCTURES
    • B82Y30/00Nanotechnology for materials or surface science, e.g. nanocomposites
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B82NANOTECHNOLOGY
    • B82YSPECIFIC USES OR APPLICATIONS OF NANOSTRUCTURES; MEASUREMENT OR ANALYSIS OF NANOSTRUCTURES; MANUFACTURE OR TREATMENT OF NANOSTRUCTURES
    • B82Y40/00Manufacture or treatment of nanostructures
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/04Coating on selected surface areas, e.g. using masks
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/16Coating processes; Apparatus therefor
    • G03F7/165Monolayers, e.g. Langmuir-Blodgett
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02002Preparing wafers
    • H01L21/02005Preparing bulk and homogeneous wafers
    • H01L21/02008Multistep processes
    • H01L21/0201Specific process step
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02057Cleaning during device manufacture
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02057Cleaning during device manufacture
    • H01L21/02068Cleaning during device manufacture during, before or after processing of conductive layers, e.g. polysilicon or amorphous silicon layers
    • H01L21/02071Cleaning during device manufacture during, before or after processing of conductive layers, e.g. polysilicon or amorphous silicon layers the processing being a delineation, e.g. RIE, of conductive layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28026Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor
    • H01L21/28079Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor the final conductor layer next to the insulator being a single metal, e.g. Ta, W, Mo, Al
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28026Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor
    • H01L21/28088Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor the final conductor layer next to the insulator being a composite, e.g. TiN
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67023Apparatus for fluid treatment for general liquid treatment, e.g. etching followed by cleaning
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67028Apparatus for fluid treatment for cleaning followed by drying, rinsing, stripping, blasting or the like
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67028Apparatus for fluid treatment for cleaning followed by drying, rinsing, stripping, blasting or the like
    • H01L21/6704Apparatus for fluid treatment for cleaning followed by drying, rinsing, stripping, blasting or the like for wet cleaning or washing
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67063Apparatus for fluid treatment for etching
    • H01L21/67075Apparatus for fluid treatment for etching for wet etching
    • H01L21/6708Apparatus for fluid treatment for etching for wet etching using mainly spraying means, e.g. nozzles
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67103Apparatus for thermal treatment mainly by conduction
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67248Temperature monitoring
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76805Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics the opening being a via or contact hole penetrating the underlying conductor

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • Nanotechnology (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Materials Engineering (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Composite Materials (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Cleaning Or Drying Semiconductors (AREA)
  • Weting (AREA)

Abstract

本發明之課題係提供一種技術,對於有複數種材料露出之基板,可以提升表面處理的選擇性。 其解決手段係本發明之基板處理方法,包含:維持步驟、供給步驟、表面處理步驟、以及去除步驟。維持步驟,使得有金屬的第1材料、與第1材料以外之材料的第2材料露出於其表面的基板之至少表面所接觸的氛圍,維持在脫氧氛圍。供給步驟,在以維持步驟維持在脫氧氛圍的狀態下,對於基板之表面,供給膜層形成材料,而於第1材料及第2材料當中之第1材料,選擇性地形成膜層。表面處理步驟,係在藉由供給步驟而於第1材料之表面形成了膜層的狀態下,進行第2材料之表面處理。去除步驟,係在表面處理步驟後,從第1材料之表面,去除膜層。

Description

基板處理方法及基板處理裝置
本發明係有關於基板處理方法及基板處理裝置。
於習知技術,已知有一種技術,當有複數種材料在半導體晶圓等等基板之表面露出的情況下,可以選擇性地處理任意材料(參照專利文獻1)。 [習知技術文獻] [專利文獻]
[專利文獻1]日本特開2013-251379號公報
[發明所欲解決的問題]
本發明提供一種技術,對於有複數種材料露出之基板,可以提升表面處理的選擇性。 [解決問題之技術手段]
基於本發明一態樣之基板處理方法,包含:維持步驟、供給步驟、表面處理步驟、以及去除步驟。維持步驟,使得有金屬的第1材料、與第1材料以外之材料的第2材料露出於其表面的基板之至少表面所接觸的氛圍,維持在脫氧氛圍。供給步驟,在以維持步驟維持在脫氧氛圍的狀態下,對於基板之表面,供給膜層形成材料,而於第1材料及第2材料當中之第1材料,選擇性地形成膜層。表面處理步驟,係在藉由供給步驟而於第1材料之表面形成了膜層的狀態下,進行第2材料之表面處理。去除步驟,係在表面處理步驟後,從第1材料之表面,去除膜層。 [發明之效果]
若藉由本發明,對於有複數種材料露出之基板,可以提升表面處理的選擇性。
以下將針對用以實施本發明之基板處理方法及基板處理裝置的形態(於下文中,稱作「實施形態」),參照圖式以進行詳細說明。又,本發明之基板處理方法及基板處理裝置,並不受此實施形態限定。再者,各實施形態,可在處理內容不產生矛盾的範圍內適當組合。再者,於以下之各實施形態,對於同一部位,會標記同一符號,並省略重複說明。
再者,於以下所參照之各圖式,為了使說明易於明瞭,有時會繪示一正交座標系,其定出彼此正交之X軸方向、Y軸方向及Z軸方向,並以Z軸正方向為鉛直朝上方向。
<1・基板處理系統之構成> 首先,針對實施形態之基板處理系統的構成,進行說明。圖1係繪示實施形態之基板處理系統之構成的圖式。再者,圖2係繪示實施形態之晶圓之構成的圖式。又,於下文中,為使位置關係明確,定出彼此正交之X軸、Y軸及Z軸,並以Z軸正方向為鉛直朝上方向。
如圖1所示,基板處理系統1包含搬入搬出站2與處理站3。搬入搬出站2與處理站3係鄰接設置。
搬入搬出站2包含載體載置部11與搬運部12。在載體載置部11載置著複數個搬運容器(於下文中,稱作「載體C」),該複數個載體C能以水平狀態容納複數片晶圓W。
搬運部12,係鄰接載體載置部11而設置。於搬運部12之內部,設有基板搬運裝置201與傳遞部202。
基板搬運裝置201具備晶圓固持機構,用以固持晶圓W。再者,基板搬運裝置201能向水平方向及鉛直方向移動、以鉛直軸為中心迴旋,並使用晶圓固持機構,而在載體C與傳遞部202之間搬運晶圓W。
處理站3,係鄰接搬運部12而設置。處理站3具備:搬運部13、以及複數個基板處理裝置14。複數個基板處理裝置14,係在搬運部13的兩側並排設置。
搬運部13,係於內部具備基板搬運裝置301。基板搬運裝置301具備晶圓固持機構,用以固持晶圓W。再者,基板搬運裝置301能向水平方向及鉛直方向移動、以鉛直軸為中心迴旋,並使用晶圓固持機構,而在傳遞部202與基板處理裝置14之間搬運晶圓W。
基板處理裝置14,對晶圓W進行濕蝕刻處理。濕蝕刻處理,係例如為了去除因乾蝕刻等所產生之反應生成物而進行。
基板處理系統1包含控制裝置4。控制裝置4,係控制基板處理系統1之動作的裝置。該控制裝置4例如為電腦,其包含控制部15及記錄部16。在記錄部16儲存著用以控制蝕刻處理等各種處理的程式。控制部15,藉由讀取並執行儲存於記錄部16的程式,以控制基板處理系統1的動作。控制部15,係例如CPU(中央處理器)或MPU(微處理器)等;記錄部16,係例如ROM(唯讀記憶體)或RAM(隨機存取記憶體)等。
又,該程式亦可係儲存於可由電腦讀取的記錄媒體,而自該記錄媒體安裝至控制裝置4之記錄部16。作為可由電腦讀取的記錄媒體,例如有硬碟(HD)、軟性磁碟(FD)、光碟(CD)、磁光碟(MO)及記憶卡等。
如上述構成之基板處理系統1中,首先,搬入搬出站2之基板搬運裝置201,從載體C將晶圓W取出,並將取出之晶圓W載置於傳遞部202。載置於傳遞部202之晶圓W,係藉由處理站3之基板搬運裝置301,從傳遞部202取出,並搬入基板處理裝置14,再以基板處理裝置14施行處理。處理後的晶圓W,藉由基板搬運裝置301從基板處理裝置14搬出,並載置於傳遞部202後,藉由基板搬運裝置201返回載體C。
<2・針對基板處理> 如圖2所示,實施形態之晶圓W,係矽晶圓或化合物半導體晶圓等,有第1材料M1與第2材料M2在其表面露出。
第1材料M1,係例如構成在圖案中的1層者,且係由金屬材料構成。於實施形態,第1材料M1係:金、銀、銅、鉑、鈀、鐵、鎳、鋅、鈷及釕中之任1種金屬。又,第1材料M1,亦可係含有金、銀、銅、鉑、鈀、鐵、鎳、鋅、鈷及釕中之至少1種的合金。再者,第1材料M1,除了該金屬材料以外,亦可含有例如矽等等的非金屬材料。
第2材料M2,係例如構成在圖案中的1層者,且係由非金屬材料構成。例如,第2材料M2係層間絕緣膜,並由氧化矽膜、熱氧化矽膜、氮化矽膜、氮氧化矽膜等等的矽材料構成。又,第2材料M2,未必要以非金屬材料構成。例如,第2材料M2,亦可為金、銀、銅、鉑、鈀、鐵、鎳、鋅、鈷及釕以外的金屬。
於該晶圓W之表面,附著有例如由於乾蝕刻等所產生之反應生成物P。實施形態之基板處理裝置14,係以濕蝕刻處理(於下文中,僅稱作「蝕刻處理」)來去除反應生成物P。
若對晶圓W之表面供給用以蝕刻反應生成物P的蝕刻液,則會有第1材料M1或第2材料M2遭到蝕刻之虞。尤其在第1材料M1係含有銅或鈷而構成之情況下,由於銅或鈷易於腐蝕,所以會有第1材料M1遭到蝕刻液去除之虞。
有鑑於此,在實施形態之基板處理裝置14,於去除反應生成物P的蝕刻處理之前,會先對晶圓W供給在第1材料M1之表面選擇性地形成膜層的膜層形成材料,而在以膜層保護第1材料M1之表面的狀態下進行蝕刻處理。藉此,可以抑制第1材料M1遭到蝕刻液去除的情形。
於實施形態,作為膜層形成材料,係使用含有硫原子的材料。例如,膜層形成材料係:硫醇(R1 -SH)、二硫化物(R2 -S-S-R3 )、硫氰酸酯(R4 -SCN)等等。又,R1 ~R4 ,分別獨立表示置換或非置換的烷基。被置換的烷基,係例如以鹵素置換的烷基。
這些膜層形成材料,藉由在含有金、銀、銅、鉑、鈀、鐵、鎳、鋅、鈷及釕中之至少1種的第1材料M1之表面,結合硫原子,而可以在第1材料M1之表面選擇性地形成膜層。在此情況下,在第1材料M1之表面形成的膜層,會是單層膜。在此,所謂的單層膜,係在對象物之表面僅吸附1層之份量的分子者;例如,具備可僅吸附於分子之一處之官能基的分子;或者1個分子解離,而僅在解離之部分的一邊、或者在兩邊都吸附而形成。又,藉由膜層形成材料而形成之膜層,亦可為多層膜。所謂多層膜,係藉由疊層吸附分子而形成的膜層,例如是具備可吸附在分子之複數部位的官能基的分子。
不過,在第1材料M1之表面形成了自然氧化膜等氧化膜的情況下,會有無法以膜層形成材料適當進行成膜之虞。有鑑於此,於實施形態之基板處理裝置14,會在使得與晶圓W之表面接觸的氛圍維持在脫氧氛圍之狀態下,對晶圓W之表面供給膜層形成材料。藉此,可以在第1材料M1之表面妥善地形成膜層。於本說明書中所謂的「脫氧氛圍」,係指氧濃度在50ppm以下的氛圍。「脫氧氛圍」更佳係氧濃度在10ppm以下的氛圍。
再者,於實施形態之基板處理裝置14,對晶圓W之表面供給膜層形成材料之處理(於下文中,稱作「成膜處理」),係在將膜層形成材料或晶圓W升高至高於室溫(例如21°C)之溫度的狀態下進行。藉此,可以縮短成膜處理所需時間。於本說明書中,所謂「高於室溫之溫度」,係指25°C以上的溫度。「高於室溫之溫度」更佳係36°C以上的溫度。
關於以上這幾點的實驗結果,繪示於圖3。圖3係繪示有關實施形態之成膜處理之實驗結果的圖式。本案發明人,進行了對於表面有鈷露出之矽晶圓(於下文中,稱作「樣本」),藉由供給ODT(十八烷基硫醇)以作為膜層形成材料,而在鈷之表面形成膜層的實驗。ODT,係在以IPA(異丙醇)稀釋至0.01mol/L的狀態下,供給至樣本。ODT的供給時間,係1分鐘。
再者,本案發明人,在對樣本供給ODT前,為了去除形成於鈷之表面的自然氧化膜,而進行了對樣本之表面供給蝕刻液(HCl;鹽酸),將鈷之表面(自然氧化膜)蝕刻約2nm的處理。
對樣本之表面供給蝕刻液之處理、與對樣本之表面供給ODT之處理,係在氧濃度受到調整的手套箱內進行。本案發明人藉由對手套箱內供給氮氣,而將手套箱內的氧濃度調整到200ppm或10ppm,再進行該2項處理。再者,本案發明人將該2項處理,以室溫(21°C)、亦即不進行升溫之狀態,以及升溫至36°C之狀態來進行。鈷表面的接觸角度,於供給ODT前,係40°。
如圖3所示,在使氧濃度為200ppm時,於ODT供給後之鈷表面的接觸角度,係95°;比起表面完全吸附有ODT時的接觸角度,即109°,小了相當多。相對於此,在使氧濃度為10ppm時,ODT供給後之鈷表面的接觸角度,在室溫下處理的情形係102°、在36°下處理的情形係109°,比起ODT供給前有著較大幅度的增加。由此結果可知,藉由在脫氧氛圍下進行ODT之供給,對於鈷之表面會適當且短時間地形成ODT膜。又,本案發明人在氧濃度50ppm下進行了同樣的實驗,確認到可以與氧濃度10ppm之情況同樣地得到良好的結果。
再者,本案發明人在供給ODT後,進行了對樣本供給清洗液的處理。作為清洗液,係使用DIW(去離子水)及IPA。如圖3所示,在氧濃度10ppm且為室溫下進行ODT之供給之情況下的清洗液供給後,鈷表面的接觸角度係90°。相對於此,在氧濃度10ppm且為36°下進行ODT之供給之情況下的清洗液供給後,鈷表面的接觸角度係109°,與清洗前的接觸角度相同。由此結果可知,藉由在36°下進行ODT之供給,比起在室溫進行之情形,更能適當地對鈷之表面形成ODT膜。又,本案發明人以處理溫度為25°C的情況進行了同樣的實驗,確認到可以與處理溫度36°之情況同樣地得到良好的結果。
再者,本案發明人,藉由對清洗液供給後的樣本供給還原劑,而進行了去除形成於鈷表面之膜層的實驗。作為還原劑,使用了DTT(二硫蘇糖醇)。其結果如圖3所示,鈷表面的接觸角度,在以室溫進行ODT供給的情況係降到43°、在以36°C進行ODT供給的情況係降到46°。由此結果可知,藉由使用DTT,會良好地去除形成於鈷表面之膜層。
如以上實驗結果可知,成膜處理係在脫氧氛圍下、升溫過的環境下進行為佳。再者,形成於第1材料M1之表面的膜層之去除,較佳係使用DTT這樣的還原劑。又,作為以還原劑去除膜層的機制而言,可推測係例如藉由形成在第1材料M1之表面的膜層與還原劑之間產生交換反應,而從第1材料M1之表面去除膜層。作為還原劑,除了DTT以外,例如還有2-巰基乙醇、2-巰基乙胺鹽酸鹽、TCEP-HCl(Tris(2-carboxyethyl)phosphine Hydrochloride;三(2-羧基乙基)膦鹽酸鹽)等等。
<3・基板處理裝置之構成> 接著,針對基板處理裝置14的構成例,參照圖4以進行說明。圖4係繪示實施形態之基板處理裝置14之構成的圖式。
如圖4所示,基板處理裝置14具有:處理腔室20、基板固持機構30、脫氧氛圍維持部40、處理流體供給部50、下部供給部60、以及回收杯70。
處理腔室20容納著:基板固持機構30、脫氧氛圍維持部40、處理流體供給部50、下部供給部60、以及回收杯70。在處理腔室20的頂棚部,設有風機過濾機組(Fan Filter Unit,FFU)21。風機過濾機組21,於處理腔室20內形成降流。具體而言,風機過濾機組21係經由閥22而連接至降流氣體供給源23。風機過濾機組21,將降流氣體供給源23所供給之降流氣體(例如:氮氣或乾燥空氣),噴出至處理腔室20內。
基板固持機構30具備:主體部31,供後述之下部供給部60的墊盤61插穿;以及固持構件32,設於主體部31,將晶圓W固持成離開墊盤61之狀態。固持構件32,具備支撐著晶圓W之背面的複數個支撐銷321,藉由以該支撐銷321支撐著晶圓W之背面,而水平地固持著晶圓W。又,晶圓W,係以有形成第1材料M1及第2材料M2的那一面朝上的狀態而受到支撐銷321支撐。
再者,基板固持機構30具備驅動部33,該驅動部33使得主體部31繞著鉛直軸旋轉。基板固持機構30,藉由使用驅動部33以旋轉主體部31,而可使固持構件32所固持之晶圓W繞著鉛直軸旋轉。
又,基板固持機構30,並不限定於上述般從下方支撐晶圓W的類型,亦可係由側向固持晶圓W的類型,亦可係如真空吸盤般從下方吸附固持晶圓W的類型。
脫氧氛圍維持部40,具備:頂板41;臂體42,水平地支撐著頂板41;以及驅動部43,使臂體42迴旋及升降。
頂板41係形成為覆蓋住晶圓W之表面的大小。於頂板41的中央部,設有開口部411,供處理流體供給部50所具備的噴嘴51插穿。膜層形成材料等的處理流體,會從該開口部411供給至晶圓W的中央部。再者,頂板41具備加熱部412。
該脫氧氛圍維持部40,藉由使用驅動部43以使臂體42升降,而可以變更頂板41與晶圓W間的距離。具體而言,脫氧氛圍維持部40會使頂板41在處理位置與退避位置之間移動;處理位置係靠近晶圓W之表面而覆蓋住晶圓W的上方;退避位置係離開晶圓W之表面並使晶圓W的上方開放。
處理流體供給部50,具備:噴嘴51;臂體52,水平地支撐著噴嘴51;以及驅動部53,使臂體52迴旋及升降。
噴嘴51,經由流量調整器111而連接至氧化膜去除液供給源112。氧化膜去除液供給源112所供給之氧化膜去除液,係可以去除形成於第1材料M1之自然氧化膜等氧化膜的蝕刻液。作為該蝕刻液,例如使用稀鹽酸等等。
再者,噴嘴51經由流量調整器121而連接至清洗液供給源122。清洗液供給源122所供給之清洗液,係例如DIW等等。
再者,噴嘴51經由流量調整器131及加熱部133而連接至成膜處理液供給源132。成膜處理液供給源132所供給之成膜處理液,係例如以IPA等有機溶劑稀釋了膜層形成材料的溶液。作為膜層形成材料,例如使用硫醇、二硫化物、硫氰酸酯等等。成膜處理液供給源132所供給之成膜處理液,會以加熱部133加熱至所要的溫度,具體而言係加熱至25°C以上之溫度的狀態,再由噴嘴51噴出。
於氧化膜去除液、清洗液、有機溶劑及成膜處理液,有溶存了氧氣的可能性。在此,就抑制第1材料M1之表面的氧化之觀點而言,於氧化膜去除液、清洗液、有機溶劑及成膜處理液中,係以氧濃度低為佳。有鑑於此,於實施形態之基板處理裝置14,係使用已脫氧的氧化膜去除液、清洗液、有機溶劑及成膜處理液。藉此,可以更加確實地抑制第1材料M1之表面的氧化。又,基板處理裝置14亦可具備一種脫氧部,係例如藉由使用了氮氣等惰性氣體的起泡,以降低氧化膜去除液、清洗液、有機溶劑及成膜處理液中的氧濃度。
再者,噴嘴51經由流量調整器141而連接至蝕刻液供給源142。蝕刻液供給源142所供給之蝕刻液,係可去除反應生成物P(參照圖2)的蝕刻液。作為該蝕刻液,係使用例如氟化氫溶液、氟化銨溶液或氟化氫銨溶液等等氟類蝕刻液。
再者,噴嘴51經由流量調整器151而連接至還原劑液體供給源152。還原劑液體供給源152所供給之還原劑,如上所述,係可去除形成於第1材料M1之表面之膜層的還原劑。作為該還原劑,係使用DTT、2-巰基乙醇、2-巰基乙胺鹽酸鹽、TCEP-HCl等等。又,流量調整器111、121、131、141、151,係構成為包含開閉閥或流量控制閥、流量計等等。
在此,例示了基板處理裝置14具備單一之噴嘴51的情形,但基板處理裝置14亦可係具備複數個噴嘴,而使氧化膜去除液或成膜處理液等由不同噴嘴噴出的構成。
下部供給部60,具備:墊盤61,插穿於基板固持機構30的主體部31而配置晶圓W之下方;以及驅動部62,使墊盤61升降。
墊盤61,係形成為覆蓋晶圓W之背面之大小的構件。於墊盤61的內部,形成了流路611,沿著上下方向貫穿墊盤61。於該流路611,經由流量調整器601而連接著加熱流體供給源602。加熱流體供給源602所供給之加熱流體,係用於加熱晶圓W。作為加熱流體,係使用例如氮氣等的惰性氣體。又,加熱流體,亦可係已加熱的液體。
下部供給部60,藉由從墊盤61的流路611噴出加熱流體供給源602所供給之加熱流體,以供給至晶圓W之背面。藉此,就可以將晶圓W加熱至所要的溫度,具體而言,係25°C以上的溫度。
回收杯70,係配置成包圍基板固持機構30,以捕集由於基板固持機構30之主體部31及固持構件32旋轉而自晶圓W飛散的處理液。在回收杯70的底部,有形成排液口71;回收杯70所捕集之處理液,自該排液口71向基板處理裝置14的外部排出。再者,在回收杯70的底部,有形成排氣口72,將風機過濾機組21所供給之降流氣體,排出至基板處理裝置14的外部。
<4・基板處理裝置之具體的動作> 接著,針對基板處理裝置14之具體的動作,參照圖5~圖9以進行說明。圖5係繪示實施形態之基板處理系統1所執行之處理程序的流程圖。圖6係繪示實施形態之頂板41及噴嘴51之配置例的圖式。圖7係繪示成膜處理後的晶圓W之一例的圖式,圖8係繪示蝕刻處理後的晶圓W之一例的圖式,圖9係繪示膜層去除處理後的晶圓W之一例的圖式。基板處理系統1所具備之各裝置,係依照控制部15之控制,以執行圖5所示之各處理程序。
如圖5所示,於基板處理裝置14,首先,進行搬入處理(步驟程序S101)。於搬入處理,以基板搬運裝置301(參照圖1)搬入處理腔室20內的晶圓W,係以基板固持機構30固持。晶圓W,係以如圖2所示之圖案形成面朝向上方的狀態,而受到固持構件32固持。之後,藉由驅動部33而使主體部31及固持構件32旋轉。藉此,晶圓W會與固持構件32一同旋轉。
接著,於基板處理裝置14,會進行氧化膜去除處理(步驟程序S102)。於氧化膜去除處理,首先,脫氧氛圍維持部40的頂板41會配置於處理位置。再者,處理流體供給部50的噴嘴51,會插穿頂板41的開口部411。然後,藉由使流量調整器111的閥開啟既定時間,而由噴嘴51對晶圓W之表面供給氧化膜去除液。供給至晶圓W之表面的氧化膜去除液,會藉由晶圓W之旋轉,而擴散至晶圓W之表面全體。藉此,如圖6所示,在晶圓W與頂板41之間的空間,就會成為充滿氧化膜去除液的狀態。藉由對晶圓W之表面供給氧化膜去除液,而可以去除形成於第1材料M1之表面的氧化膜。藉此,於後續的成膜處理,就可以在第1材料M1之表面妥善地形成膜層。
接著,於基板處理裝置14,會進行清洗處理(步驟程序S103)。於清洗處理,藉由使流量調整器121的閥開啟既定時間,而由噴嘴51對晶圓W之表面供給清洗液。供給至晶圓W之表面的清洗液,會藉由晶圓W之旋轉,而擴散至晶圓W之表面全體。藉此,晶圓W上的氧化膜去除液,就會被清洗液從晶圓W去除;而在晶圓W與頂板41之間的空間,就會成為充滿清洗液的狀態。
接著,於基板處理裝置14,會進行成膜處理(步驟程序S104)。於成膜處理,藉由使流量調整器131的閥開啟既定時間,而由噴嘴51對晶圓W之表面供給已加熱的成膜處理液。供給至晶圓W之表面的成膜處理液,會藉由晶圓W之旋轉,而擴散至晶圓W之表面全體。藉此,在晶圓W與頂板41之間的空間,就會成為充滿成膜處理液的狀態。然後,藉由對晶圓W之表面供給成膜處理液,而在第1材料M1之表面,形成膜層F(參照圖7)。之後,脫氧氛圍維持部40的頂板41,就會移動至退避位置,而從晶圓W上方退開。
如此這般,實施形態之基板處理裝置14,係藉由在直到成膜處理完成為止的期間,使晶圓W與頂板41之間的空間,充滿氧化膜去除液、清洗液或成膜處理液,以使得接觸晶圓W之表面的氛圍,維持在脫氧氛圍。藉此,由於可以抑制在第1材料M1之表面形成氧化膜的情形,故於成膜處理可以在第1材料M1之表面妥善地形成膜層F。
再者,由於成膜處理液係在以加熱部133加熱過的狀態供給至晶圓W,所以相較於不加熱成膜處理液的情形,會在第1材料M1之表面更妥善且短時間地形成膜層F。再者,基板處理裝置14,可以使用設在頂板41的加熱部412,來加熱晶圓W上的成膜處理液。再者,基板處理裝置14亦可藉由從下部供給部60供給加熱流體,以加熱晶圓W。由於藉由這些,可以使得成膜處理當中的處理溫度維持在所要的溫度,故可對第1材料M1更妥善地進行膜層F之形成。又,在此係以頂板41具備加熱部412之情形為例進行了說明,不過只要可以調節成膜處理當中的處理溫度即可,所具備的溫度調節部,亦可構成為除了加熱功能以外,還具備冷卻功能。
再者,成膜處理後,藉由使得脫氧氛圍維持部40的頂板41,從晶圓W的上方移動至供其退避的退避位置,而可以抑制殘留在頂板41底面的液體落下,而附著於晶圓W之表面的情形。又,並不限定於此,基板處理裝置14亦可構成為具備例如:承接盤,承接從頂板41落下的液體;以及驅動部,使承接盤移動。在此情況下,使頂板41上升後,再將承接盤移動至頂板41與晶圓W之間。藉此,可以抑制從頂板41落下的液體附著於晶圓W之表面的情形。
於成膜處理,基板處理裝置14亦可藉由從處理流體供給部50持續供給成膜處理液,而將滯留在頂板41與晶圓W之表面之間的空間的成膜處理液,加以排出。若有液體長時間滯留在頂板41與晶圓W之表面之間的空間,則會有氧氣溶入滯留之液體,而由於溶入之氧氣擴散等等而抵達第1材料M1之表面,導致有第1材料M1之表面氧化之虞。針對此點,藉由持續供給成膜處理液以排出滯留在晶圓W之表面的液體,而可以抑制氧氣抵達第1材料M1之表面的情形。
基板處理裝置14,亦可在清洗處理後、成膜處理前,進行置換處理,將晶圓W上的清洗液,置換成與成膜處理液之親和性高的IPA等有機溶劑。在此情況下,噴嘴51只要經由流量調整器而連接至有機溶劑供給源即可。再者,基板處理裝置14,亦可於成膜處理,從下部供給部60對晶圓W之背面,供給已加熱的清洗液。藉此,可以抑制成膜處理液流入晶圓W背面的情形。
接著,於基板處理裝置14,會進行清洗處理(步驟程序S105)。於清洗處理,藉由使流量調整器121的閥開啟既定時間,而由噴嘴51對晶圓W之表面供給清洗液。供給至晶圓W之表面的清洗液,會藉由晶圓W之旋轉,而擴散至晶圓W之表面全體。藉此,晶圓W上的成膜處理液,就會被清洗液從晶圓W去除。
接著,於基板處理裝置14,會進行蝕刻處理(步驟程序S106)。於蝕刻處理,藉由使流量調整器141的閥開啟既定時間,而由噴嘴51對晶圓W之表面供給蝕刻液。供給至晶圓W之表面的蝕刻液,會藉由晶圓W之旋轉,而擴散至晶圓W之表面全體。藉此,反應生成物P,就會從晶圓W之表面被去除(參照圖8)。
於該蝕刻處理,第1材料M1之表面受到膜層F保護。因此,若藉由基板處理裝置14,可以抑制第1材料M1被蝕刻液削掉的情形。
接著,於基板處理裝置14,會進行清洗處理(步驟程序S107)。於清洗處理,藉由使流量調整器121的閥開啟既定時間,而由噴嘴51對晶圓W之表面供給清洗液。供給至晶圓W之表面的清洗液,會藉由晶圓W之旋轉,而擴散至晶圓W之表面全體。藉此,晶圓W上的蝕刻液,就會被清洗液從晶圓W去除。
接著,於基板處理裝置14,會進行膜層去除處理(步驟程序S108)。於膜層去除處理,藉由使流量調整器151的閥開啟既定時間,而由噴嘴51對晶圓W之表面供給還原劑。供給至晶圓W之表面的還原劑,會藉由晶圓W之旋轉,而擴散至晶圓W之表面全體。藉此,就會將形成於第1材料M1之表面的膜層F加以去除(參照圖9)。
如上所述,膜層F,係選擇性地形成於第1材料M1及第2材料M2當中之第1材料M1。換言之,膜層F,不會形成於第1材料M1以外的材料,例如第2材料M2。故而,相較於在晶圓W之表面全體形成膜層的情形,使用基板處理裝置14,膜層去除處理會更為容易。
接著,於基板處理裝置14,會進行殘渣去除處理(步驟程序S109)。殘渣去除處理,係為了去除先前之膜層去除處理當中無法徹底去除之膜層F而進行。於該殘渣去除處理,藉由使得例如流量調整器111的閥開啟既定時間,而對晶圓W之表面,供給氧化膜去除處理中所使用之蝕刻液。供給至晶圓W之表面的蝕刻液,會藉由晶圓W之旋轉,而擴散至晶圓W之表面全體。藉此,就可以藉由蝕刻液,將殘留在第1材料M1之表面的膜層F加以去除。又,該殘渣去除處理,亦可省略。
接著,於基板處理裝置14,會進行清洗處理(步驟程序S110)。於清洗處理,藉由使流量調整器121的閥開啟既定時間,而由噴嘴51對晶圓W之表面供給清洗液。供給至晶圓W之表面的清洗液,會藉由晶圓W之旋轉,而擴散至晶圓W之表面全體。藉此,晶圓W上的蝕刻液,就會被清洗液從晶圓W去除。
接著,於基板處理裝置14,會進行乾燥處理(步驟程序S111)。於乾燥處理,藉由例如增加晶圓W之轉速,以甩掉殘留在晶圓W之表面的清洗液,而使晶圓W乾燥。
接著,於基板處理裝置14,會進行搬出處理(步驟程序S112)。於搬出處理,藉由基板搬運裝置301(參照圖1),將晶圓W從基板處理裝置14的處理腔室20取出。之後,晶圓W會經過傳遞部202及基板搬運裝置201,而容納於載置在載體載置部11的載體C。一旦完成了搬出處理,針對1片晶圓W之處理就完成了。
<5・處理流體供給部的變形例> 於上述實施形態,係使膜層形成材料以液體之狀態供給至晶圓W。但並不限定於此,膜層形成材料亦能以氣體之狀態供給至晶圓W。針對此情況下的變形例,參照圖10以進行說明。圖10係繪示第1變形例之處理流體供給部之構成的圖式。
如圖10所示,第1變形例之基板處理裝置14A,具備處理流體供給部50A。於處理流體供給部50A的噴嘴51A,連接著汽化器80。汽化器80,經由流量調整器171而連接至膜層形成材料供給源172。再者,汽化器80,經由流量調整器181而連接至惰性氣體供給源182。
汽化器80會將膜層形成材料供給源172所供給之膜層形成材料(例如:100%硫醇液),從液體狀態變化成氣體狀態(蒸氣)。再者,汽化器80會將膜層形成材料的蒸氣,與惰性氣體供給源182所供給之惰性氣體(例如:氮氣)混合,再供給至噴嘴51A。
再者,於處理流體供給部50A的噴嘴51A,連接著加熱部85。加熱部85,經由流量調整器183而連接至惰性氣體供給源182。加熱部85將惰性氣體供給源182所供給之惰性氣體,加熱至所要的溫度,具體而言,係25°C以上的溫度,再供給至連接著汽化器80與噴嘴51A的配管86。藉此,就可以將供給至噴嘴51A的膜層形成材料之蒸氣的溫度,加熱至所要的溫度。又,亦可在連接著汽化器80與噴嘴51A的配管86、以及連接著加熱部85與配管86的配管87,設置加熱部。藉此,會易於將膜層形成材料之蒸氣的溫度,維持在所要的溫度。
第1變形例之基板處理裝置14A,藉由在成膜處理,使得流量調整器171、181、183開啟既定時間,而從噴嘴51A對晶圓W之表面,供給膜層形成材料之蒸氣。藉此,藉由使晶圓W與頂板41之間的空間充滿膜層形成材料之蒸氣,而可以在脫氧氛圍下對第1材料M1進行成膜。再者,基板處理裝置14A,可以藉由設在頂板41的加熱部412,而將已供給至晶圓W的膜層形成材料之蒸氣的溫度,維持在所要的溫度。
如此這般,基板處理裝置14A在成膜處理,亦可對晶圓W供給氣體狀態的膜層形成材料。
又,在膜層形成材料之沸點低的情況下,基板處理裝置14A未必要具備汽化器80。再者,在此係例示在膜層形成材料之100%蒸氣,混合作為載體氣體之惰性氣體的情形,但基板處理裝置14A亦可不混合惰性氣體,就從噴嘴51A對晶圓W之表面供給膜層形成材料之100%蒸氣。
<6・頂板的變形例(其1)> 圖11係繪示第2變形例之脫氧氛圍維持部之構成的圖式。如圖11所示,第2變形例之基板處理裝置14B,具備脫氧氛圍維持部40B。脫氧氛圍維持部40B,具備頂板41B;於頂板41B的中央部,設有開口部411B,供處理流體供給部50所具備的噴嘴51插穿。
第2變形例之頂板41B,具有傾斜面,其係由設於中央部之開口部411B,朝向外周部,向下傾斜。再者,頂板41B的外周部,比起固持構件32更為位於晶圓W之直徑方向外側。
於前述之實施形態,在成膜處理等,係使頂板41的背面,接觸成膜處理液等的液體。在此情況下,會有液體殘留在頂板41背面之虞。殘留在頂板41背面的液體,會由於落下至晶圓W之表面,而有對晶圓W造成不良影響之虞。
有鑑於此,在第2變形例之基板處理裝置14B,具備頂板41B,其具有之底面,係由中央部朝向外周部而向下傾斜。藉此,即使有液體殘留在頂板41B的底面,所殘留之液體也會沿著頂板41B之底面,移動至頂板41B的外周部,所以可以抑制液體落下至晶圓W之表面的情形。再者,由於頂板41B的外周部,比起固持構件32更為位於晶圓W的直徑方向外側,所以抵達頂板41B外周部的液體,也不會有落下至晶圓W之虞。
如此這般,藉由第2變形例之基板處理裝置14B,在使頂板41B之底面接觸成膜處理液等的液體之情況下,可以抑制殘留在頂板41B之底面的液體落下至晶圓W之表面的情形。
又,頂板41B的底面,亦可由例如PTFE(聚四氟乙烯)、PFA(全氟烷氧基烷烴)等等具有撥水性的構件來形成。或者,頂板41B的底面,亦得以PTFE、PFA等具有撥水性的構件進行塗層。藉此,可以使得液體不易殘留在頂板41B的底面。再者,可以使得殘留在頂板41B的底面之液體,以良好的效率移動至頂板41B的外周部。故而,可以更加確實地抑制殘留在頂板41B的底面之液體落下至晶圓W之表面的情形。
<7・頂板的變形例(其2)> 圖12係繪示第3變形例之脫氧氛圍維持部之構成的圖式。如圖12所示,第3變形例之基板處理裝置14C,具備脫氧氛圍維持部40C。脫氧氛圍維持部40C,具備頂板41C。於頂板41C的中央部,設有開口部411C,供處理流體供給部50所具備的噴嘴51插穿。
於頂板41C的內部,有形成內部空間415C、以及連通至內部空間415C的複數個噴出口416C;內部空間415C,經由流量調整器401而連接著惰性氣體供給源402。
第3變形例之頂板41C係如上述構成,而惰性氣體供給源402所供給之氮氣等的惰性氣體,係經由內部空間415C,而從複數個噴出口416C供給至晶圓W之表面全體。
如此這般,於第3變形例之基板處理裝置14C,係從對應晶圓W之表面而配置的頂板41C之底面的大致整面,對晶圓W之表面供給惰性氣體。藉此,即使不使頂板41C與液體接觸,也能使得晶圓W之表面所接觸的氛圍,輕易地維持在脫氧氛圍。
<8・紫外線照射部> 圖13係繪示第4變形例之基板處理裝置之構成的圖式。如圖13所示,第4變形例之基板處理裝置14D,具備紫外線照射部90。紫外線照射部90,連接至驅動部92;藉由驅動部92,而可以在與晶圓W之表面相向的處理位置、以及晶圓W外側的退避位置之間移動。該紫外線照射部90,係在處理位置,對晶圓W之表面的大致整面,照射紫外線。
例如,第4變形例之基板處理裝置14D,亦可在搬入處理(步驟程序S101)後、氧化膜去除處理(步驟程序S102)前,使用紫外線照射部90以進行雜質去除處理。於雜質去除處理,係在將紫外線照射部90移動至處理位置後,從紫外線照射部90,對晶圓W之表面照射紫外線。藉此,藉由去除附著於晶圓W之表面的有機物等雜質,而於後續的成膜處理,可以在第1材料M1之表面妥善地形成膜層。
再者,基板處理裝置14D,亦可使用紫外線照射部90以進行膜層去除處理(步驟程序S108)。在此情況下,可以在清洗處理(步驟程序S107)後,將紫外線照射部90移動至處理位置,再從紫外線照射部90,對晶圓W之表面照射紫外線。藉此,可以去除形成於第1材料M1之表面的膜層F。
<9・其他變形例> 於上述實施形態,係使用脫氧氛圍維持部40、40B、40C,而在局部形成脫氧氛圍。但並不限定於此,基板處理裝置亦可藉由例如從風機過濾機組21供給氮氣等惰性氣體,而在處理腔室20內部全體形成脫氧氛圍。
於上述實施形態,作為表面處理之一例,係舉去除乾蝕刻等所產生之反應生成物的處理為例說明,但表面處理並不限定於該處理。例如,表面處理,亦可係在光微影的領域,於基板之表面形成阻障金屬等膜層的電鍍。
於光微影的領域,對於例如有銅配線等第1材料、與層間絕緣膜等第2材料露出於其表面的基板,有時會有只想在第2材料之表面形成膜層的情形。在此種情況下,於習知技術,首先會對第1材料及第2材料之雙方之表面,藉由電鍍而形成膜層;之後,再藉由乾蝕刻等等而去除形成於第1材料之表面的膜層。然而,若為此種手法,就連形成於第2材料之表面的膜層、或第1材料,都有被蝕刻掉之虞。
相對於此,藉由本案之基板處理方法,在進行該表面處理前,會對基板之表面供給膜層形成材料,而對於係金屬表面之第1材料之表面,先選擇地形成膜層。藉此,可以抑制在表面處理,於第1材料之表面形成不需要之膜層的情形。故而,相較於習知技術,對於形成於第2材料之表面的膜層或第1材料可以不造成損傷,就只在第2材料形成所要的膜層。更進一步地,藉由本案之基板處理方法,之後藉由對基板之表面供給還原劑、或者藉由照射紫外線,就可以去除形成於第1材料之表面的膜層。
又,藉由在脫氧氛圍下進行氧化膜去除處理及電鍍,就可以均勻地電鍍。如此這般,在脫氧氛圍下進行氧化膜去除處理及成膜處理,於電鍍亦有效果。故而,於本案之基板處理方法,藉由膜層形成材料之供給而形成於第1材料之表面的膜層,亦可係藉由電鍍而形成的金屬膜。具體而言,可以係含有金、鉑、銀、銅、鋅、鎘、錫、鎳、鉻、鈷中之任一種的金屬膜。
再者,表面處理,亦可係定向自組裝微影製程(Directed Self-Assembly;DSA)中之表面處理。例如,藉由對基板供給本案之膜層形成材料,而對第1材料選擇性地形成膜層。在此,作為膜層形成材料,除了硫原子,亦供給例如含有PMMA(Polymethylmethacrylate;聚甲基丙烯酸甲脂)等高分子聚合物的材料,藉此而能對於形成於第1材料之表面的膜層,附加PMMA等高分子聚合物的功能。藉此,可以將第1材料之表面,改質成具有所要功能的表面。之後,作為表面處理,對基板之表面供給BCP(嵌段共聚物;Block Copolymer),藉以在基板之表面形成圖案後,再將形成於第1材料之表面的膜層加以去除。
於上述實施形態,係以第1材料為包含金、銀、銅、鉑、鈀、鐵、鎳、鋅、鈷及釕中之至少1種之物的情況為例進行了說明。但並不限定於此,第1材料亦可係例如鎢。鎢之表面,不會附著硫原子。有鑑於此,在第1材料含有鎢的情況下,作為膜層形成材料,較佳係對基板之表面供給含有Si-N鍵結(矽原子及氮原子的直接鍵結)之材料。例如,在使用TMSDMA(三甲基甲矽烷基二甲胺)以作為膜層形成材料的情況下,藉由使二甲胺(-N(CH32 )與第1材料所含有的鎢鍵結,而可以在第1材料之表面形成膜層。
如上文所述,實施形態之基板處理方法,包含:維持步驟、供給步驟(就一例而言,如:成膜處理)、表面處理步驟(就一例而言,如:蝕刻處理)、以及去除步驟(就一例而言,如:膜層去除處理)。維持步驟,使得有金屬的第1材料(就一例而言,如:第1材料M1)、與第1材料以外之材料的第2材料(就一例而言,如:第2材料M2)露出於其表面的基板(就一例而言,如:晶圓W)之至少表面所接觸的氛圍,維持在脫氧氛圍。供給步驟,在以維持步驟維持在脫氧氛圍的狀態下,對於基板之表面,供給膜層形成材料,而於第1材料及第2材料當中之第1材料,選擇性地形成膜層。表面處理步驟,係在藉由供給步驟而於第1材料之表面形成了膜層的狀態下,進行第2材料之表面處理。去除步驟,係在表面處理步驟後,從第1材料之表面,去除膜層。
故而,若藉由實施形態之基板處理方法,由於會在第1材料之表面已形成膜層之狀態下進行第2材料之表面處理,所以對於有複數種材料露出之基板,可以提升表面處理的選擇性。
再者,亦可使第1材料之金屬,包含金、銀、銅、鉑、鈀、鐵、鎳、鋅、鈷及釕中之至少1種。在此情況下,膜層形成材料,亦可含有硫原子。藉此,可以在包含金、銀、銅、鉑、鈀、鐵、鎳、鋅、鈷及釕中之至少1種的第1材料之表面,妥善地形成膜層。
再者,膜層形成材料,亦可含有硫原子,並且係已脫氧的液體或氣體。由於藉由使用已脫氧的液體或氣體來作為膜層形成材料,會抑制第1材料之表面的氧化,而可以在第1材料之表面妥善地形成膜層。
再者,實施形態之基板處理方法,亦可包含氧化膜去除步驟(就一例而言,如:氧化膜去除處理)。氧化膜去除步驟,係在供給步驟前,在以維持步驟維持在脫氧氛圍的狀態下,從第1材料之表面去除氧化膜。如此這般,藉由事先從第1材料之表面去除氧化膜,而於後續的供給步驟,可以在第1材料之表面妥善地形成膜層。
氧化膜去除步驟,亦可包含化學藥液供給步驟、以及清洗步驟。化學藥液供給步驟,會供給已脫氧的化學藥液(就一例而言,如:已脫氧的氧化膜去除液)。清洗步驟,會供給已脫氧的清洗液(就一例而言,如:已脫氧的DIW)。藉此,可以更加確實地抑制第1材料之表面的氧化。
再者,實施形態之基板處理方法,亦可包含有機物去除步驟。有機物去除步驟,係在氧化膜去除步驟前,從第1材料之表面去除有機物。藉此,藉由去除附著於基板之表面的有機物等雜質,而於後續的供給步驟,可以在第1材料之表面妥善地形成膜層。
再者,去除步驟,亦可使用還原劑,而從第1材料之表面去除膜層。藉此,可以從第1材料之表面妥善地去除膜層。
再者,去除步驟,亦可藉由對膜層照射紫外線,而從第1材料之表面去除膜層。藉此,可以從第1材料之表面妥善地去除膜層。
再者,實施形態之基板處理方法,亦可包含殘渣去除步驟。殘渣去除步驟,係在去除步驟後,藉由對第1材料之表面供給蝕刻液,以去除殘留在第1材料之表面的膜層。藉此,可以去除殘留在第1材料之表面的膜層。
再者,供給步驟,亦可在加熱了基板之表面及膜層形成材料中之至少一方的狀態下進行。藉由在加熱了基板之表面及膜層形成材料中之至少一方的狀態下進行供給步驟,可以縮短供給步驟所需時間。
再者,金屬,亦可含有鎢。在此情況下,膜層形成材料,亦可係含有具備Si-N鍵結之分子的液體或氣體。藉此,可以在含有鎢的第1材料之表面,妥善地形成膜層。
再者,形成於第1材料之表面的膜層,亦可係藉由電鍍而形成的金屬膜。如此這般,藉由在脫氧氛圍下進行電鍍,就可以均勻地電鍍。
再者,實施形態之基板處理裝置,具備:維持部(就一例而言,如:脫氧氛圍維持部40)、供給部(就一例而言,如:處理流體供給部50)、表面處理部(就一例而言,如:處理流體供給部50)、以及去除部(就一例而言,如:處理流體供給部50)。維持部,使得有著金屬的第1材料(就一例而言,如:第1材料M1)、與第1材料以外之材料的第2材料(就一例而言,如:第2材料M2)露出於其表面的基板(就一例而言,如:晶圓W)之至少表面所接觸的氛圍,維持在脫氧氛圍。供給部,在以維持部維持在脫氧氛圍的狀態下,對於基板之表面,供給膜層形成材料,而於第1材料及第2材料當中之第1材料,選擇地性形成膜層。表面處理部,係在藉由供給部而於第1材料之表面形成了膜層的狀態下,進行第2材料之表面處理。去除部,係在表面處理後,從第1材料之表面,去除膜層。
故而,若藉由實施形態之基板處理裝置,由於會在第1材料之表面已形成膜層之狀態下進行第2材料之表面處理,所以對於有複數種材料露出之基板,可以提升表面處理的選擇性。
再者,維持部,亦可具備:頂板(就一例而言,如:頂板41、41B、41C)、以及驅動部43。頂板,係形成為覆蓋住基板之表面的大小。驅動部,使頂板移動至靠近基板之表面而與基板之表面相向的處理位置。在此情況下,供給部亦可對於配置於處理位置的頂板與基板之表面之間的空間,供給膜層形成材料。藉此,可以有效率地使得包含基板之表面的局部空間維持在脫氧氛圍。
再者,頂板,亦可具備溫度調節部。藉此,由於可以使得成膜處理中的處理溫度維持在所要的溫度,而可以對於第1材料妥善地進行膜層之形成。
再者,供給部,亦可供給液體狀的膜層形成材料。在此情況下,處理位置,亦可係使頂板的底面接觸供給部所供給之液體狀的膜層形成材料的位置。藉此,由於第1材料之表面與外部空氣斷絕,而可以抑制在第1材料形成氧化膜的情形。故而,於成膜處理,可以在第1材料之表面妥善地形成膜層。
再者,供給部,亦可藉由持續供給液體狀的膜層形成材料(就一例而言,如:成膜處理液),而將滯留在頂板與基板之表面之間的空間的膜層形成材料,加以排出。若有液體長時間滯留在頂板與基板之表面之間的空間,則會有氧氣溶入滯留之液體,而由於溶入之氧氣擴散等等而抵達第1材料之表面,導致有第1材料之表面氧化之虞。針對此點,藉由持續供給液體狀的膜層形成材料以排出滯留在基板之表面的液體,而可以抑制氧氣抵達第1材料之表面的情形。
再者,驅動部43,亦可在液體狀的膜層形成材料之供給結束後,使得頂板移動至退避位置,而從基板的上方退開。藉此,可以抑制殘留在頂板之底面的液體落下而附著於基板之表面的情形。
再者,頂板亦可具有從中央部朝向外周部而向下傾斜的底面。藉此,即使有液體殘留在頂板的底面,所殘留之液體也會沿著頂板之底面,移動至頂板的外周部,所以可以在抑制裝置之大型化的同時,抑制液體落下至基板之表面的情形。
再者,頂板亦可具備噴出惰性氣體(就一例而言,如:氮氣)的複數個噴出口(就一例而言,如:噴出口416C)。藉此,即使不使頂板與液體接觸,也能使得基板之表面所接觸的氛圍,輕易地維持在脫氧氛圍。
本次揭露之實施形態於所有觀點皆應視為例示,而非用以限制。上述實施形態誠然得以多種形態加以具現。再者,上述實施形態,可在不脫離隨附之申請專利範圍及其要旨的情況下,以各種形態加以省略、代換、變更。
1:基板處理系統 2:搬入搬出站 3:處理站 4:控制裝置 11:載體載置部 12:搬運部 13:搬運部 14:基板處理裝置 15:控制部 16:記錄部 201:基板搬運裝置 202:傳遞部 301:基板搬運裝置 W:晶圓 C:載體 P:反應生成物 M1:第1材料 M2:第2材料 20:處理腔室 21:風機過濾機組 22:閥 23:降流氣體供給源 30:基板固持機構 31:主體部 32:固持構件 321:支撐銷 33:驅動部 40:脫氧氛圍維持部 41:頂板 411:開口部 412:加熱部 42:臂體 43:驅動部 50:處理流體供給部 51:噴嘴 52:臂體 53:驅動部 60:下部供給部 601:流量調整器 602:加熱流體供給源 61:墊盤 611:流路 62:驅動部 70:回收杯 71:排液口 72:排氣口 111:流量調整器 112:氧化膜去除液供給源 121:流量調整器 122:清洗液供給源 131:流量調整器 132:成膜處理液供給源 133:加熱部 141:流量調整器 142:蝕刻液供給源 151:流量調整器 152:還原劑液體供給源 S101~S112:步驟程序 F:膜層 14A:基板處理裝置 50A:處理流體供給部 51A:噴嘴 80:汽化器 85:加熱部 86:配管 87:配管 171:流量調整器 172:膜層形成材料供給源 181:流量調整器 182:惰性氣體供給源 183:流量調整器 14B:基板處理裝置 40B:脫氧氛圍維持部 41B:頂板 411B:開口部 14C:基板處理裝置 40C:脫氧氛圍維持部 401:流量調整器 402:惰性氣體供給源 41C:頂板 411C:開口部 415C:內部空間 416C:噴出口 14D:基板處理裝置 90:紫外線照射部 92:驅動部
[圖1]圖1係繪示實施形態之基板處理系統之構成的圖式。 [圖2]圖2係繪示實施形態之晶圓之構成的圖式。 [圖3]圖3係繪示關於實施形態之成膜處理之實驗結果的圖式。 [圖4]圖4係繪示實施形態之基板處理裝置之構成的圖式。 [圖5]圖5係繪示實施形態之基板處理系統所執行之處理程序的流程圖。 [圖6]圖6係繪示實施形態之頂板及噴嘴之配置例的圖式。 [圖7]圖7係繪示成膜處理後的晶圓之一例的圖式。 [圖8]圖8係繪示蝕刻處理後的晶圓之一例的圖式。 [圖9]圖9係繪示膜層去除處理後的晶圓之一例的圖式。 [圖10]圖10係繪示第1變形例之處理流體供給部之構成的圖式。 [圖11]圖11係繪示第2變形例之脫氧氛圍維持部之構成的圖式。 [圖12]圖12係繪示第3變形例之脫氧氛圍維持部之構成的圖式。 [圖13]圖13係繪示第4變形例之基板處理裝置之構成的圖式。
S101~S112:步驟程序

Claims (20)

  1. 一種基板處理方法,包括以下步驟: 維持步驟,使得有金屬的第1材料、與第1材料以外之材料的第2材料露出於其表面的基板之至少該表面所接觸的氛圍,維持在脫氧氛圍; 供給步驟,在以該維持步驟維持在該脫氧氛圍的狀態下,對該基板之表面供給膜層形成材料,該膜層形成材料對於該第1材料及該第2材料當中之該第1材料,選擇性地形成膜層; 表面處理步驟,係在藉由該供給步驟而於該第1材料之表面形成了該膜層的狀態下,進行該第2材料之表面處理;以及 去除步驟,係在該表面處理步驟後,從該第1材料之表面去除該膜層。
  2. 如請求項1之基板處理方法,其中, 該金屬包含金、銀、銅、鉑、鈀、鐵、鎳、鋅、鈷及釕中之至少1種; 該膜層形成材料,含有硫原子。
  3. 如請求項2之基板處理方法,其中, 該膜層形成材料含有硫原子,並且係為已脫氧的液體或氣體。
  4. 如請求項1之基板處理方法,其中,更包括: 氧化膜去除步驟,於該供給步驟前,在以該維持步驟維持在該脫氧氛圍的狀態下,從該第1材料之表面去除氧化膜。
  5. 如請求項4之基板處理方法,其中, 該氧化膜去除步驟,包含 化學藥液供給步驟,供給已脫氧的化學藥液;以及 清洗步驟,供給已脫氧的清洗液。
  6. 如請求項4之基板處理方法,更包括: 有機物去除步驟,在該氧化膜去除步驟前,從該第1材料之表面去除有機物。
  7. 如請求項1之基板處理方法,其中, 該去除步驟,係使用還原劑,而從該第1材料之表面去除該膜層。
  8. 如請求項1之基板處理方法,其中, 該去除步驟,係藉由對該膜層照射紫外線,而從該第1材料之表面去除該膜層。
  9. 如請求項7之基板處理方法,更包括: 殘渣去除步驟,在該去除步驟後,藉由對該第1材料之表面供給蝕刻液,以去除殘留在該第1材料之表面的該膜層。
  10. 如請求項1之基板處理方法,其中, 該供給步驟,係在加熱了該基板之表面及該膜層形成材料中之至少一方的狀態下進行。
  11. 如請求項2之基板處理方法,其中, 該金屬含有鎢; 該膜層形成材料,係包含具有Si-N鍵結之分子的液體或氣體。
  12. 如請求項2之基板處理方法,其中, 形成於該第1材料之表面的該膜層,係藉由電鍍而形成的金屬膜。
  13. 一種基板處理裝置,包括: 維持部,使得有金屬的第1材料、與第1材料以外之材料的第2材料露出於其表面的基板之至少該表面所接觸的氛圍,維持在脫氧氛圍; 供給部,在以該維持部維持在該脫氧氛圍的狀態下,對於該基板之表面,供給膜層形成材料,該供給膜層形成材料於該第1材料及該第2材料當中之該第1材料,選擇地性形成膜層; 表面處理部,在藉由該供給部而於該第1材料之表面形成了該膜層的狀態下,進行該第2材料之表面處理;以及 去除部,在該表面處理後,從該第1材料之表面,去除該膜層。
  14. 如請求項13之基板處理裝置,其中, 該維持部包括: 頂板,形成為覆蓋住該基板之表面的大小;以及 驅動部,使該頂板移動至靠近該基板之表面而與該基板之表面相向的處理位置; 該供給部,對配置於該處理位置的該頂板與該基板之表面之間的空間,供給該膜層形成材料。
  15. 如請求項14之基板處理裝置,其中, 該頂板,包括溫度調節部。
  16. 如請求項14之基板處理裝置,其中, 該供給部,供給液體狀的該膜層形成材料; 該處理位置,係該頂板的底面與從該供給部所供給之液體狀的該膜層形成材料相接觸的位置。
  17. 如請求項16之基板處理裝置,其中, 該供給部,藉由持續供給液體狀的該膜層形成材料,而將滯留在該頂板與該基板的表面之間的空間之該膜層形成材料,加以排出。
  18. 如請求項16之基板處理裝置,其中, 該驅動部,在液體狀的該膜層形成材料之供給結束後,使該頂板移動至從該基板的上方退開的退避位置。
  19. 如請求項16之基板處理裝置,其中, 該頂板具有從中央部朝外周部向下傾斜的底面。
  20. 如請求項14之基板處理裝置,其中, 該頂板包括噴出惰性氣體的複數個噴出口。
TW108147963A 2019-01-09 2019-12-27 基板處理方法及基板處理裝置 TWI828832B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
JP2019-001564 2019-01-09
JP2019001564A JP7267015B2 (ja) 2019-01-09 2019-01-09 基板処理方法および基板処理装置

Publications (2)

Publication Number Publication Date
TW202036704A true TW202036704A (zh) 2020-10-01
TWI828832B TWI828832B (zh) 2024-01-11

Family

ID=71404798

Family Applications (1)

Application Number Title Priority Date Filing Date
TW108147963A TWI828832B (zh) 2019-01-09 2019-12-27 基板處理方法及基板處理裝置

Country Status (5)

Country Link
US (1) US11049723B2 (zh)
JP (1) JP7267015B2 (zh)
KR (1) KR20200086637A (zh)
CN (1) CN111430266B (zh)
TW (1) TWI828832B (zh)

Families Citing this family (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP7232737B2 (ja) * 2019-08-07 2023-03-03 東京エレクトロン株式会社 基板処理装置

Family Cites Families (19)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPWO2005019499A1 (ja) * 2003-08-20 2006-10-19 ダイキン工業株式会社 金属変質層の除去液及び金属変質層の除去方法
US7368377B2 (en) 2004-12-09 2008-05-06 Interuniversitair Microelektronica Centrum (Imec) Vzw Method for selective deposition of a thin self-assembled monolayer
US7402517B2 (en) * 2005-03-31 2008-07-22 Battelle Memorial Institute Method and apparatus for selective deposition of materials to surfaces and substrates
JP2010003945A (ja) 2008-06-23 2010-01-07 Seiko Epson Corp 半導体装置の製造方法及び半導体装置
JP5544893B2 (ja) 2010-01-20 2014-07-09 東京エレクトロン株式会社 基板処理方法及び記憶媒体
JP6172306B2 (ja) 2011-01-12 2017-08-02 セントラル硝子株式会社 保護膜形成用薬液
JP2013213263A (ja) 2012-04-03 2013-10-17 Tokyo Electron Ltd めっき処理装置、めっき処理方法および記憶媒体
JP5821784B2 (ja) 2012-05-31 2015-11-24 東京エレクトロン株式会社 エッチング方法、エッチング装置及び記憶媒体
JP2015052101A (ja) 2013-08-06 2015-03-19 東京応化工業株式会社 膜形成用材料
TWI584370B (zh) * 2013-08-27 2017-05-21 Tokyo Electron Ltd A substrate processing method, a substrate processing apparatus, and a memory medium
US20150064911A1 (en) * 2013-08-27 2015-03-05 Tokyo Electron Limited Substrate processing method, substrate processing apparatus and storage medium
US11004674B2 (en) * 2013-09-25 2021-05-11 Organo Corporation Substrate treatment method and substrate treatment equipment
EP2854158B1 (en) * 2013-09-27 2019-07-10 IMEC vzw Layer deposition on III-V semiconductors
US10049921B2 (en) * 2014-08-20 2018-08-14 Lam Research Corporation Method for selectively sealing ultra low-k porous dielectric layer using flowable dielectric film formed from vapor phase dielectric precursor
JP6425517B2 (ja) * 2014-11-28 2018-11-21 東京エレクトロン株式会社 基板処理方法、基板処理装置および記憶媒体
JP2017069336A (ja) 2015-09-29 2017-04-06 東京エレクトロン株式会社 基板処理装置、吸着保持部の洗浄方法および記憶媒体
JP6419053B2 (ja) * 2015-10-08 2018-11-07 東京エレクトロン株式会社 基板処理方法および基板処理装置
US10358715B2 (en) * 2016-06-03 2019-07-23 Applied Materials, Inc. Integrated cluster tool for selective area deposition
JP2018020282A (ja) 2016-08-03 2018-02-08 東京エレクトロン株式会社 基板処理方法、プログラム、コンピュータ記憶媒体及び基板処理システム

Also Published As

Publication number Publication date
TWI828832B (zh) 2024-01-11
US11049723B2 (en) 2021-06-29
JP7267015B2 (ja) 2023-05-01
CN111430266A (zh) 2020-07-17
US20200219730A1 (en) 2020-07-09
JP2020113589A (ja) 2020-07-27
KR20200086637A (ko) 2020-07-17
CN111430266B (zh) 2024-01-05

Similar Documents

Publication Publication Date Title
KR102640367B1 (ko) 기판 처리 방법 및 열처리 장치
US20050081785A1 (en) Apparatus for electroless deposition
JP6707412B2 (ja) 基板液処理装置、基板液処理方法および記憶媒体
JP2011071169A (ja) 基板処理方法および基板処理装置
CN109216236B (zh) 基片处理装置、基片处理方法和存储介质
JP2019061978A (ja) 基板処理方法および基板処理装置
US9997379B2 (en) Method and apparatus for wafer wet processing
JP2023001153A (ja) 基板処理システム
TWI809652B (zh) 基板處理方法及基板處理裝置
JP5578675B2 (ja) レジストパターン形成装置
TWI828832B (zh) 基板處理方法及基板處理裝置
US11126086B2 (en) Substrate treatment apparatus, substrate treatment method, and computer storage medium
JP2019046893A (ja) 基板処理方法および基板処理装置
US20220316059A1 (en) Substrate processing method and substrate processing apparatus
JP2023169215A (ja) 基板処理装置および基板処理方法
WO2019235275A1 (ja) 基板処理装置および基板処理方法
WO2021033588A1 (ja) 基板処理装置および基板処理方法
KR20220091512A (ko) 기판 액 처리 방법 및 기판 액 처리 장치
JP2005217282A (ja) 塗布膜形成方法及び塗布膜形成装置
JP2011066113A (ja) 疎水化処理装置、疎水化処理方法、プログラム及びコンピュータ記憶媒体
TWI837643B (zh) 基板處理方法、基板處理裝置以及乾燥處理液
US20240030048A1 (en) Batch substrate treatment apparatus
WO2020241295A1 (ja) 基板処理方法および基板処理装置
JP2024011170A (ja) 基板処理装置および基板処理方法
KR20230140470A (ko) 기판 처리 장치 및 기판 처리 방법