CN111430266B - 基板处理方法及基板处理装置 - Google Patents

基板处理方法及基板处理装置 Download PDF

Info

Publication number
CN111430266B
CN111430266B CN202010009300.1A CN202010009300A CN111430266B CN 111430266 B CN111430266 B CN 111430266B CN 202010009300 A CN202010009300 A CN 202010009300A CN 111430266 B CN111430266 B CN 111430266B
Authority
CN
China
Prior art keywords
film
substrate processing
substrate
wafer
top plate
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
CN202010009300.1A
Other languages
English (en)
Other versions
CN111430266A (zh
Inventor
广城幸吉
樋口伦太郎
香川兴司
关口贤治
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Tokyo Electron Ltd
Original Assignee
Tokyo Electron Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Tokyo Electron Ltd filed Critical Tokyo Electron Ltd
Publication of CN111430266A publication Critical patent/CN111430266A/zh
Application granted granted Critical
Publication of CN111430266B publication Critical patent/CN111430266B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/30604Chemical etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76814Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics post-treatment or after-treatment, e.g. cleaning or removal of oxides on underlying conductors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B82NANOTECHNOLOGY
    • B82YSPECIFIC USES OR APPLICATIONS OF NANOSTRUCTURES; MEASUREMENT OR ANALYSIS OF NANOSTRUCTURES; MANUFACTURE OR TREATMENT OF NANOSTRUCTURES
    • B82Y30/00Nanotechnology for materials or surface science, e.g. nanocomposites
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B82NANOTECHNOLOGY
    • B82YSPECIFIC USES OR APPLICATIONS OF NANOSTRUCTURES; MEASUREMENT OR ANALYSIS OF NANOSTRUCTURES; MANUFACTURE OR TREATMENT OF NANOSTRUCTURES
    • B82Y40/00Manufacture or treatment of nanostructures
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/04Coating on selected surface areas, e.g. using masks
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/16Coating processes; Apparatus therefor
    • G03F7/165Monolayers, e.g. Langmuir-Blodgett
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02002Preparing wafers
    • H01L21/02005Preparing bulk and homogeneous wafers
    • H01L21/02008Multistep processes
    • H01L21/0201Specific process step
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02057Cleaning during device manufacture
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02057Cleaning during device manufacture
    • H01L21/02068Cleaning during device manufacture during, before or after processing of conductive layers, e.g. polysilicon or amorphous silicon layers
    • H01L21/02071Cleaning during device manufacture during, before or after processing of conductive layers, e.g. polysilicon or amorphous silicon layers the processing being a delineation, e.g. RIE, of conductive layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28026Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor
    • H01L21/28079Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor the final conductor layer next to the insulator being a single metal, e.g. Ta, W, Mo, Al
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28026Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor
    • H01L21/28088Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor the final conductor layer next to the insulator being a composite, e.g. TiN
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67023Apparatus for fluid treatment for general liquid treatment, e.g. etching followed by cleaning
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67028Apparatus for fluid treatment for cleaning followed by drying, rinsing, stripping, blasting or the like
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67028Apparatus for fluid treatment for cleaning followed by drying, rinsing, stripping, blasting or the like
    • H01L21/6704Apparatus for fluid treatment for cleaning followed by drying, rinsing, stripping, blasting or the like for wet cleaning or washing
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67063Apparatus for fluid treatment for etching
    • H01L21/67075Apparatus for fluid treatment for etching for wet etching
    • H01L21/6708Apparatus for fluid treatment for etching for wet etching using mainly spraying means, e.g. nozzles
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67103Apparatus for thermal treatment mainly by conduction
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67248Temperature monitoring
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76805Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics the opening being a via or contact hole penetrating the underlying conductor

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • Nanotechnology (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Materials Engineering (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Composite Materials (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Cleaning Or Drying Semiconductors (AREA)
  • Weting (AREA)

Abstract

本发明涉及基板处理方法及基板处理装置。本发明的课题是提供能够改善针对多种材料暴露的基板的表面处理的选择性的技术。基于本公开的基板处理方法包括维持工序、供给工序、表面处理工序和去除工序。在维持工序中,将表面上暴露有金属即第一材料与第一材料以外的材料即第二材料的基板的至少表面所接触的气氛维持在脱氧气氛。在供给工序中,在利用维持工序维持在脱氧气氛的状态下,对基板的表面供给针对第一材料及第二材料中的第一材料选择性地形成膜的膜形成材料。在表面处理工序中,在通过供给工序在第一材料的表面形成了膜的状态下,进行第二材料的表面处理。在去除工序中,在表面处理工序后,从第一材料的表面去除膜。

Description

基板处理方法及基板处理装置
技术领域
本公开涉及基板处理方法及基板处理装置。
背景技术
目前,在半导体晶圆等基板的表面上暴露有多种材料的情况下,选择性地处理任意的材料的技术是已知的(参见专利文献1)。
现有技术文献
专利文献
专利文献1:日本特开2013-251379号公报
发明内容
发明要解决的问题
本公开提供能够改善针对多种材料暴露的基板的表面处理的选择性的技术。
用于解决问题的方案
基于本公开的一个方式的基板处理方法包括维持工序、供给工序、表面处理工序和去除工序。在维持工序中,将表面上暴露有金属即第一材料与第一材料以外的材料即第二材料的基板的至少表面所接触的气氛维持在脱氧气氛。在供给工序中,在利用维持工序维持在脱氧气氛的状态下,对基板的表面供给针对第一材料及第二材料中的第一材料选择性地形成膜的膜形成材料。在表面处理工序中,在通过供给工序在第一材料的表面形成了膜的状态下,进行第二材料的表面处理。在去除工序中,在表面处理工序后,从第一材料的表面去除膜。
发明的效果
根据本公开,能够改善针对多种材料暴露的基板的表面处理的选择性。
附图说明
图1是表示实施方式的基板处理系统的构成的图。
图2是表示实施方式的晶圆的构成的图。
图3是表示关于实施方式的成膜处理的实验结果的图。
图4是表示实施方式的基板处理装置的构成的图。
图5是表示实施方式的基板处理系统执行的处理顺序的流程图。
图6是表示实施方式的顶板及喷嘴的配置的例子的图。
图7是表示成膜处理后的晶圆的一个例子的图。
图8是表示蚀刻处理后的晶圆的一个例子的图。
图9是表示膜去除处理后的晶圆的一个例子的图。
图10是表示第一变形例的处理流体供给部的构成的图。
图11是表示第二变形例的脱氧气氛维持部的构成的图。
图12是表示第三变形例的脱氧气氛维持部的构成的图。
图13是表示第四变形例的基板处理装置的构成的图。
附图标记说明
W 晶圆
P 反应产物
M1 第一材料
M2 第二材料
1 基板处理系统
14 基板处理装置
15 控制部
20 腔室
30 基板保持机构
40 脱氧气氛维持部
41 顶板
50 处理流体供给部
51 喷嘴
具体实施方式
下面,参照附图对用于实施基于本公开的基板处理方法及基板处理装置的方式(以下记载为“实施方式”)详细地进行说明。需要说明的是,基于本公开的基板处理方法及基板处理装置并不受该实施方式限定。另外,在处理内容彼此不矛盾的范围内,各实施方式能够适当组合。另外,在以下的各实施方式中对相同的部位附上相同的符号,省略重复说明。
另外,在以下进行参照的各附图中,为了使说明易于理解,有时示出正交坐标系:指定彼此正交的X轴方向、Y轴方向和Z轴方向,并将Z轴正方向设定为垂直向上的方向。
<1.基板处理系统的构成>
首先,对实施方式的基板处理系统的构成进行说明。图1是表示实施方式的基板处理系统的构成的图。另外,图2是表示实施方式的晶圆的构成的图。需要说明的是,以下叙述中,为了明确位置关系,指定彼此正交的X轴、Y轴和Z轴,并将Z轴正方向设定为垂直向上的方向。
如图1所示,基板处理系统1具有搬入/搬出站2和处理站3。搬入/搬出站2与处理站3邻接而设置。
搬入/搬出站2具有载具载置部11和运送部12。载具载置部11可载置能够将多片晶圆W以水平状态收容的多个运送容器(以下记载为“载具C”)。
运送部12邻接于载具载置部11而设置。在运送部12的内部,设置有基板运送装置201和传递部202。
基板运送装置201具有保持晶圆W的晶圆保持机构。另外,基板运送装置201能够向水平方向及垂直方向移动以及以垂直轴为中心进行旋转,使用晶圆保持机构在载具C与传递部202之间进行晶圆W的运送。
处理站3邻接于运送部12而设置。处理站3具有运送部13和多个基板处理装置14。多个基板处理装置14并列设置在运送部13的两侧。
运送部13的内部具有基板运送装置301。基板运送装置301具有保持晶圆W的晶圆保持机构。另外,基板运送装置301能够向水平方向及垂直方向移动以及以垂直轴为中心进行旋转,使用晶圆保持机构在传递部202与基板处理装置14之间进行晶圆W的运送。
基板处理装置14对晶圆W进行湿蚀刻处理。湿蚀刻处理是为了去除例如由干蚀刻等而产生的反应产物而进行的。
基板处理系统1具有控制装置4。控制装置4是控制基板处理系统1的动作的装置。所述控制装置4例如为计算机,具有控制部15和存储部16。存储部16中存储有控制蚀刻处理等各种处理的程序。控制部15通过读出并执行存储部16中所存储的程序来控制基板处理系统1的动作。控制部15例如为CPU(中央处理器,Central Processing Unit)或MPU(微处理器,Micro Processor Unit)等,存储部16例如为ROM(只读存储器,Read Only Memory)或RAM(随机存储器,Random Access Memory)等。
需要说明的是,所述程序可以记录在计算机可读取的存储介质中,由该存储介质安装于控制装置4的存储部16中。作为计算机可读取的存储介质,例如有硬盘(HD)、软盘(FD)、光盘(CD)、磁光盘(MO)、存储卡等。
在如上所述构成的基板处理系统1中,首先,搬入/搬出站2的基板运送装置201从载具C中取出晶圆W,并将取出的晶圆W载置于传递部202。被载置于传递部202的晶圆W通过处理站3的基板运送装置301从传递部202中取出并搬入至基板处理装置14,通过基板处理装置14实施处理。处理后的晶圆W通过基板运送装置301从基板处理装置14中搬出并载置于传递部202后,通过基板运送装置201被送回到载具C中。
<2.关于基板处理>
如图2所示,实施方式的晶圆W为硅晶圆或化合物半导体晶圆等,表面暴露有第一材料M1与第二材料M2。
第一材料M1例如为构成图案中的一个层的材料,由金属系材料构成。在实施方式中,第一材料M1为金、银、铜、铂、钯、铁、镍、锌、钴和钌中的任意一种金属。需要说明的是,第一材料M1也可以为含有金、银、铜、铂、钯、铁、镍、锌、钴和钌中的至少一种的合金。另外,第一材料M1除了包含上述金属系材料以外,还可以包含例如硅等非金属系材料。
第二材料M2例如为构成图案中的一个层的材料,由非金属系材料构成。例如,第二材料M2为层间绝缘膜,由氧化硅膜、热氧化硅膜、氮化硅膜、氮氧化硅膜等硅系材料构成。需要说明的是,第二材料M2未必需要由非金属系材料构成。例如,第二材料M2也可以为金、银、铜、铂、钯、铁、镍、锌、钴和钌以外的金属。
在所述晶圆W的表面附着有例如由干蚀刻等而产生的反应产物P。实施方式的基板处理装置14通过湿蚀刻处理(以下仅记载为“蚀刻处理”)去除反应产物P。
如果将蚀刻反应产物P的蚀刻液供给至晶圆W的表面,则会担心第一材料M1或第二材料M2被蚀刻。特别是在第一材料M1包含铜或钴而构成的情况下,铜或钴容易腐蚀,因此会担心第一材料M1被蚀刻液去除。
因此,在实施方式的基板处理装置14中,在进行去除反应产物P的蚀刻处理之前,对晶圆W供给在第一材料M1的表面选择性地形成膜的膜形成材料,在用膜保护第一材料M1的表面的状态下进行蚀刻处理。由此,能够抑制第一材料M1被蚀刻液去除。
在实施方式中,作为膜形成材料,可使用含有硫原子的材料。例如,膜形成材料为硫醇(R1-SH)、二硫醚(R2-S-S-R3)、硫氰酸盐(R4-SCN)等。需要说明的是,R1~R4分别独立地表示取代或未取代的烷基。经取代的烷基例如为被卤素取代的烷基。
对于这些膜形成材料,通过在含有金、银、铜、铂、钯、铁、镍、锌、钴和钌中的至少一种的第一材料M1的表面键合硫原子,能够对第一材料M1的表面选择性地形成膜。此时,在第一材料M1的表面所形成的膜为单层膜。此处,所谓单层膜是在对象物的表面仅吸附了一层分子而成的膜,例如,可通过吸附具有仅能在分子的一个部位吸附的官能团的分子或者一个分子解离后仅解离的部分的一者或二者而形成。需要说明的是,由膜形成材料形成的膜也可以为多层膜。多层膜是通过使分子层叠吸附而形成的膜,例如为具有能在分子的多个部位吸附的官能团的分子。
然而,在第一材料M1的表面形成有自然氧化膜等氧化膜的情况下,会担心不能利用膜形成材料适当地进行成膜。因此,在实施方式的基板处理装置14中,在将接触晶圆W的表面的气氛维持在脱氧气氛的状态下,对晶圆W的表面供给膜形成材料。由此,能够在第一材料M1的表面合适地形成膜。在本说明书中,所谓“脱氧气氛”是指氧浓度为50ppm以下的气氛。更优选“脱氧气氛”是氧浓度为10ppm以下的气氛。
另外,在实施方式的基板处理装置14中,在将膜形成材料或晶圆W升温至高于室温(例如21℃)的温度的状态下进行对晶圆W的表面供给膜形成材料的处理(以下记载为“成膜处理”)。由此,能够缩短成膜处理所需的时间。在本说明书中,“高于室温的温度”为25℃以上的温度。更优选“高于室温的温度”为36℃以上的温度。
将对于这些点的实验结果示于图3中。图3是表示关于实施方式的成膜处理的实验结果的图。本申请发明人通过对表面暴露有钴的硅晶圆(以下记载为“样品”)供给作为膜形成材料的ODT(十八烷硫醇),进行了在钴的表面形成膜的实验。ODT以用IPA(异丙醇)稀释至0.01mol/L的状态供给至样品。ODT的供给时间为1分钟。
另外,本申请发明人在对样品供给ODT之前,为了去除在钴的表面所形成的自然氧化膜,向样品的表面供给蚀刻液(HCl),对钴的表面(自然氧化膜)进行蚀刻约2nm的处理。
在可调节氧浓度的手套箱内进行向样品的表面供给蚀刻液的处理与向样品的表面供给ODT的处理。本申请发明人通过向手套箱内供给氮气而将手套箱内的氧浓度调节至200ppm或10ppm,然后进行上述两种处理。另外,本申请发明人在室温(21℃)即未进行升温的状态下与升温至36℃的状态下进行了上述两种处理。在ODT供给前,钴表面的接触角为40°。
如图3所示,在使氧浓度为200ppm时,ODT供给后的钴表面的接触角为95°,与ODT完全吸附在表面时的接触角即109°相比非常小。相对于此,在使氧浓度为10ppm时,ODT供给后的钴表面的接触角在室温下处理时为102°、在36℃下处理时为109°,与ODT供给前相比大大增加。由该结果可知,通过在脱氧气氛下进行ODT的供给,可对钴的表面适当地且短时间内形成ODT的膜。需要说明的是,本申请发明人在氧浓度为50ppm的条件下进行了同样的实验,确认可得到与氧浓度为10ppm时同样良好的结果。
另外,本申请发明人在ODT供给后进行了对样品供给冲洗液的处理。作为冲洗液,可使用DIW(去离子水)及IPA。如图3所示,在氧浓度为10ppm且室温下进行ODT的供给时,冲洗液供给后的钴表面的接触角为90°。相对于此,在氧浓度为10ppm且36℃下进行ODT的供给时,冲洗液供给后的钴表面的接触角为109°,与冲洗前的接触角相同。由该结果可知,通过在36℃下进行ODT的供给,与在室温下进行的情形相比,可对钴的表面合适地形成ODT的膜。需要说明的是,本申请发明人在处理温度为25℃的条件下进行了同样的实验,确认可得到与处理温度为36℃时同样良好的结果。
另外,本申请发明人通过对冲洗液供给后的样品供给还原剂而进行了去除在钴表面所形成的膜的实验。作为还原剂,可使用DTT(二硫苏糖醇)。此结果如图3所示,钴表面的接触角在室温下进行ODT的供给时为43°,在36℃下进行ODT的供给时下降至46°。由该结果可知,通过使用DTT,可良好地去除在钴表面所形成的膜。
由以上实验结果可看出,优选在脱氧气氛下、经升温的环境下进行成膜处理。另外,优选使用DTT之类的还原剂来去除在第一材料M1的表面所形成的膜。需要说明的是,作为利用还原剂去除膜的机理,例如,可认为通过在第一材料M1的表面所形成的膜与还原剂之间发生交换反应,可从第一材料M1的表面去除膜。作为还原剂,除了DTT以外,还有例如:2-巯基乙醇、2-巯基乙胺盐酸盐、TCEP-HCl(Tris(2-carboxyethyl)phosphineHydrochloride,三(2-羧乙基)膦盐酸盐)等。
<3.基板处理装置的构成>
下面,参照图4对基板处理装置14的构成例进行说明。图4是表示实施方式的基板处理装置14的构成的图。
如图4所示,基板处理装置14具有腔室20、基板保持机构30、脱氧气氛维持部40、处理流体供给部50、下部供给部60和回收杯70。
腔室20收容基板保持机构30、脱氧气氛维持部40、处理流体供给部50、下部供给部60及回收杯70。在腔室20的顶棚部,设置有FFU(Fan Filter Unit,风机过滤单元)21。FFU21在腔室20内形成降流。具体而言,FFU21经由阀22连接于降流气体供给源23。FFU21将由降流气体供给源23供给的降流气体(例如氮气或干空气)排出至腔室20内。
基板保持机构30具有主体部31和保持构件32,所述主体部31可插通后述的下部供给部60的底板61,所述保持构件32设置于主体部31、且以从底板61隔开的状态保持晶圆W。保持构件32具有支撑晶圆W的背面的多个支撑销321,通过使所述支撑销321支撑晶圆W的背面而水平地保持晶圆W。需要说明的是,晶圆W以形成有第一材料M1或第二材料M2的面向上的状态被支撑销321支撑。
另外,基板保持机构30具有使主体部31绕垂直轴旋转的驱动部33。基板保持机构30通过使用驱动部33使主体部31旋转,能够使保持构件32所保持的晶圆W绕垂直轴旋转。
需要说明的是,基板保持机构30并不限定于如上所述从下方支撑晶圆W的类型,也可以是从侧方保持晶圆W的类型,还可以是如真空吸盘那样从下方吸附保持晶圆W的类型。
脱氧气氛维持部40具有顶板41、水平地支撑顶板41的臂42和使臂42旋转及升降的驱动部43。
顶板41以覆盖晶圆W的表面的大小而形成。在顶板41的中央部,设置有插通处理流体供给部50所具有的喷嘴51的开口部411。膜形成材料等的处理流体从所述开口部411向晶圆W的中央部供给。另外,顶板41具有加热部412。
所述脱氧气氛维持部40通过使用驱动部43使臂42升降,能够变更顶板41与晶圆W的距离。具体而言,在靠近晶圆W的表面且覆盖晶圆W的上方的处理位置、与从晶圆W的表面隔离且开放晶圆W的上方的退避位置之间,脱氧气氛维持部40使顶板41移动。
处理流体供给部50具有喷嘴51、水平地支撑喷嘴51的臂52、使臂52旋转及升降的驱动部53。
喷嘴51经由流量调节器111连接于氧化膜去除液供给源112。由氧化膜去除液供给源112供给的氧化膜去除液是能去除在第一材料M1上所形成的自然氧化膜等氧化膜的蚀刻液。作为所述蚀刻液,例如可使用稀盐酸等。
另外,喷嘴51经由流量调节器121连接于冲洗液供给源122。由冲洗液供给源122供给的冲洗液例如为DIW等。
另外,喷嘴51经由流量调节器131及加热部133连接于成膜处理液供给源132。由成膜处理液供给源132供给的成膜处理液例如为将膜形成材料用IPA等有机溶剂稀释而成的溶液。作为膜形成材料,可使用例如:硫醇、二硫醚、硫氰酸盐等。由成膜处理液供给源132供给的成膜处理液在通过加热部133加热至所需温度、具体为25℃以上的温度的状态下从喷嘴51排出。
在氧化膜去除液、冲洗液、有机溶剂及成膜处理液中,有可能会存在溶解氧。此处,从抑制第一材料M1的表面的氧化的观点考虑,优选氧化膜去除液、冲洗液、有机溶剂及成膜处理液中的氧浓度低。因此,在实施方式的基板处理装置14中,使用经脱氧的氧化膜去除液、冲洗液、有机溶剂及成膜处理液。由此,能够更可靠地抑制第一材料M1的表面的氧化。需要说明的是,基板处理装置14也可以具有脱氧部:例如通过使用有氮气等非活性气体的鼓泡,来降低氧化膜去除液、冲洗液、有机溶剂及成膜处理液中的氧浓度。
另外,喷嘴51经由流量调节器141连接于蚀刻液供给源142。由蚀刻液供给源142供给的蚀刻液是能去除反应产物P(参照图2)的蚀刻液。作为所述蚀刻液,例如,可使用氟化氢溶液、氟化铵溶液或氟化氢铵溶液等氟系蚀刻液。
另外,喷嘴51经由流量调节器151连接于还原剂液供给源152。如上所述,由还原剂液供给源152供给的还原剂是能去除在第一材料M1的表面所形成的膜的还原剂。作为所述还原剂,可使用DTT、2-巯基乙醇、2-巯基乙胺盐酸盐、TCEP-HCl等。需要说明的是,流量调节器111、121、131、141、151包含开关阀或流量控制阀、流量计等而构成。
此处,示出了基板处理装置14具有单一的喷嘴51时的例子,但基板处理装置14也可以是具有多个喷嘴、从分开的喷嘴排出氧化膜去除液、成膜处理液等的构成。
下部供给部60具有插通于基板保持机构30的主体部31而配置于晶圆W的下方的底板61和使底板61升降的驱动部62。
底板61是以覆盖晶圆W的背面的大小而形成的构件。在底板61的内部形成有上下贯通底板61的流路611。加热流体供给源602经由流量调节器601连接于所述流路611。由加热流体供给源602供给的加热流体用于对晶圆W进行加热。作为加热流体,例如可使用氮气等非活性气体。需要说明的是,加热流体也可以是经加热的液体。
下部供给部60通过使由加热流体供给源602供给的加热流体由底板61的流路611排出而供给至晶圆W的背面。由此,能够将晶圆W加热至所需温度、具体为25℃以上的温度。
回收杯70以包围基板保持机构30的方式而配置,通过基板保持机构30的主体部31及保持构件32的旋转来收集从晶圆W飞散的处理液。在回收杯70的底部形成有排液口71,被回收杯70收集的处理液从所述排液口71向基板处理装置14的外部排出。另外,在回收杯70的底部形成有排气口72,所述排气口72将由FFU21供给的降流气体向基板处理装置14的外部排出。
<4.基板处理装置的具体动作>
下面,参照图5~图9对基板处理装置14的具体动作进行说明。图5是表示实施方式的基板处理系统1执行的处理顺序的流程图。图6是表示实施方式的顶板41及喷嘴51的配置的例子的图。图7是表示成膜处理后的晶圆W的一个例子的图,图8是表示蚀刻处理后的晶圆W的一个例子的图,图9是表示膜去除处理后的晶圆W的一个例子的图。基板处理系统1所具有的各装置依照控制部15的控制来执行图5所示的各处理顺序。
如图5所示,在基板处理装置14中,首先,进行搬入处理(步骤S101)。在搬入处理中,通过基板运送装置301(参照图1)搬入腔室20内的晶圆W被基板保持机构30保持。晶圆W以图2所示的图案形成面朝上方的状态被保持构件32保持。其后,通过驱动部33使主体部31及保持构件32进行旋转。由此,晶圆W与保持构件32一起进行旋转。
接下来,在基板处理装置14中,进行氧化膜去除处理(步骤S102)。在氧化膜去除处理中,首先,脱氧气氛维持部40的顶板41被配置于处理位置。另外,处理流体供给部50的喷嘴51插通于顶板41的开口部411。然后,通过使流量调节器111的阀开放规定时间,从喷嘴51向晶圆W的表面供给氧化膜去除液。被供给至晶圆W的表面的氧化膜去除液通过晶圆W的旋转而扩散至晶圆W的整个表面。由此,如图6所示,成为晶圆W与顶板41之间的空间被氧化膜去除液充满的状态。通过向晶圆W的表面供给氧化膜去除液,能够去除在第一材料M1的表面所形成的氧化膜。由此,在后段的成膜处理中,能够在第一材料M1的表面合适地形成膜。
接下来,在基板处理装置14中,进行冲洗处理(步骤S103)。在冲洗处理中,通过使流量调节器121的阀开放规定时间,从喷嘴51向晶圆W的表面供给冲洗液。被供给至晶圆W的表面的冲洗液通过晶圆W的旋转而扩散至晶圆W的整个表面。由此,晶圆W上的氧化膜去除液通过冲洗液从晶圆W上被去除,成为晶圆W与顶板41之间的空间被冲洗液充满的状态。
接下来,在基板处理装置14中,进行成膜处理(步骤S104)。在成膜处理中,通过使流量调节器131的阀开放规定时间,从喷嘴51向晶圆W的表面供给经加热的成膜处理液。被供给至晶圆W的表面的成膜处理液通过晶圆W的旋转而扩散至晶圆W的整个表面。由此,成为晶圆W与顶板41之间的空间被成膜处理液充满的状态。而且,通过向晶圆W的表面供给成膜处理液,可在第一材料M1的表面形成膜F(参照图7)。其后,脱氧气氛维持部40的顶板41移动至退避位置,所述退避位置为使其从晶圆W的上方退避的位置。
如此,实施方式的基板处理装置14通过用氧化膜去除液、冲洗液或成膜处理液充满晶圆W与顶板41之间的空间,直至完成成膜处理为止,可将接触晶圆W的表面的气氛维持在脱氧气氛。由此,可抑制在第一材料M1的表面形成氧化膜,因此,能够在成膜处理中在第一材料M1的表面合适地形成膜F。
另外,由于成膜处理液以被加热部133加热的状态供给至晶圆W,因此,与未对成膜处理液进行加热时相比,能够在第一材料M1的表面合适地且短时间内形成膜F。另外,基板处理装置14能够使用设置于顶板41的加热部412对晶圆W上的成膜处理液进行加热。另外,基板处理装置14还可以通过由下部供给部60供给加热流体来对晶圆W进行加热。由此,能够将成膜处理中的处理温度维持在所需温度,因此,能够针对第一材料M1更合适地进行膜F的形成。需要说明的是,此处,对顶板41具有加热部412时的例子进行了说明,但只要能够调节成膜处理中的处理温度即可,也可以是具有温度调节部的构成,所述温度调节部除了具有加热功能以外,还具有冷却功能。
另外,在成膜处理后,通过使脱氧气氛维持部40的顶板41移动至退避位置,所述退避位置为使其从晶圆W的上方退避的位置,能够抑制残留在顶板41的下表面的液体落下而附着在晶圆W的表面。需要说明的是,并不限于此,基板处理装置14例如也可以是具有承接从顶板41落下的液体的托盘和使托盘移动的驱动部的构成。此时,在使顶板41上升后,使托盘在顶板41与晶圆W之间移动。由此,能够抑制从顶板41落下的液体附着在晶圆W的表面。
在成膜处理中,基板处理装置14通过由处理流体供给部50持续供给成膜处理液,可以将滞留在顶板41与晶圆W的表面之间的空间的成膜处理液排出。如果液体长时间滞留在顶板41与晶圆W的表面之间的空间,则会担心氧溶入滞留的液体中,溶入的氧通过扩散等到达第一材料M1的表面而使第一材料M1的表面氧化。相对于此,通过持续供给成膜处理液,将滞留在晶圆W的表面的液体排出,能够抑制氧到达第一材料M1的表面。
基板处理装置14在冲洗处理后且成膜处理前,可以进行将晶圆W上的冲洗液置换成与成膜处理液的亲和性高的IPA等有机溶剂的置换处理。此时,只要喷嘴51经由流量调节器连接于有机溶剂供给源即可。另外,基板处理装置14在成膜处理中可以从下部供给部60对晶圆W的背面供给经加热的冲洗液。由此,能够抑制成膜处理液进入晶圆W背面。
接下来,在基板处理装置14中,进行冲洗处理(步骤S105)。在冲洗处理中,通过使流量调节器121的阀开放规定时间,从喷嘴51向晶圆W的表面供给冲洗液。被供给至晶圆W的表面的冲洗液通过晶圆W的旋转而扩散至晶圆W的整个表面。由此,通过冲洗液从晶圆W上去除晶圆W上的成膜处理液。
接下来,在基板处理装置14中,进行蚀刻处理(步骤S106)。在蚀刻处理中,通过使流量调节器141的阀开放规定时间,从喷嘴51向晶圆W的表面供给蚀刻液。被供给至晶圆W的表面的蚀刻液通过晶圆W的旋转而扩散至晶圆W的整个表面。由此,可从晶圆W的表面去除反应产物P(参照图8)。
在所述蚀刻处理中,第一材料M1的表面被膜F保护着。因此,根据基板处理装置14,能够抑制第一材料M1被蚀刻液削减。
接下来,在基板处理装置14中,进行冲洗处理(步骤S107)。在冲洗处理中,通过使流量调节器121的阀开放规定时间,从喷嘴51向晶圆W的表面供给冲洗液。被供给至晶圆W的表面的冲洗液通过晶圆W的旋转而扩散至晶圆W的整个表面。由此,通过冲洗液从晶圆W上去除晶圆W上的蚀刻液。
接下来,在基板处理装置14中,进行膜去除处理(步骤S108)。在膜去除处理中,通过使流量调节器151的阀开放规定时间,从喷嘴51向晶圆W的表面供给还原剂。被供给至晶圆W的表面的还原剂通过晶圆W的旋转而扩散至晶圆W的整个表面。由此,可去除在第一材料M1的表面所形成的膜F(参照图9)。
如上所述,针对第一材料M1及第二材料M2中的第一材料M1选择性地形成膜F。换言之,在第一材料M1以外的材料、例如第二材料M2上不会形成膜F。因而,根据基板处理装置14,与在晶圆W的整个表面形成膜的情况相比,更容易进行膜去除处理。
接下来,在基板处理装置14中,进行残渣去除处理(步骤S109)。残渣去除处理是为了去除前段的膜去除处理中未去除干净的膜F而进行的。在所述残渣去除处理中,例如,通过使流量调节器111的阀开放规定时间,向晶圆W的表面供给氧化膜去除处理中所使用的蚀刻液。被供给至晶圆W的表面的蚀刻液通过晶圆W的旋转而扩散至晶圆W的整个表面。由此,能够通过蚀刻液去除残留在第一材料M1的表面的膜F。需要说明的是,也可以省略所述残渣去除处理。
接下来,在基板处理装置14中,进行冲洗处理(步骤S110)。在冲洗处理中,通过使流量调节器121的阀开放规定时间,从喷嘴51向晶圆W的表面供给冲洗液。被供给至晶圆W的表面的冲洗液通过晶圆W的旋转而扩散至晶圆W的整个表面。由此,通过冲洗液从晶圆W上去除晶圆W上的蚀刻液。
接下来,在基板处理装置14中,进行干燥处理(步骤S111)。在干燥处理中,例如,通过增加晶圆W的转速来甩掉残留在晶圆W的表面的冲洗液,从而使晶圆W干燥。
接下来,在基板处理装置14中,进行搬出处理(步骤S112)。在搬出处理中,通过基板运送装置301(参照图1)从基板处理装置14的腔室20中取出晶圆W。其后,晶圆W经由传递部202及基板运送装置201被收容在载置于载具载置部11的载具C中。搬出处理结束后,对1片晶圆W的处理结束。
<5.处理流体供给部的变形例>
在上述的实施方式中,将膜形成材料以液体状态供给至晶圆W。并不限于此,可以将膜形成材料以气体状态供给至晶圆W。参照图10对此情形的变形例进行说明。图10是表示第一变形例的处理流体供给部的构成的图。
如图10所示,第一变形例的基板处理装置14A具有处理流体供给部50A。处理流体供给部50A的喷嘴51A上连接有气化器80。气化器80经由流量调节器171连接于膜形成材料供给源172。另外,气化器80经由流量调节器181连接于非活性气体供给源182。
气化器80使由膜形成材料供给源172供给的膜形成材料(例如100%硫醇液)从液体状态变成气体状态(蒸气)。另外,气化器80使膜形成材料的蒸气与由非活性气体供给源182供给的非活性气体(例如氮气)混合后供给至喷嘴51A。
另外,处理流体供给部50A的喷嘴51A上连接有加热部85。加热部85经由流量调节器183连接于非活性气体供给源182。加热部85将由非活性气体供给源182供给的非活性气体加热至所需温度、具体为25℃以上的温度后供给至连接气化器80与喷嘴51A的配管86。由此,能够将从喷嘴51A供给的膜形成材料的蒸气的温度加热至所需温度。需要说明的是,也可以在连接气化器80与喷嘴51A的配管86及连接加热部85与配管86的配管中设置加热部。由此,容易将膜形成材料的蒸气的温度维持在所需温度。
对于第一变形例的基板处理装置14A而言,在成膜处理中,通过使流量调节器171、181、183开放规定时间,从喷嘴51A向晶圆W的表面供给膜形成材料的蒸气。由此,通过使晶圆W与顶板41之间的空间被膜形成材料的蒸气充满,能够在脱氧气氛下进行对第一材料M1的成膜。另外,通过设置于顶板41的加热部412,基板处理装置14A能够将供给至晶圆W的膜形成材料的蒸气的温度维持在所需温度。
如此,基板处理装置14A可以在成膜处理中将气体状态的膜形成材料供给至晶圆W。
需要说明的是,在膜形成材料的沸点低的情况下,基板处理装置14A未必需要具有气化器80。另外,此处,示出了使膜形成材料的100%蒸气与作为载气的非活性气体混合时的例子,但基板处理装置14A也可以将膜形成材料的100%蒸气从喷嘴51A供给至晶圆W的表面而不与非活性气体混合。
<6.顶板的变形例(其一)>
图11是表示第二变形例的脱氧气氛维持部的构成的图。如图11所示,第二变形例的基板处理装置14B具有脱氧气氛维持部40B。脱氧气氛维持部40B具有顶板41B,在顶板41B的中央部,设置有插通处理流体供给部50所具有的喷嘴51的开口部411B。
第二变形例的顶板41B具有从设置于中央部的开口部411B向外周部向下倾斜的倾斜面。另外,顶板41B的外周部在晶圆W的径向上位于比保持构件32靠外侧的位置。
在上述的实施方式中,在成膜处理等中,使顶板41的背面接触成膜处理液等液体。此时,会担心液体残留在顶板41的背面。担心因残留在顶板41的背面的液体落在晶圆W的表面上而对晶圆W带来不良影响。
因此,在第二变形例的基板处理装置14B中,具备具有从中央部向外周部向下倾斜的下表面的顶板41B。由此,即使液体残留在顶板41B的下表面,残留的液体也会沿着顶板41B的下表面移动至顶板41B的外周部,因此,能够抑制液体落在晶圆W的表面上。另外,由于顶板41B的外周部在晶圆W的径向上位于比保持构件32靠外侧的位置,因此,也不会担心到达顶板41B的外周部的液体落在晶圆W上。
如此,根据第二变形例的基板处理装置14B,在使顶板41B的下表面接触成膜处理液等液体的情况下,能够抑制残留在顶板41B的下表面的液体落在晶圆W的表面上。
需要说明的是,顶板41B的下表面例如可以由PTFE(聚四氟乙烯)、PFA(全氟烷氧基烷烃)等具有防水性的构件形成。或者,顶板41B的下表面也可以用PTFE、PFA等具有防水性的构件进行涂覆。由此,能够使液体不易残留在顶板41B的下表面。另外,能够使残留在顶板41B的下表面的液体高效地移动至顶板41B的外周部。因而,能够更可靠地抑制残留在顶板41B的下表面的液体落在晶圆W的表面上。
<7.顶板的变形例(其二)>
图12是表示第三变形例的脱氧气氛维持部的构成的图。如图12所示,第三变形例的基板处理装置14C具有脱氧气氛维持部40C。脱氧气氛维持部40C具有顶板41C。在顶板41C的中央部,设置有插通处理流体供给部50所具有的喷嘴51的开口部411C。
在顶板41C的内部形成有内部空间415C和连通于内部空间415C的多个排出口416C,在内部空间415C中经由流量调节器401连接有非活性气体供给源402。
第三变形例的顶板41C如上所述来构成,将由非活性气体供给源402供给的氮气等非活性气体经由内部空间415C由多个排出口416C供给至晶圆W的整个表面。
如此,在第三变形例的基板处理装置14C中,由与晶圆W的表面对应配置的顶板41C的大致整个下表面对晶圆W的表面供给非活性气体。由此,即使使顶板41C不与液体接触,也能容易将接触晶圆W的表面的气氛维持在脱氧气氛。
<8.UV照射部>
图13是表示第四变形例的基板处理装置的构成的图。如图13所示,第四变形例的基板处理装置14D具有UV照射部90。UV照射部90连接于驱动部92,能够通过驱动部92而在与晶圆W的表面对置的处理位置和晶圆W外方的退避位置之间移动。所述UV照射部90在处理位置中对晶圆W的大致整个表面照射紫外线。
例如,第四变形例的基板处理装置14D在搬入处理(步骤S101)后且氧化膜去除处理(步骤S102)前,可以进行使用UV照射部90的杂质去除处理。在杂质去除处理中,使UV照射部90移动至处理位置后,由UV照射部90对晶圆W的表面照射紫外线。由此,通过去除附着于晶圆W的表面的有机物等杂质,在后段的成膜处理中,能够在第一材料M1的表面合适地形成膜。
另外,基板处理装置14D可以使用UV照射部90进行膜去除处理(步骤S108)。此时,在冲洗处理(步骤S107)后,使UV照射部90移动至处理位置,由UV照射部90对晶圆W的表面照射紫外线。由此,能够去除在第一材料M1的表面所形成的膜F。
<9.其它变形例>
在上述的实施方式中,使用脱氧气氛维持部40、40B、40C局部地形成脱氧气氛。并不限于此,基板处理装置例如可以通过由FFU21供给氮气等非活性气体而在整个腔室20内形成脱氧气氛。
在上述的实施方式中,作为表面处理的一个例子,列举去除因干蚀刻等而产生的反应产物的处理进行了说明,但表面处理并不限定于所述处理。例如,在光刻领域中,表面处理可以是在基板的表面形成阻挡金属等的膜的镀覆。
在光刻领域中,例如,对于表面暴露有铜布线等第一材料、层间绝缘膜等第二材料的基板,有时想仅在第二材料的表面形成膜。此时,在现有技术中,首先,通过镀覆对第一材料及第二材料双方的表面形成膜,其后,通过干蚀刻等去除在第一材料的表面所形成的膜。然而,如果是该方法,则会担心蚀刻至在第二材料的表面所形成的膜或第一材料。
相对于此,在基于本申请的基板处理方法中,在进行上述表面处理之前,预先向基板的表面供给膜形成材料,对金属表面即第一材料的表面选择性地形成膜。由此,能够抑制表面处理中在第一材料的表面形成多余的膜。因而,与现有技术相比,能够仅在第二材料上形成所需要的膜而不会损伤在第二材料的表面所形成的膜或第一材料。进而,根据基于本申请的基板处理方法,通过其后对基板的表面供给还原剂或照射紫外线,能够去除在第一材料的表面所形成的膜。
需要说明的是,通过在脱氧气氛下进行氧化膜去除处理及镀覆,能够进行均匀的镀覆。如此,在镀覆中,在脱氧气氛下进行氧化膜去除处理及成膜处理也是有效的。因而,基于本申请的基板处理方法中,通过膜形成材料的供给而在第一材料的表面形成的膜也可以是通过镀覆而形成的金属膜。具体而言,可以是包含金、铂、银、铜、锌、镉、锡、镍、铬、钴中的任一种的金属膜。
另外,表面处理也可以是自组装光刻(Directed Self-Assembly:DSA)中的表面处理。例如,通过基于本申请对基板供给膜形成材料,对第一材料选择性地形成膜。此处,通过供给除了含有硫原子以外例如还含有PMMA(聚甲基丙烯酸甲酯,Polymethylmethacrylate)等聚合物的材料作为膜形成材料,能够对在第一材料的表面所形成的膜附加PMMA等聚合物的功能。由此,能够将第一材料的表面改性成具有所需功能的表面。其后,作为表面处理,通过向基板的表面供给BCP(嵌段共聚物:Block Copolymer)而在基板的表面形成图案后,去除在第一材料的表面所形成的膜。
在上述的实施方式中,对第一材料为包含金、银、铜、铂、钯、铁、镍、锌、钴和钌中的至少一种的材料时的例子进行了说明。并不限于此,第一材料例如也可以为钨。在钨的表面未附着硫原子。因此,在第一材料包含钨的材料时,作为膜形成材料,优选将具有Si-N键(硅原子及氮原子的直接键合)的材料供给至基板的表面。例如,在使用TMSDMA(三甲基甲硅烷基二甲胺)作为膜形成材料时,通过使二甲胺(-N(CH3)2)与第一材料中所含的钨键合,能够在第一材料的表面形成膜。
如上所述,实施方式的基板处理方法包括维持工序、供给工序(作为一个例子,为成膜处理)、表面处理工序(作为一个例子,为蚀刻处理)和去除工序(作为一个例子,为膜去除处理)。在维持工序中,将表面上暴露有金属即第一材料(作为一个例子,为第一材料M1)与第一材料以外的材料即第二材料(作为一个例子,为第二材料M2)的基板(作为一个例子,为晶圆W)的至少表面所接触的气氛维持在脱氧气氛。在供给工序中,在通过维持工序维持在脱氧气氛的状态下,对基板的表面供给针对第一材料及第二材料中的第一材料选择性地形成膜的膜形成材料。在表面处理工序中,在通过供给工序在第一材料的表面形成膜的状态下,进行基板的表面处理。在去除工序中,在表面处理工序后,从第一材料的表面去除膜。
因而,根据实施方式的基板处理方法,在第一材料的表面形成了膜的状态下进行第二材料的表面处理,因此,能够改善针对多种材料暴露的基板的表面处理的选择性。
另外,第一材料中的金属可以包含金、银、铜、铂、钯、铁、镍、锌、钴和钌中的至少一种。此时,膜形成材料可以含有硫原子。由此,能够在包含金、银、铜、铂、钯、铁、镍、锌、钴和钌中的至少一种的第一材料的表面合适地形成膜。
另外,膜形成材料也可以是含有硫原子、且经脱氧的液体或气体。通过使用经脱氧的液体或气体作为膜形成材料,可抑制第一材料的表面的氧化,因此,能够在第一材料的表面合适地形成膜。
另外,实施方式的基板处理方法可以包括氧化膜去除工序(作为一个例子,为氧化膜去除处理)。在氧化膜去除工序中,在供给工序前,在通过维持工序维持在脱氧气氛的状态下,从第一材料的表面去除氧化膜。如此,通过预先从第一材料的表面去除氧化膜,在后段的供给工序中,能够在第一材料的表面合适地形成膜。
氧化膜去除工序可以包括药液供给工序和冲洗工序。在药液供给工序中,供给经脱氧的药液(作为一个例子,为经脱氧的氧化膜去除液)。在冲洗工序中,供给经脱氧的冲洗液(作为一个例子,为经脱氧的DIW)。由此,能够更可靠地抑制第一材料的表面的氧化。
另外,实施方式的基板处理方法可以包括有机物去除工序。在有机物去除工序中,在氧化膜去除工序前,从第一材料的表面去除有机物。由此,通过去除附着于基板的表面的有机物等杂质,在后段的供给工序中,能够在第一材料的表面合适地形成膜。
另外,去除工序可以使用还原剂从第一材料的表面去除膜。由此,能够从第一材料的表面合适地去除膜。
另外,去除工序也可以通过对膜照射紫外线而从第一材料的表面去除膜。由此,能够从第一材料的表面合适地去除膜。
另外,实施方式的基板处理方法可以包括残渣去除工序。在残渣去除工序中,在去除工序后,通过向第一材料的表面供给蚀刻液来去除残留在第一材料的表面的膜。由此,能够去除残留在第一材料的表面的膜。
另外,可以在对基板的表面及膜形成材料的至少一者进行加热的状态下进行供给工序。通过在对基板的表面及膜形成材料的至少一者进行加热的状态下进行供给工序,能够缩短供给工序所需的时间。
另外,金属可以包含钨。此时,膜形成材料可以是含有具有Si-N键的分子的液体或气体。由此,能够在包含钨的第一材料的表面合适地形成膜。
另外,在第一材料的表面所形成的膜可以是通过镀覆而形成的金属膜。如此,通过在脱氧气氛下进行镀覆,能够进行均匀的镀覆。
另外,实施方式的基板处理装置具有维持部(作为一个例子,为脱氧气氛维持部40)、供给部(作为一个例子,为处理流体供给部50)、表面处理部(作为一个例子,为处理流体供给部50)和去除部(作为一个例子,为处理流体供给部50)。维持部将表面上暴露有金属即第一材料(作为一个例子,为第一材料M1)与第一材料以外的材料即第二材料(作为一个例子,为第二材料M2)的基板(作为一个例子,为晶圆W)的至少表面所接触的气氛维持在脱氧气氛。供给部在利用维持部维持在脱氧气氛的状态下,对基板的表面供给针对第一材料及第二材料中的第一材料选择性地形成膜的膜形成材料。表面处理部在通过供给部在第一材料的表面形成有膜的状态下,进行第二材料的表面处理。去除部在表面处理后,从第一材料的表面去除膜。
因而,根据实施方式的基板处理装置,在第一材料的表面形成有膜的状态下进行第二材料的表面处理,因此,能够改善针对多种材料暴露的基板的表面处理的选择性。
另外,维持部可以具有顶板(作为一个例子,为顶板41、41B、41C)和驱动部43。顶板以覆盖基板的表面的大小而形成。驱动部使顶板移动至靠近基板的表面且与基板的表面对置的处理位置。此时,供给部可以对配置于处理位置的顶板与基板的表面之间的空间供给膜形成材料。由此,能够将包含基板的表面的局部空间高效地维持在脱氧气氛。
另外,顶板可以具有温度调节部。由此,能够将成膜处理中的处理温度维持在所需温度,因此,能够针对第一材料更合适地进行膜的形成。
另外,供给部可以供给液体状的膜形成材料。此时,处理位置可以是顶板的下表面接触由供给部供给的液体状的膜形成材料的位置。由此,可使第一材料的表面与外部空气隔绝,因此,能够抑制在第一材料上形成氧化膜。因而,在成膜处理中能够在第一材料的表面合适地形成膜。
另外,供给部通过持续供给液体状的膜形成材料(作为一个例子,为成膜处理液),可以将滞留在顶板与基板的表面之间的空间的膜形成材料排出。如果液体长时间滞留在顶板与基板的表面之间的空间,则会担心氧溶入滞留的液体中,溶入的氧通过扩散等到达第一材料的表面而使第一材料的表面氧化。相对于此,通过持续供给液体状的膜形成材料,将滞留在基板的表面的液体排出,能够抑制氧到达第一材料的表面。
另外,驱动部43在完成液体状的膜形成材料的供给后,可以使顶板移动至退避位置,所述退避位置为使其从基板的上方退避的位置。由此,能够抑制残留在顶板的下表面的液体落下而附着在基板的表面。
另外,顶板可以具有从中央部向外周部向下倾斜的下表面。由此,即使液体残留在顶板的下表面,残留的液体也会沿着顶板的下表面向顶板的外周部移动,因此,能够抑制装置的大型化,并且抑制液体落在基板的表面上。
另外,顶板可以具有排出非活性气体(作为一个例子,为氮气)的多个排出口(作为一个例子,为排出口416C)。由此,即使使顶板不与液体接触,也能容易将与基板的表面接触的气氛维持在脱氧气氛。
应该认为这次公开的实施方式在所有方面是示例而并非限制性解释。实际上,上述的实施方式可以以各种方式来实现。另外,在不脱离所附权利要求书及其主旨的情况下,可以以各种方式省略、替换、变更上述实施方式。

Claims (17)

1.一种基板处理方法,其包括如下工序:
维持工序,将表面上暴露有金属即第一材料与所述第一材料以外的材料即第二材料的基板的至少所述表面所接触的气氛维持在脱氧气氛;
供给工序,在利用所述维持工序维持在所述脱氧气氛的状态下,对所述基板的表面供给针对所述第一材料及所述第二材料中的所述第一材料选择性地形成膜的膜形成材料;
表面处理工序,在通过所述供给工序在所述第一材料的表面形成了所述膜的状态下,进行所述第二材料的表面处理;和,
去除工序,在所述表面处理工序后,从所述第一材料的表面去除所述膜,
所述金属包含钨,所述膜形成材料为包含具有Si-N键的分子的液体或气体。
2.根据权利要求1所述的基板处理方法,其中,所述金属包含金、银、铜、铂、钯、铁、镍、锌、钴和钌中的至少一种,
所述膜形成材料含有硫原子。
3.根据权利要求2所述的基板处理方法,其中,所述膜形成材料含有硫原子,并且为经脱氧的液体或气体。
4.根据权利要求1所述的基板处理方法,其中,在所述供给工序前,包括如下氧化膜去除工序:在通过所述维持工序维持在所述脱氧气氛的状态下,从所述第一材料的表面去除氧化膜。
5.根据权利要求4所述的基板处理方法,其中,所述氧化膜去除工序包括:
供给经脱氧的药液的药液供给工序;和
供给经脱氧的冲洗液的冲洗工序。
6.根据权利要求4所述的基板处理方法,其中,在所述氧化膜去除工序前,包括如下有机物去除工序:从所述第一材料的表面去除有机物。
7.根据权利要求1所述的基板处理方法,其中,所述去除工序使用还原剂而从所述第一材料的表面去除所述膜。
8.根据权利要求1所述的基板处理方法,其中,所述去除工序通过对所述膜照射紫外线而从所述第一材料的表面去除所述膜。
9.根据权利要求7所述的基板处理方法,其中,在所述去除工序后,包括如下残渣去除工序:通过向所述第一材料的表面供给蚀刻液而去除残留在所述第一材料的表面的所述膜。
10.根据权利要求1所述的基板处理方法,其中,所述供给工序在对所述基板的表面及所述膜形成材料的至少一者进行加热了的状态下进行。
11.根据权利要求2所述的基板处理方法,其中,在所述第一材料的表面所形成的所述膜为通过镀覆形成的金属膜。
12.一种基板处理装置,其具有:
维持部,其将表面上暴露有金属即第一材料与所述第一材料以外的材料即第二材料的基板的至少所述表面所接触的气氛维持在脱氧气氛;
供给部,其在利用所述维持部维持在所述脱氧气氛的状态下,对所述基板的表面供给针对所述第一材料及所述第二材料中的所述第一材料选择性地形成膜的膜形成材料;
表面处理部,其在通过所述供给部在所述第一材料的表面形成了所述膜的状态下,进行所述第二材料的表面处理;和,
去除部,其在所述表面处理后,从所述第一材料的表面去除所述膜,
所述维持部包括:
顶板,其以覆盖所述基板的表面的大小而形成;和,
驱动部,其使所述顶板移动至靠近所述基板的表面并与所述基板的表面对置的处理位置;
所述供给部对配置在所述处理位置的所述顶板与所述基板的表面之间的空间供给所述膜形成材料,
所述供给部供给液体状的所述膜形成材料,
所述处理位置为所述顶板的下表面与由所述供给部供给的液体状的所述膜形成材料接触的位置。
13.根据权利要求12所述的基板处理装置,其中,所述顶板具有温度调节部。
14.根据权利要求12所述的基板处理装置,其中,所述供给部通过持续供给液体状的所述膜形成材料,将滞留在所述顶板与所述基板的表面之间的空间的所述膜形成材料排出。
15.根据权利要求12所述的基板处理装置,其中,在完成液体状的所述膜形成材料的供给后,所述驱动部使所述顶板移动到退避位置,所述退避位置为使所述顶板从所述基板上方退避的位置。
16.根据权利要求12所述的基板处理装置,其中,所述顶板具有从中央部向外周部向下倾斜的下表面。
17.根据权利要求12所述的基板处理装置,其中,所述顶板具有多个排出非活性气体的排出口。
CN202010009300.1A 2019-01-09 2020-01-06 基板处理方法及基板处理装置 Active CN111430266B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
JP2019001564A JP7267015B2 (ja) 2019-01-09 2019-01-09 基板処理方法および基板処理装置
JP2019-001564 2019-01-09

Publications (2)

Publication Number Publication Date
CN111430266A CN111430266A (zh) 2020-07-17
CN111430266B true CN111430266B (zh) 2024-01-05

Family

ID=71404798

Family Applications (1)

Application Number Title Priority Date Filing Date
CN202010009300.1A Active CN111430266B (zh) 2019-01-09 2020-01-06 基板处理方法及基板处理装置

Country Status (5)

Country Link
US (1) US11049723B2 (zh)
JP (1) JP7267015B2 (zh)
KR (1) KR20200086637A (zh)
CN (1) CN111430266B (zh)
TW (1) TWI828832B (zh)

Families Citing this family (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP7232737B2 (ja) * 2019-08-07 2023-03-03 東京エレクトロン株式会社 基板処理装置

Citations (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2005019499A1 (ja) * 2003-08-20 2005-03-03 Daikin Industries, Ltd. 金属変質層の除去液及び金属変質層の除去方法
EP1670054A1 (en) * 2004-12-09 2006-06-14 Interuniversitair Microelektronica Centrum ( Imec) A method for deposition of a thin selfassembled mono-layer (SAM)
CN104425318A (zh) * 2013-08-27 2015-03-18 东京毅力科创株式会社 基板处理方法和基板处理装置
EP2854158A1 (en) * 2013-09-27 2015-04-01 IMEC vzw Layer deposition on III-V semiconductors
CN105593976A (zh) * 2013-09-25 2016-05-18 奥加诺株式会社 基板处理方法和基板处理装置
WO2016084596A1 (ja) * 2014-11-28 2016-06-02 東京エレクトロン株式会社 基板処理方法、基板処理装置および記憶媒体
JP2017069336A (ja) * 2015-09-29 2017-04-06 東京エレクトロン株式会社 基板処理装置、吸着保持部の洗浄方法および記憶媒体
CN107026071A (zh) * 2015-10-08 2017-08-08 东京毅力科创株式会社 基板处理方法和基板处理装置

Family Cites Families (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7402517B2 (en) * 2005-03-31 2008-07-22 Battelle Memorial Institute Method and apparatus for selective deposition of materials to surfaces and substrates
JP2010003945A (ja) * 2008-06-23 2010-01-07 Seiko Epson Corp 半導体装置の製造方法及び半導体装置
JP5544893B2 (ja) * 2010-01-20 2014-07-09 東京エレクトロン株式会社 基板処理方法及び記憶媒体
JP6172306B2 (ja) * 2011-01-12 2017-08-02 セントラル硝子株式会社 保護膜形成用薬液
JP2013213263A (ja) * 2012-04-03 2013-10-17 Tokyo Electron Ltd めっき処理装置、めっき処理方法および記憶媒体
JP5821784B2 (ja) 2012-05-31 2015-11-24 東京エレクトロン株式会社 エッチング方法、エッチング装置及び記憶媒体
JP2015052101A (ja) * 2013-08-06 2015-03-19 東京応化工業株式会社 膜形成用材料
US20150064911A1 (en) * 2013-08-27 2015-03-05 Tokyo Electron Limited Substrate processing method, substrate processing apparatus and storage medium
US10049921B2 (en) * 2014-08-20 2018-08-14 Lam Research Corporation Method for selectively sealing ultra low-k porous dielectric layer using flowable dielectric film formed from vapor phase dielectric precursor
US10358715B2 (en) * 2016-06-03 2019-07-23 Applied Materials, Inc. Integrated cluster tool for selective area deposition
JP2018020282A (ja) * 2016-08-03 2018-02-08 東京エレクトロン株式会社 基板処理方法、プログラム、コンピュータ記憶媒体及び基板処理システム

Patent Citations (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2005019499A1 (ja) * 2003-08-20 2005-03-03 Daikin Industries, Ltd. 金属変質層の除去液及び金属変質層の除去方法
EP1670054A1 (en) * 2004-12-09 2006-06-14 Interuniversitair Microelektronica Centrum ( Imec) A method for deposition of a thin selfassembled mono-layer (SAM)
CN104425318A (zh) * 2013-08-27 2015-03-18 东京毅力科创株式会社 基板处理方法和基板处理装置
CN105593976A (zh) * 2013-09-25 2016-05-18 奥加诺株式会社 基板处理方法和基板处理装置
EP2854158A1 (en) * 2013-09-27 2015-04-01 IMEC vzw Layer deposition on III-V semiconductors
WO2016084596A1 (ja) * 2014-11-28 2016-06-02 東京エレクトロン株式会社 基板処理方法、基板処理装置および記憶媒体
JP2017069336A (ja) * 2015-09-29 2017-04-06 東京エレクトロン株式会社 基板処理装置、吸着保持部の洗浄方法および記憶媒体
CN107026071A (zh) * 2015-10-08 2017-08-08 东京毅力科创株式会社 基板处理方法和基板处理装置

Non-Patent Citations (1)

* Cited by examiner, † Cited by third party
Title
Self-Assembled Monolayers of Thiolates on Metals as a Form of Nanotechnology;J. Christopher Love等;《Chem. Rev.》;第105卷;第1103-1170页 *

Also Published As

Publication number Publication date
JP7267015B2 (ja) 2023-05-01
TW202036704A (zh) 2020-10-01
TWI828832B (zh) 2024-01-11
JP2020113589A (ja) 2020-07-27
US20200219730A1 (en) 2020-07-09
US11049723B2 (en) 2021-06-29
CN111430266A (zh) 2020-07-17
KR20200086637A (ko) 2020-07-17

Similar Documents

Publication Publication Date Title
US8785311B2 (en) Film forming method, semiconductor device, manufacturing method thereof and substrate processing apparatus therefor
JP4875492B2 (ja) 無電解堆積のための装置
JP5602711B2 (ja) 成膜方法及び成膜装置
KR20160000863A (ko) 상호접속 캡핑 애플리케이션들을 위한 금속 상호접속부들 내의 탄소계 오염물질의 세정
JP7034645B2 (ja) 基板処理方法および基板処理装置
JP7202230B2 (ja) 基板処理方法および基板処理装置
CN112424916A (zh) 半导体装置的制造方法、基板处理装置和程序
JP6914111B2 (ja) 基板処理方法、基板処理装置、基板処理システムおよび基板処理システムの制御装置
CN111430266B (zh) 基板处理方法及基板处理装置
JP2019046893A (ja) 基板処理方法および基板処理装置
KR20190064479A (ko) 기판 처리 방법 및 기판 처리 장치
TWI698555B (zh) 鍍覆裝置及鍍覆方法
US20220316059A1 (en) Substrate processing method and substrate processing apparatus
WO2019235275A1 (ja) 基板処理装置および基板処理方法
JP6942045B2 (ja) 基板処理装置、めっき装置、及び基板処理方法
US20230386855A1 (en) Substrate processing method and substrate processing apparatus
WO2021085165A1 (ja) 基板液処理方法および基板液処理装置
CN110660701B (zh) 基片处理装置、基片处理系统和基片处理方法
KR20120109421A (ko) 기판 핸들러 진공 챔버에서의 산화물 성장을 억제하기 위한 시스템 및 방법
JP2002249896A (ja) 液処理装置、液処理方法
US20180323060A1 (en) Substrate processing method, substrate processing apparatus, substrate processing system and recording medium
JP2005217282A (ja) 塗布膜形成方法及び塗布膜形成装置
JP6585180B2 (ja) 膜形成装置及び膜形成方法
JP2023142942A (ja) 基板処理方法及び基板処理装置
KR20070017829A (ko) 기판 처리 방법 및 이를 수행하기 위한 기판 처리 장치

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination
GR01 Patent grant
GR01 Patent grant