WO2005019499A1 - 金属変質層の除去液及び金属変質層の除去方法 - Google Patents

金属変質層の除去液及び金属変質層の除去方法 Download PDF

Info

Publication number
WO2005019499A1
WO2005019499A1 PCT/JP2004/012144 JP2004012144W WO2005019499A1 WO 2005019499 A1 WO2005019499 A1 WO 2005019499A1 JP 2004012144 W JP2004012144 W JP 2004012144W WO 2005019499 A1 WO2005019499 A1 WO 2005019499A1
Authority
WO
WIPO (PCT)
Prior art keywords
metal
water
ether
removal
copper
Prior art date
Application number
PCT/JP2004/012144
Other languages
English (en)
French (fr)
Inventor
Shingo Nakamura
Shinichi Minami
Takashi Kanemura
Mitsushi Itano
Takehiko Kezuka
Fumihiro Kamiya
Original Assignee
Daikin Industries, Ltd.
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Daikin Industries, Ltd. filed Critical Daikin Industries, Ltd.
Priority to JP2005513341A priority Critical patent/JPWO2005019499A1/ja
Publication of WO2005019499A1 publication Critical patent/WO2005019499A1/ja

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C09DYES; PAINTS; POLISHES; NATURAL RESINS; ADHESIVES; COMPOSITIONS NOT OTHERWISE PROVIDED FOR; APPLICATIONS OF MATERIALS NOT OTHERWISE PROVIDED FOR
    • C09KMATERIALS FOR MISCELLANEOUS APPLICATIONS, NOT PROVIDED FOR ELSEWHERE
    • C09K13/00Etching, surface-brightening or pickling compositions
    • C09K13/04Etching, surface-brightening or pickling compositions containing an inorganic acid
    • C09K13/08Etching, surface-brightening or pickling compositions containing an inorganic acid containing a fluorine compound
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23FNON-MECHANICAL REMOVAL OF METALLIC MATERIAL FROM SURFACE; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL; MULTI-STEP PROCESSES FOR SURFACE TREATMENT OF METALLIC MATERIAL INVOLVING AT LEAST ONE PROCESS PROVIDED FOR IN CLASS C23 AND AT LEAST ONE PROCESS COVERED BY SUBCLASS C21D OR C22F OR CLASS C25
    • C23F1/00Etching metallic material by chemical means
    • C23F1/44Compositions for etching metallic material from a metallic material substrate of different composition

Definitions

  • the wiring layer of the test because the horizontal current to the wafer to be processed, the via layer is a wiring in the vertical direction of the hole type for the respective wiring layers sale ⁇ Weng Form separately.
  • Wiring layers, and forming a metal wiring such as ⁇ of by re convex to the metal dry etching it is formed by embedding the periphery of the wiring interlayer insulating film such as Si0 2 film.
  • the via layer is formed by depositing the interlayer insulating film, forming a hole (via hole) by dry etching, and embedding a metal such as AI or tungsten (W).
  • Amphoteric solvents are monohydric alcohols such as methanol, ethanol, propanol, isopropyl alcohol and t-butanol (methanol and ethanol are not used alone, but are used in combination with other solvents), ethylene glycol and propylene glycol, etc.
  • Poly aprotic solvents include methyl acetate, ethyl acetate, butyl acetate, propylene carbonate, ethylene carbonate, getyl carbonate, dimethyl carbonate, ethyl methyl carbonate, ethylene sulfite, ⁇ -butyrolactone, tributyl phosphate and Esters such as trimethyl phosphate, dioxane, trioxane, 1,1-dimethoxyethane, 1,2-dimethoxyethane, tetrahydrofuran, dimethyl methane, dimethoxy propyl / diene, diethoxy methane, diglyme, diethylene glycol methyl ether Item 15.
  • the removal solution according to Item 10 which is at least one selected from the group consisting of a sil group-containing organic compound and an anhydride thereof, acetylene alcohol, and a reducing agent.
  • the wiring metal is at least one oxide or metal carried from the group consisting of copper, aluminum, tungsten, cobalt, molybdenum, ruthenium, platinum, iridium, tantalum, titanium and their alloys. Remover of the word.
  • the removal liquid for the metal-altered layer containing the metal oxide fibers of the present invention (hereinafter referred to as the “removal liquid of the present invention”) is used to control the dissociation of hydrogen fluoride by the physical properties of the organic solvent and a small amount of water, Its characteristics are manifested by a combination of its dissolving power and anticorrosive effect.
  • the removal solution of the present invention comprises copper (Cu), aluminum, and the like as conductive metals, titanium ( ⁇ ), tungsten (W), tantalum (Ta), cobalt / kelt (Go), and molybdenum (Mo). , Ruthenium (Ru), platinum (Pt), iridium (Ir), and their alloys, etc., are used to remove oxides formed on the metal surface of the wafer. preferable. Among them, it is more suitable for removing copper oxide formed on the copper surface. In addition, it also removes the portion of the metal oxide layer containing metal oxides, which has been formed due to the damage caused by dry etching and Z or ashing, and contains a large amount of metal oxide, and has increased electrical resistance. Be suppressed.
  • the type of metal oxide class is not limited, the metal oxide class formed when plasma containing ⁇ is used at the time of dry etching and Z or ashing, or the metal oxide class is exposed to the atmosphere due to movement between processes.
  • copper oxides include GuO, Cu 20 , and Cu (OH) 2 .
  • Al 2 0 3 as the oxides of Aruminiu arm, AI 2 (OH) 3, ⁇ 2 0 3 ' ⁇ 2 0, ⁇ 2 0 3 ⁇ 3 ⁇ 2 0 , etc., as an oxide of titanium TiO, Ti0 2, ⁇ 2 0 3, Ti0 2 'H 2 0, Ti0 2' 2H 2 0, as the oxides of Konokuru Bok, CoO, Co 3 0 4, Co 2 0 3 (OH) there have the Co20 3 'H 2 0, Mo0 2, Mo0 3 mag as oxides of molybdenum, PtO as the oxides of ruthenium as an oxide of Ru0 2, Ru0 2 -H 2 ORu0 4 platinum, ⁇ 3 ⁇ 404, Pt0 2, Pt0 2 '3 H 2 0, as the oxides of iridium ⁇ r 2 0 3, Ir0 2, etc., W0 2, W0 3, etc. as the oxide of tungsten, the oxides of tantalum TaO, Ta 2 0 5, and the like.
  • a metal-altered layer containing a large amount of metal oxide that has been damaged by dry etching and / or ashes is a metal oxide that has been damaged and oxidized and / or fluorinated by dry etching and / or assing. This is an altered layer composed of the metal and the mixture, and has an increased electric resistance. Since this metal layer is made of oxidized and / or fluorinated metal oxide and wiring metal, its electrical resistance is an insulating layer close to that of metal oxide.
  • the thickness of the oxide layer is not limited, it is usually about 1 to 80 A, preferably about 1 to 40 mm.
  • the thickness of the metal-degraded layer containing the metal oxide, which is damaged by the dry etching and / or assing, is also not limited by the change due to the conditions of the dry etching and assing. It is usually adjusted to be as small as possible. Usually, about 100 mm or less, preferably about 1 to 60 A is mentioned.
  • the removal solution of the present invention contains hydrogen fluoride (HF), an organic solvent and water.
  • HF hydrogen fluoride
  • organic solvent either an amphoteric organic solvent or a polar aprotic organic solvent may be used. Also, both can be used in combination.
  • yarn it is preferable to use yarn as water.
  • hydrofluoric acid dilute hydrofluoric acid (50% 1% aqueous solution) is used, but a higher concentration aqueous hydrofluoric acid solution, or 100% hydrogen fluoride can also be used. In any case, it is important that they have a purity that does not cause contamination of metals and the like in semiconductor and liquid crystal processes.
  • a low-k film specifically has a relative dielectric constant of more than 1, about 4 or less, preferably about 3 or less, more preferably about 2.8 or less, and still more preferably about 2 or less. It means an insulating film of about 6 or less.
  • Examples of the low-k film include Black Diamond (trade name, Applied Materials) ), Coral (trade name, Novellus), LKD series (trade name, JSR), Aurora (trade name, ASM), HSG series (trade name, Hitachi Chemical), Nanoglass (trade name) name, manufactured by Honewell, Inc.), IPS (trade name, manufactured by catalysts & Chemicals Co., Ltd.), Z 3 M (trade name, Dow Corning Co., Ltd.), XLK (trade name, Dow Coming Co., Ltd.), FOx (trade name, Dow Corning Corporation ), Orion (trade name: Tricon), etc.
  • the composition is low dielectric constant film containing silicon bonded to OH (Si-OH bond) and silicon bonded to Z or H (Si-H bond) (It may be expressed in a form that shows the composition of Lowk film, SiOC, SiOC: H, etc.), etc.
  • Lowk film is mainly generated by coating and organic plasma CVD. In the case of coating, a unique film name is assigned to the raw material, and in the case of organic plasma CVD, the unique film name is determined depending on the raw material and equipment. Eclipsed.
  • the silicon oxide film (Si02) is a silicon thermal oxide film formed by oxidizing a silicon wafer, a TEOS silicon oxide film formed using tetraethoxysilane [TEOS: Si (OG2H5) 4], a high-density plasma (High HDP silicon oxide film formed by Density Plasma), mainly silanol [(OR) mRnSi (OH) 4-m-n] is dissolved in a solvent and spin-coated on Formed silicon oxide film called SOG (Spin on Glass), silicon oxide called BPSG doped with phosphorus (P), ⁇ t ⁇ (As), antimony (Sb), boron (B), etc. Is mentioned.
  • the LovH film contains a large amount of silicon (Sto CH 3 ) bonded to an alkyl group such as a methyl group. It is more preferable that the silicon oxide film to be formed is made of a thermal oxide film or HDP silicon oxide.
  • KrF krypton F
  • ArF ArF
  • F 2 the resist and the like, but is not limited thereto.
  • the antireflection coating and the embedding material include those containing an organic substance as a main component and those containing an inorganic substance such as silicon.
  • the anti-reflection itfl containing silicon and other materials and the embedding materials refer to those containing silicon, Si-OH bonds and / or SHH bonds, etc. I do.
  • Si-H antireflection comprising coupling 1 and the trillions and embedded materials
  • Si- GH 3 bond is local or Sukunagu SHH binding many film
  • Si-H absorption vector such as FT-IR measurement data (2200 ⁇ 2300Cm - 1) is a film represented by SiO x G y H z Ru T, including what is commonly referred to as HSQ (Hydrogen Silsesquioxane).
  • Both the film containing a Si-OH bond and the film containing an S-H bond, and especially the film having no or few Si-CH 3 bonds and having more Si-H bonds and / or more Si-OH bonds, can be treated with the removing solution of the present invention. Can be effectively removed. In the present invention, these can be effectively removed. Even when the antireflection film and the embedding material are mainly composed of an organic substance, they can be removed by using a solvent having a high number of receptors such as methanesulfonic acid. May contain silicon nitride.
  • the dissociation of hydrogen fluoride (HF) can be adjusted by changing the water content and the physical properties of the organic solvent.
  • the physical properties of main organic solvents involved in dissociation of fluorinated water (HF) are relative permittivity ( ⁇ ) and acidic / basic properties.
  • the relative dielectric constant ( ⁇ r) is a numerical value represented by a dielectric constant (absolute dielectric constant) Z dielectric constant in vacuum.
  • Indicators of acidity-basicity include the number of acceptors (AN), the number of donors (DN), and the self-protolysis constant.
  • Self-protolysis refers to the transfer of protons between solvents in an amphoteric solvent such as a medium such as water or alcohol, a proton-donating solvent such as an acid, and a pro-philic solvent such as formamide. That is, these solvents have low self-protolysis constant pK SH power ⁇ .
  • K SH [SH 2 + MS 2 _] / [SH] 2
  • the acceptor number A is a measure of the acceptor property proposed by the Mayer-Gumann force, that is, a measure of the solvent as a Lewis acid.
  • 31 P- NMR chemical shift values 3PO is 0, 1, in 2-Jikuroroetan the (C2F5) 3PO '31 P- NMR Chemical shift value SbGI 5 complex 100
  • the inductive effect is due to the high electron density of H of the large hydroxyl group -OH and the weakening of the re-electron acceptability.
  • C 4 H 9 OH It can be estimated that the value is close to 33.5, which is 33.5 or less, indicating that the number of donors and the number of acceptors can be compared with a known substance and that the donor and acceptor properties can be compared.
  • solvents having an acceptor number of 20 or more are both solvents, and both 1 '' solvents are classified as neutral, proton-donating and proton-promoting solvents.
  • Solvents with an acceptor number of 20 or less are nonprotonic solvents.
  • solvents with a large dipole moment dielectric constant have a polar aprotic solvent, a small dipole moment dielectric constant, and are acidic and basic.
  • Weak solvents are called inert solvents.
  • Polar aprotic solvents are classified into protic aprotic solvents, which are more basic than water, and polar aprotic solvents, which are less basic than water.
  • H + supplied from SH 2+ generated in the recovery KA) and H + generated from hydrogen fluoride, and (HF) n and HF are generated.
  • SH 2 + the strongest acid that can be found in the solvent SH.
  • Any acids stronger than SH 2 + are completely dissociated and leveled to the strength of SH 2 + .
  • H + is also the majority arising from the hydrogen fluoride is the SH 2 +.
  • the ease with which H + is given is such that the weaker the basicity of the solvent SH, the more easily H is given.
  • the flatness K1 Since the removal solution of the present invention contains a small amount of water, the flatness K1) is further shifted to the right, and HF increases.
  • water having a larger number of acceptors than the solvent is mainly solvated, and in H +, a solvent mainly having a larger number of donors than the water is mainly solvated to form SH 2 +. From the shape of SH 2 +, it becomes easy to give H + to the surface of the workpiece.
  • Hiraga K3 water with a larger number of sceptors than F and HF 2 — is solvated together with the solvent, so the change in equilibrium is small with only a small amount of water, and the amount of generated HF 2 _ is small.
  • the increased etching species such as HF, H +, and the like, and the dissolution and anticorrosion effects of the organic solvent cause the etching residue generated due to the resist, the antireflection layer and the burying material—dry etching and / or ashing. Even when they coexist, they are removed, and the etching of the low-k film and the silicon oxide film by the remover is suppressed, and the damage due to metal oxide and / or drying and / or asshing is caused.
  • the metal-altered layer containing metal oxides is selectively removed with respect to metals (particularly copper).
  • the removal solution of the present invention is formed by the phase of H + , (HF) n , HF and an organic solvent.
  • amphoteric solvents that exhibit such effects include alcohols and formic acid such as methanol, ethanol, pro / nol, and t-butanol.
  • the amphoteric solvent with a relatively low dielectric constant ( ⁇ r ⁇ 20) has a lower average value than the case with a relatively high dielectric constant (£ r ⁇ 20).
  • a solvent that generates H + such as a carboxylic acid such as acetic acid increases H + in the removal solution of the present invention. Therefore, HF increases further to the left in peaceful 2).
  • HF and H + and (HF) j due to which etching species and dissolution and anticorrosion effects of organic solvents, resists, antireflective coatings and burying materials-occur with dry etching and / or ashing Even when etching residues coexist, they are removed, and low-k film and silicon oxide film removal solution are inhibited from being etched to remove metal oxides and / or dry etching and / or asshing.
  • the metal-degraded layer containing the metal oxide which has been subjected to the test described above, is selectively removed with respect to the metal (particularly, copper).
  • the antireflection coating and the filling material contain silicon bonded to OH (SKDH bonding) and / or silicon bonded to H (Si-H $ Yoshigo), or etching residues generated by dry etching and / or assing When silicon nitride is included, the effect of selectively removing them is greater.
  • non-ptolic solvents having a high relative dielectric constant are called polar nonproton 1 'solvents.
  • Solvents that are more basic than water are classified as polar 1 'protic aprotic solvents, and those that are less basic than water are classified as polar protic aprotic solvents.
  • Extremely ttf-protic aprotic solvents often have strong basicity and donativity ⁇ are rich in reactivity, but because HF is solvated strongly, j (3) It is hard to be biased.
  • the reactivity of the etching species HF, (HF) n with H + is not so large, since H + generated by slight dissociation is also strongly solvated.
  • Polar aprotic aprotic solvents such as dimethyl sulfoxide (DMSO) and dimethylformamide (DMF), have very strong donor properties and can be damaged by dry etching and / or asshing.
  • the metal-altered layer and the metal film including the metal oxide film are strongly solvated with metal ions.
  • the polar aprotic aprotic solvent may be replaced with metal oxides and / or other solvents with reduced ability to remove the metal-altered layer containing the metal oxide coating that has been damaged by drying and / or ashing, such as fluoridation.
  • silicon bonded to OH SK H bond
  • silicon bonded to Z or H Si-H bond
  • the etching rate of the low-k film and the silicon oxide film removal solution was reduced, and the metal oxide film formed on the metal used as the wiring material was damaged and / or damaged by dry etching and / or asshing.
  • the effect of removing the metal-altered layer including the metal oxide film can be increased.
  • the aprotic solvent having a relatively low relative dielectric constant ( ⁇ 20) has a smaller number of donors and acceptors than those of water. Therefore, in the case of 1), ⁇ and (HF) n are increased to the right. In the aftermath, K2) is skewed to the left, and HF is frequent. Since F- is small in Hiragana K2), ⁇ ⁇ (3) is biased to the left and HF force is easier than HF 2 —. Since the removal solution of the present invention contains water, the equilibrium (1) shifts to the right, (HF) n and HF increase, and the K2) slightly shifts to the right, so that H + also increases. H + is mainly the number of donor large water becomes H 3 0 + solvated.
  • the anticorrosion effect is generated by the binding of the lone pair of electrons to the surface of the metal.
  • IPA is easier to apply as an organic solvent for the removal solution.
  • the concentration of hydrogen fluoride is increased, the etching of the metal oxide layer and / or the metal-altered layer metal including the metal oxide layer, which has been damaged by dry etching and / or asshing, is reduced.
  • the corrosion prevention effect of the solvent and the self-protolysis are leveled by the strength of SH 2 + , the change in the corrosion release of metals (particularly copper) is smaller for metals (particularly copper). Can be selectively removed.
  • Preferred organic aprotic solvents among the organic solvents are, for example, dimethylformamide dimethylacetamide, hexamethylphosphoric triamide, N-methyl-2-pyrrolidone, 1,1,3,3-tetraethyl Amides such as methyl urea, N-methyl propionamide and dimethyl imidazolidinone, and sulfur compounds such as dimethyl sulfoxide, sulfolane, dimethyl thioformamide and N-methyl thiopyrrolidone (the above amides and sulfur compounds are not used in insects, (Used in combination with other solvents), methyl acetate, ethyl acetate, butyl acetate, methyl propionate, ethyl propionate, propylene carbonate, ethylene carbonate, getyl carbonate, dimethyl carbonate, ethyl methyl carbonate, ethylene sulfite, Petitolactone, triptyl phosphate, Esters such as trimethyl acid, dioxan
  • polar aprotic solvents are methyl acetate, ethyl acetate, butyl acetate, and propyl carbonate.
  • ethylene carbonate getyl carbonate, dimethyl carbonate, ethyl methyl carbonate
  • esters such as tributyl phosphate and trimethyl phosphate, dioxane, drioxane, 1,1-dimethyloxetane, 1,2-dimethoxyethane, tetrahydrofuran, dimethoxymethane, dimethyl More preferred are ethers such as xypropane, diethoxymethane, diglyme, diethylene diol glycol ethyl ether, triethylene glycol dimethyl ether, tetraethylene glycol dimethyl ether and polyethylene glycol dimethyl ether, acetone and acetic anhydride.
  • ethylene glycol monomethyl ether triethylene glycol monomethyl ether, polyethylene glycol monomethyl ether, diethylene glycol monobutyl ether, triethylene glycol monomethyl ether Glycol monobutyl ether, diethylene glycol monoisobutyl ether, tripropylene glycol monomethyl ether, diethylene glycol monohexyl ether, diethylene glycol monobenzyl ether, diethylene glycol getyl ether, triethylene glycol dimethyl ether, tetraethylene glycol dimethyl ether and It is desirable to use an organic solvent with a high bow I fire point such as polyethylene glycol dimethyl ether.
  • concentration of hydrogen fluoride at this time is preferably about 0.001 to 10% by weight, more preferably about 0.05 to 8% by weight.
  • the weight% of the water in the removing solution when used, it is preferable to increase the weight% of the water in the removing solution, because removal of the oxide film becomes higher.
  • the preferred amount of water in the removing solution is, for example, 0.001 to 20 weight / weight. , Preferably 0.05-6 M. Is mentioned.
  • Preferred examples of the removing liquid in the present invention include the following.
  • non-proton 1 'solvent those described above can be used. Among them, particularly, the relative permittivity (r) and the number of acceptors (AN) are large.The number of donors (DN) The self-protolysis constant (the smaller the pK, the more the low-k film and silicon oxide film are etched by the removal liquid. Thus, it is possible to selectively remove the metal oxide network and / or the metal-altered layer containing the metal oxide 3 ⁇ 4fl that has been damaged by dry etching and / or asshing with respect to the metal (particularly copper). However, the tendency is not so remarkable as in both media.
  • Such solvents include amides such as dimethylformamide, dimethylacetamide, hexamethylphosphoric triamide, N-methyl-2-pyrrolidone, 1,1,3,3-tetramethylurea, N-methylpropionamide and dimethylimidazolidinone.
  • amides such as dimethylformamide, dimethylacetamide, hexamethylphosphoric triamide, N-methyl-2-pyrrolidone, 1,1,3,3-tetramethylurea, N-methylpropionamide and dimethylimidazolidinone.
  • Dimethylsulfoxide, sulfolane, dimethylthioformamide and sulfur compounds such as N-methylthiopyrrolidone.
  • Preferred polar aprotic aprotic solvents include methyl acetate, ethyl acetate, butyl acetate, propylene carbonate, ethylene carbonate, getyl carbonate, dimethyl carbonate, ethyl methyl carbonate, ethylene sulfite, r-butyrolactone, tributyl phosphate and phosphoric acid.
  • Esters such as trimethyl, dioxane, de Joxane, 1,1-dimethyloxetane, 1,2-dimethoxyethane, tetrahydrofuran, dimethyloxymethane, dimethoxypropane, diethoxymethane, diglyme, diethyleneglycol glyceryl ether And ethers such as triethylene glycol dimethyl ether, tetraethylene glycol dimethyl ether and polyethylene glycol dimethyl ether, acetone and acetic anhydride.
  • propylene carbonate has a remarkable effect.
  • Polar protic aprotic solvents which are weaker in basicity than these ices (the number of donors is 25 or less), can be used alone, or a mixture of multiple aprotic solvents can be used. it can. By mixing a plurality of aprotic solvents, it is also possible to adjust the removal and separation of the metal oxide.
  • the concentration of the polar aprotic 3 ⁇ 4; 'solvent is preferably about 70 to 99.98 weight%, more preferably about 76 to 99.9 weight%.
  • the weight ratio of hydrogen fluoride: polar aprotic solvent: water is
  • weights 90 to 99.9499 weights M: 0.05 to 5%; preferably
  • the inert gas is not necessarily limited to these, but may be substantially inert to the removing liquid.
  • the gas does not react with the removing liquid, such as fluorocarbon gas, hydrocarbon, carbon monoxide, etc. A similar effect can be obtained. How to remove metal oxides ⁇
  • Plasma source and power ICP (Inductively Coupled Plasma) 800W,

Landscapes

  • Chemical & Material Sciences (AREA)
  • Organic Chemistry (AREA)
  • Engineering & Computer Science (AREA)
  • Materials Engineering (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Inorganic Chemistry (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Cleaning And De-Greasing Of Metallic Materials By Chemical Methods (AREA)
  • Cleaning Or Drying Semiconductors (AREA)
  • Weting (AREA)
  • Detergent Compositions (AREA)

Abstract

フッ化水素、有機溶媒及び水を含み、フッ化水素:有機溶媒:水の重量比が0.001~10重量%:70~99.998重量%:0.001~20重量%である、導電性金属上に形成された金属変質層を除去するための除去液。

Description

明 細 書
金属変質層の除去液及び金属変質層の除去方法
技 野
本発明は、半導体又は液晶パネルの製造プロセスにおいて生じる金属変質層を選択的に 除去するための除去液及び金属変質層を除去する方法に関する。
ここで、 r金属変質層」とは、金属酸化物、及び/又は、ドライエッチング及び Z又はアツシ ングによる損驗受けた金属酸化物を含む綱莫ゃ層を意味する。
m
1C、 LSI等の半導体素子素子は、基板上に形成されたアルミニウム (AI)、銅 (Cu)、 Al-Cu 合金等の導電性金属膜、シリコン酸化膜等の絶縁膜等の上にフォトレジストを均一に塗布 し;リソグラフィー技術によりレジストパターンを形成し;導電性金属膜、絶縁膜等を選択的に ドライエッチングし;残存するレジストをアツシング、ポリマー剥離液等により除去して製造さ れる。 、
以前は、配線材料として Al、 AI合金等の導電性金属を用い、層間絶縁膜として酸化ゲイ素、 酸化ケィ素にホウ素やリンをドープしたシリコン酸化膜 (以下、 rsi02膜 Jということがある。 ) を使用する、 Al/Si02多層配線構造の半導体デバイスが主に製作されてきた。
また、現在では、デバイスの微細化に伴う配線遅延 (wire delay)を低 «"Τるために、配線材 料として抵抗値の低い Cu等の導電性金属を用い、 Si02膜の代わりに、層間絶縁膜として配 線間容量の小さい LovH膜 (低誘電率膜)を用いる Cu/Low-k多層配線構造が開発されてい る。
Al/Si02多層配線キ fitでは、加工するウェハーに対して水平方向の電流を供 るための 配線層と、各配線層間を ί翁売する垂直方向の穴型の配線であるビア層とを別々に形成する。 配線層は、メタルドライエッチングによリ凸型の ΑΙ等の金属配線を加工し、その配線の周囲 を Si02膜等の層間絶縁膜で埋め込むことにより形成される。ビア層は、その層間絶縁膜を 堆積した後に、ドライエッチングにより穴 (ビアホール)を加工し、 AIやタングステン (W)等の 金属を埋め込むことによリ形/ る。
Al/Si02多層配線構造では、配線層を形成するためのメタルドライエッチングやビアホール 加工のための Si02ドライエッチングの後に、レジスト、ドライエッチング残渣等の不^!)を除 去するために、瞧を含んだプラズマにより灰化 (アツシング)を 。
その結果、大気中に する ^により自然に形成される金属酸化物綱莫だけでなぐド ライエッチングやアツシングにより損驗受けて形成された金属酸化物 ¾|莫を含む金属変質 層が形成される。
ビアホール内に金属を埋め込む際に、埋め込む金属層と下層部にある配線層との間 (接 点)に金属変質層が存在すると、抵抗が大きくなり半導体デノくイスの不良の原因となる。従 つて、このような金属変質層を、選択的に取り除くことが強く望まれる。その際には、金属変 質層を除去するための薬液による ΑΙの腐食及び Si02膜のエッチングを抑制する必要があ る。
一方、 Cu/Low-k多層配線構造を形成する方法としては、ダマシン法 (シングルダマシン 法)及びデュアルダマシン法という 2つの方法が知られている。ダマシン法は、まず、 Low- k 膜にドライエッチングにより溝 (トレンチ)や穴 (ビアホール)を加工し、その力□工部分に Cu等 の配線材料を埋め込むことにより配線構造を形^"る方法である。
デュアルダマシン法では、 Lov^rkfl莫に、配線のためのトレンチ及びビアホールを同時に形 成し、その後、 Cu等の配線材料を埋め込む。デュアルダマシン構造は、ビアホールを形成 した後にトレンチを形成するビアファース卜プロセス、これとは逆に、トレンチを形成した後に ビアホールを形成するトレンチファーストプロセス、その他、ミドルファーストプロセス、デュ アル/、一ドマスクプロセス等により形成される。
埋め込み材は、主にデュアルダマシンのプロセスゃリソグラフィ一等、一部のプロセスの やり直し (リワーク)の際に使用することが多い。例えば、ビアファーストプロセスでは、ドラ ィエッチングによりビアホールを形成し、そこに埋め込み材を埋め込み、次いで、トレンチを 形成するためのリソグラフィーを行い、ドライエッチングする。その後、埋め込み材を選択的 に除去する。
Cu/Low-k多層配線構造において、レジスト、反射防 ±fl莫、埋め込み材、ドライエッチング 残渣等の不翻を除去するために、多量の瞧ラジカルを含んだプラズマによりアツシング を と、 Lowrk膜にダメージを与えてしまう。
このダメージを無くすか又は 减するために、アツシングを行わないか又は水素プラズマ、 He等の不活性ガスプラズマ、それらの混合物又は酸素ラジカルを減らしたプラズマを用い てアツシングを ί 方法がある。また、途中でアツシングを中断することにより、上記の不要 物を完全に取り除かなし、ハーフアツシングと呼ばれる手法が用いられる場合もある。
しかしながら、アツシング方法によっては、上記の不勢勿が残る場合がある。また、アツシ ングによりレジストや反射防 等を除去できたとしても、埋め込み材を完全に除去するこ とは難しい。
さらには、ダマシン構造又はデュアルダマシン構造を形成する際のドライエッチング又は アツシング時に酸素を含んだプラズマを用いる場合、それにより損傷を受けた金属酸化物 綳莫を含む金属変質層が形成される。また、半導体製造工程において大気に曝される場合 には、 Cu等の金属配線の表面に金属酸化物綱莫が形成される。
ダマシン構造又はデュアルダマシン構造におけるトレンチやビアホールに、ノくリアメタル の TaNや配線材料の Cu等の金属を埋め込む隞 その埋め込み材料と下層部にある Cu 等の配線層との接点 (間)に金属変質層があると、抵抗が大きくなリ半導体デ /くイスの不良 の原因となる。
従って、半導体回路のパターンのリソグラフィ一(描画)をやり直すリワークの場合も含め て、このような金属酸化物 ¾莫を含む金属変質層は、 Cuの腐食及び Low - k膜のエッチング を抑制して除去液により選択的に取り除かれることが強く求められている。
例えば、微細パターン用ポリマー剥離液として、フッ化物塩水溶液に、 DMF、 DMSO等の水 溶性有機溶媒、必要に応じて更にフッ化水素酸を加えたものが知られている (例えば、特開 平 9-197681号公報、特開 2000-47401公報参照。 )
このようなポリマー剥離夜を用いると、 Cuのような腐食しやすい金属を導電性金属として 使用した場合には、その金属表面に形成された金属酸化物綱莫だけでなく金属自体も容易 に腐食されるという問題を生じる。
また、フッ化水素を有機溶媒に加えた、実質的には水を含まない溶液を用いて二酸化ケ ィ素のようなシリコン酸化物を除去する技術が知られている (例えば、米国特許第 6200891 号公報参照丄
このような溶液では、シリコン酸化膜や Lov^ k膜の溶液によるエッチングを抑制して、金 属自体を腐食させずに、その金属表面に形成された金属酸化物 莫を効率良く除去できる とは考えにくい。 米国特許第 6200891 ^報は、水分を実質的に加えないことにより、金属の腐食を抑え、 フッ化水素の濃度を高くすることにより、シリコン酸化膜の除去効果が得られることを記載し ている。しかしながら、水分が実質的に しないため、フッ化水素が十分に解離せず、金 属変質層の除去に な H+やエッチング種 HFが少なぐ金属変質層を除去するのに時間 がかかる。
当該公報においては、使用するフッ化水素の濃度が 0.5〜15molZLと高いため、共存す るシリコン酸化膜や Low - k膜もエッチングされやすぐビアホールやトレンチ等の/ ターンに 形状変化を生じる。これは、高濃度のフッ化水素により、シリコン酸化膜を取り除くことを目 的としているためである。
また、当該公報で使用される有機溶媒は、炭酸プロピレン、 N-メチルピロリドン、丫 -づチ ロラクトン、塩化メチレンべンジルアルコール、 N-ホルミルモルホリン、 N-ホルミルピベリジ ン、シクロへキサノン、シクロペンタノン、安息香酸メチル、ジグライム、 2-メチルテトラヒドロ フラン、メチルエステル、ェチルエステル等である。これらの中でも炭酸プロピレン、 N-メチ ルピロリドン、 r -プチロラクトンに効果があり、炭酸プロピレン力《実施例として挙げられてい る。大半は非水溶性の溶媒であり、これらを除去するためには、イソプロピルアルコール (IPA)等の中間リンスをしてから、純粋でリンスする等の工程数が増加する欠点がある。さ らには、水分が溶解にくいので、フッ化水素の解離の制御がしにくい。
さらに、水で希釈した塩酸やフッ酸を用いることも考えられる。しかしながら、このような水 溶液により、金属酸化物 莫は容易に除去できるが、金属変質層は除去しに《、解離した H+が多いため金属部分は腐食しやすい。その上、レジスト、反射防止膜、埋め込み材、ドラ ィエッチング残渣等の不要物が、金属変質層の周辺に共存する場合、このような水溶液で は、これらを取り除けないため、金属変質層も完全に除去することが困難であり、均一な除 去ができない。
このように、シリコン酸化膜、 Low-k膜等のデバイスを構成する膜が共存する状況で、こ れらの膜をできるだけエッチングせずに、金属自体を腐食させずに、金属変質層を選択的 に除去するための薬液は未だ開発されていない。
そこで、本発明は、シリコン酸化膜や Low-k膜のエッチングを抑制し、レジスト、反射防止 膜、埋め込み材及びドライエッチング残渣等の不要物の有無にかかわらず、金属変質層を、 金属を腐食させず効率良く除去するための薬液を提供することを主な目的とする。
図面の簡 m¾説明
図 1 :種々の有機溶媒を使用した本発明の除去液を使用した場合の銅酸化物娜莫の除去量 及び金属の侵食量をエッチング量 ( A )として縦軸に示す。横軸は、ウェハ一を本発明の除 去液に浸潰した時間を示す。
図 2:有機溶媒として両 容媒を使用し、種々濃度の HF (横軸)を用いた本発明の除去液を 使用した場合の、銅の酸化物綳奠の除去 (図 A;縦軸)及び銅の腐食聽 (図 B;縦軸) をエッチング ilg (A/min)として示す。
図 3 :本発明の除去液において水の量 (横軸)を変化させた場合における銅の酸化物 ¾莫の 除去離及び銅の腐食聽 (縦軸)をエッチング A/min)として示す。
図 4:本発明の除去液に種々の防食剤を添加した場合の、銅の酸化物 ¾莫の除去量及び銅 の侵食量に财る景遭をエッチング量 ( A )として示す。
図 5:有機溶媒として両† 容媒及び非プロトン 1 '容媒を使用し、フッ化水素濃度 0.15mol/L前 後の本発明の除去液を使用した場合の、銅の酸化物鄉莫の除去速度 (縦軸) (A)及び銅の 腐食驗 (横軸)をエッチング離 ( A/min) (B)として示す。
発明の開示
本発明者は、上記従来技術の問題に鑑みて、鋭意研究を重ねた結果、フッ化水素、水及び 有機溶媒を組み合わせて用いることにより、上記目的を達成できることを見出し、本発明を 完成させるに至った。すなわち、本発明は以下の金属変質層を選択的に除去するための除 去液及び金属変質層を除去する方法に関する。
I .フッ化水素、有機溶¾¾び水を含み、フッ化水素:有機溶媒:水の M*比が 0.001 -10重 : 70-99.998 m /o: 0.001〜20 filT。である、導電性金属上に形成された金属変質層を除 去するための除去液。
2.有機溶媒が両 1 ^容媒であって、フッ化水素:両性溶媒:水の重量比が 0.05〜8重 *%: 76 -99.9重量/。: 0.05〜 6重靈 /。である項 1に記載の除去液。
3.両性溶媒が、一価アルコール、二価アルコール、グリコールエーテル、カルポン酸、スル ホン酸及びアミドからなる群から選ばれる少なくとも 1種である項 2に記載の除去液。
4.両性溶媒が、メタノール、エタノール、プロパノール、イソプロピルアルコール及び t-ブタ ノール等の一価アルコール (メタノール、エタノールは単独では使用せず、他の溶媒と併用 される)、エチレングリコール及びプロピレングリコール等の二価アルコール、エチレングリ コールモノメチルエーテル、エチレングリコールモノェチルエーテル、ジエチレングリコール モノメチルェ"^ル、トリエチレングリコールモノメチルエーテル、ポリエチレングリコールモ ノメチルェ" ^ル、ジエチレングリコールモノブチルエーテル、トリエチレングリコールモノブ チル工 ル、ジエチレングリコールモノイソブチルエーテル、トリプロピレングリコールモノ メチルエーテル、ジエチレングリコールモノへキシルエーテル、ジエチレングリコールモノべ ンジルエーテル等のモノアルキルグリコールエーテル、ギ酸、酢酸、トリフルォロ酢酸等の カルボン酸、メタンスルホン酸、及び N-メチルホルムアミド、 N-メチルァセトアミド、ホルム アミド等のアミドからなる群から選ばれる少なくとも 1種である項 3に記載の除去液。
5.フッ化水素:イソプロピルアルコール:水の重量比が 0.1〜5 ¾ft½:85〜99.8重: %:0.1〜 10重: & /。である項 4に記載の除去液。
6.有機溶媒が極性非プロトン性溶媒であって、フッ化水素:極性非プロトン性溶媒:水の重 量比が 0.01〜5重量。/。: 85〜99.98重量。/。: 0.01〜10重 1%である項 1に記載の除去液。
7.極性非プロトン性溶媒が、エステル、エーテル、グリコールジェ一テル、アセトン及び無 水酢酸からなる群から選ばれる少なくとも 1種である項 6に記載の除去液。
8.極性非プロトン性溶媒が、酢酸メチル、酢酸ェチル、酢酸プチル、炭酸プロピレン、炭酸 エチレン、ジェチルカーボネート、ジメチルカーボネート、ェチルメチルカーポネート、亜硫 酸エチレン、 γ一プチロラクトン、リン酸トリブチル及びリン酸トリメチル等のエステル、ジォ キサン、トリオキサン、 1,1-ジメトキシェタン、 1,2-ジメトキシェタン、テトラヒドロフラン、ジメト キシメタン、ジメトキシプロ/《ン、ジエトキシメタン、ジグライム、ジエチレングリコールジェチ ルエーテル、トリエチレングリコ一ルジメチルエーテル、テトラエチレングリコールジメチル エーテル及びポリエチレングリコールジメチルエーテル等のエーテル、アセトン及び無水酢 酸からなる群から選ばれる少なくとも 1種である項 14に言 Β¾の除去液。
9.極性非プロトン 容媒が炭酸プロピレンであり、フッ化水素:炭酸プロピレン:水の龍比 が 0.1〜5重 1%:85〜99.8重量/。: 0.1〜10重 1%である項 8に記載の除去液。
10.さらに防食剤を含む項 1に記載の除去液。
I I .防食剤が、チオール、芳香族ヒドロキシ化合物、トリァゾール化合物、糖類、カルボキ シル基含有有機化合物及びその無水物、アセチレンアルコール及び還元剤からなる群から 選ばれる少なくとも 1種である項 10に言 の除去液。
12.防食剤が、金属酸化物綱莫の除去液に対して 0.01〜20重 ¾i含まれる項 10に言識の 除去液。
13.さらに不活性ガスを溶存させた項 1に記載の除去液。
14.配線の金属が銅、アルミニウム、タングステン、コバルト、モリブデン、ルテニウム、白 金、イリジウム、タンタル、チタン及びこれらの合金からなる群から運まれる少なくとも 1 種 の酸化物及び金属である項 1に言 の除去液。
15.シリコン酸化膜及び Low-k fl莫から選ばれる少なくとも一種のエッチング雖が 10AZ min以下である項 1に記載の除去液。
16.銅のエッチング速度が 3 A/min以下である項 1に言 Β¾の除去液。
17.項 1〜16のし、ずれかに言 5«の除去液と、表面上に金属酸化物瓛莫が形成された導電 性金属を有する除去処理物とを撒虫させることにより、前記金属酸化物被膜を除去する方 法。
18.金属酸化物搠莫を除去した除去処理物を、不活性ガスを溶解させた水で洗浄する工程 をさらに含む項 17に記載の方法。
19.実質的に不活性ガス雰囲気下でϊ^ことを特徴とする項 17に記載の方法。
20.項 17〜19のし、ずれかに言識の方法によって得られた除去処理物
発明の詳細な記述
本発明者は、鋭意検討を重ねた結果、フッ化水素、特定の有機溶媒及び水を特定の比 率で混合した溶液を用いることにより、効率良く又は選択的に導電性金属の表面に形成さ れた金属変質層 (金属酸化物綳莫を含む)を除去できることを見出し、本発明を完成するに 至った。
本発明の除去液において、フッ化水素:有機溶媒:水の a*比は
0.001〜 10重 ¾%: 70〜99.998重 ¾¾: 0.001〜20 W¾;好まし ま
0.01〜5 S/。:85~99.98 重 ¾½:0·01〜10重 Μ%;より好ましくは
0.1-3 Η%:94〜99.8 Μ :0/!〜 3重: 1%である。各成分がこのような範囲内であれば、 金属を腐:^ "ることなく金属変質層を除去することができる。
本発明の金属酸化物繊莫を含む金属変質層の除去液 (以下、「本発明の除去液」という。 ) は、有機溶媒の物性と少量の水とによるフッ化水素の解離制御、有機溶媒の溶解力および 防食効果の組み合わせにより、その特徴が発現される。
有機溶媒の物性と少量の水によリフッ化水蒸 HF)の解離を調整することにより、 Low-k膜 やシリコン酸化 M(Si02)のエッチング種である HF2_を減らし、主にエッチング種 HF、 (HF)nと H+ を増加させる。低濃度のこれらのエッチング種により、 Lov^k膜やシリコン酸化膜 (Si02)のェ ツチングを抑制し、金属酸化物綱莫ゃ OHと結合したシリコン (Si— OH結合)および/または Hと結合したシリコン (Si— H結合)を含む反射防 J llおよび埋め込み材の除去速度を速め る。
有機溶媒の溶解力により、レジスト、反射防 ±fl奠および埋め込み材ゃドライエッチング及 び/又はアツシングに伴い発生するエッチング残渣に含まれている有機成分を溶解除去でき る。さらに有機溶媒の随効果によって金属 (特に銅)がフッ酸から解離した H+により腐食さ れるのを防ぐことができる。 したがって、本発明の除去液を使用すると、シリコン酸化膜や Low-k膜のエッチングを抑 制し、レジスト、反射防 Ιϋ莫、埋め込み材およびドライエッチング残渣などの不要物の有無 にかかわらず、金属酸化物 ¾1莫、及び/又は、ドライエッチング及び/又はアツシングによる 損 i ¾受けた、金属酸化物繊莫を含む金属変質層を、金属 (特に銅)を腐食させず効率良く除 去することが、できる。
本発明の除去液は、導電性金属として、銅 (Cu)、アルミニウムお)、チタン (Τί)、タングス 亍ン (W)、タンタル (Ta)、コ /くルト (Go)、モリブデン (Mo)、ルテニウム (Ru)、白金 (Pt)、イリ ジゥム (Ir)、これらの合金等を用いて成膜したウェハーにおいて、その金属表面に形成され た酸化物 ¾莫を除去するために使用するのが好ましい。その中でも銅表面上に形成された 銅の酸化物を除去するのにより適している。さらに、ドライエッチングおよび Zまたはアツシ ングによる損傷を受けて形成された、金属酸化物鄉莫を含む金属変質層であり電気抵抗が 増大した部分も除去し、有機溶媒の防食作用により金属の腐食は抑制される。
金属の酸化物綱莫の種類は限定されないが、ドライエッチングおよび Z又はアツシング時 に瞧を含んだプラズマを用いた場合に形成された金属酸化物綱莫、或いはプロセス間の 移動などにより大気に曝された場合に、金属が自然に酸化されてできた自然酸化膜等が挙 げられる。例えば、銅の酸化物としては GuO、 Cu20、 Cu(OH)2等が挙げられる。アルミニゥ ムの酸化物としては Al203、 AI2(OH)3、 ΑΙ20320、 ΑΙ203·3Η20等、チタンの酸化物としては TiO、 Ti02、 Τί203、 Ti02'H20、 Ti02'2H20、コノくル卜の酸化物としては、 CoO、 Co304、 Co203(OH)ある いは Co203'H20、モリブデンの酸化物としては Mo02、 Mo03等、ルテニウムの酸化物として は Ru02、 Ru02-H2ORu04 白金の酸化物としては PtO、 Ρ¾04、 Pt02、 Pt02'3H20、イリジウム の酸化物としては〖r203、 Ir02等、タングステンの酸化物としては W02、 W03等、タンタルの酸 化物としては TaO、 Ta205等が挙げられる。
ドライエッチング及び/又はアツシングによる損傷を受けた、金属酸化物 莫を含む金属 変質層とは、ドライエッチング及び/又はアツシングにより、損傷を受けて酸化および/また はフッ素化された金属酸化 ¾莫とその金属と混合からなる変質層であり、電気抵抗が増大 したものである。この金属変貲層は、酸化および/またはフッ素化された、金属酸化 莫ぉ よび配線金属からなるので、その電気抵抗は金属酸化ネ戲莫に近い絶縁層となる。
酸化物綱莫の厚さも限定されないが、通常、 1〜80A程度、好ましくは 1〜40Α程度が挙 げられる。ドライエッチング及び/又はアツシングによる損 受けた、金属酸化物鄉莫を含 む金属変質層の厚さもドライエッチングやアツシングによる条件により変化して限定されな し、。通常はできる限り少なくなるように調整される。通常、 100 Α程度以下、好ましくは 1〜60 A程度が挙げられる。
本発明の除去液は、フッ化水素 (HF)、有機溶媒及び水を含む。有機溶媒としては、両性有 機溶媒又は極性非プロトン性有機溶媒のどちらを用いてもよい。また、両者を混合して用い ることもできる。
水としては糸 を使用するのが好ましい。フッ化水素としては、希フッ酸 (50重 1%水溶液) を用いるが、より高濃度のフッ化水素酸水溶液、さらには 100%フッ化水素を用いることもでき る。いずれも半導体や液晶のプロセスにおいて、金属等の汚染を引き起こさない純度であ ることが重要である。
本明細書において、 Low-k膜とは、具体的には、比誘電率が、 1より大きく、 4以下程度、 好ましくは 3以下程度、より好まし ま 2. 8以下程度、さらに好ましくは 2. 6以下程度の絶縁 膜を意味する。 Low-k膜としては、例えば、 Black Diamond (商品名、アプライドマテリアルズ 社製)、コーラル (商品名、 Novellus社製)、 LKDシリーズ (商品名、 JSR社製)、オーロラ (商 品名、 ASM社製)、 HSGシリーズ (商品名、日立化成社製)、 Nanoglass (商品名、 Honewell社 製)、 IPS (商品名、触媒化成社製)、 Z3M (商品名、 Dow Corning社製)、 XLK (商品名、 Dow Coming社製)、 FOx (商品名、 Dow Corning社製)、 Orion (商品名 Tricon社 など力挙げられ る。組成としては例えば OHと結合したシリコン (Si— OH結合)および Zまたは Hと結合した シリコン (Si— H結合)を含む低誘電率膜 (Lowk膜、 SiOC, SiOC:Hなどの組成を示した形で表 現されることもある)などのシリコン (Si)含有化合物などが挙げられる。 Lowk膜は主に塗布 と有機プラズマ CVDにより生成される。塗布の場合は原料固有の膜の名称がつけられ、有 機プラズマ CVDの場合は原料と装置により固有の膜の名称がつけられる。
シリコン酸化膜 (Si02)とは、シリコンウエ ヽーを酸化して形成したシリコン熱酸化膜、テトラ エトキシシラン [TEOS: Si(OG2H5)4]を用いて形成した TEOSシリコン酸化膜、高密度プラズマ (High Density Plasma)で形成された HDP シリコン酸化膜、 主にシラノール [(OR)mRnSi(OH)4-m- n]を溶剤に溶かし、ゥェ / \—上にスピン塗布して | ^化させて形成し た SOG(Spin on Glass)とよばれるシリコン酸化膜、リン (P),¾t¾(As),アンチモン (Sb)やボロン (B)などをドープした BPSGと呼ばれるようなシリコン酸化^ ¾:どが挙げられる。
本発明で Low k膜およびシリコン酸化膜の除去液によるエッチングの抑制効果を大きくす るためには、 LovH 膜中にメチル基などのアルキル基と結合したシリコン (S卜 CH3)を多く含 む方がよぐシリコン酸化膜は、熱酸化膜や HDPシリコン酸化 ¾どのようにドープされて し、ない密度の高し、のほうが好ましい。
レジストとしては、 KrF (クリプトンエフ)、 ArF、 F2レジスト等が挙げられるが、これに限定さ れるものではない。
反射防 fl莫および埋め込み材は、有機物を主成分とするものとシリコンなどの無機物を含 むものなどがある。シリコンなどの無馳を含む反射防 itfl莫および埋め込み材とはシリコン、 Si-OH結合および/又は SHH結合などを含むものを示し、プラズマアツシングによリダメー ジを受けたものも、これに該当する。 Si-H結合を含む反射防 1莫および埋め込み材とは、 Si- GH3結合が しない或いは少なぐ SHH結合が多い膜であり、 FT-IR測定データとして な Si-H吸 ベクトル (2200〜2300cm— 1)を Τる SiOxGyHzで示される膜であり、一般に HSQ(Hydrogen Silsesquioxane)と呼ばれているものも含む。 Si- OH結合を含む膜、 Sト H結合 を含む膜、共に、特に Si-CH3結合がない或いは少なぐ Si-H結合および/又は Si-OH結合 が多い膜ほど本発明の除去液により有効に除去できる。本発明では、これらを効果的に除 去することができる。反射防止膜および埋め込み材が有機物を主成分とするものである場 合であっても、メタンスルホン酸のようなァクセプター数が高い溶媒を用いると除去可能で ドライエッチングプロセスに伴い発生するエッチング残渣にはシリコン窒化物を含む場合 がある。ドライエッチグおよび/またはアツシングにおいて、窒素原%含有するガスや窒素 および窒素を添加した混合ガスを用いると、窒素と結合したシリコン (Sト N)を含む不揮発性 のシリコン窒化物を生じる。この様なドライエッチング残渣も本発明の除去液で除去しやす い。
したがって、本発明の本来の目的である「金属酸化物 莫、及び/又は、ドライエッチング 及び/又はアツシングによる損傷を受けた、金属酸化物被膜を含む金属変質層の除去」の妨 げとなるこれらのレジスト、反射防 ihfl莫および埋め込み材ゃドライエッチング及び/又はアツ シングに伴い発生するエッチング残渣が共存する場合であっても、これらを除去し、 Low- k 膜やシリコン酸化膜の除去液によるエッチングを抑制して、金属酸化物 ¾fl莫、及び/又は、ド ライエッチング及び/又はアツシングによる損傷を受けた、金属酸化物被膜を含む金属変質 層を、金属 (特に銅)に対して選択的に除去する。
フッ化水素 (HF)の解離は、水分量と有機溶媒の物性を変化させることにより調整すること 力できる。フッ化水氣 HF)の解離に関与する主な有機溶媒の物性は、比誘電率 ( ε )と酸性■ 塩基性である。
比誘電率( ε r)とは、誘電率 (絶対誘電率) Z真空の誘電率で表される数値である。酸性- 塩基性の指標として、ァクセプター数 (AN)、ドナー数 (DN)、自己プロトリシス定数などが、ある。 自己プロトリシスとは、水、アルコールなどの中 媒、酸などのプロトン供与 ¾ 媒、ホル ムアミドなどの親プロトン性溶媒などの両性溶媒において溶媒間でプロトンの授受が起こる ことをいう。すなわち、これらの溶媒は自己プロトリシス定数 pKSH力《小さい。
自己プロ卜リシス; SH + SH SH2+ + S2- (SH;両 1¾容媒)
自己プロトリシス定数 pKSH; KSH= [SH2+MS2_]/[SH]2
ァクセプター数 A,,とは、 Mayer-Gu mann力提案したァクセプター性の尺度、すなわち溶 媒のルイス酸としての尺度である。 n-へキサン中に溶かした (G2F5)3POの 31P- NMR化学シ フト値を 0とし、 1 ,2-ジクロロェタン中の (C2F5)3PO ' SbGI5錯体の 31P- NMR化学シフト値を 100 としたとき、ある純溶媒中に溶かした (G2F5)3POの 31P- NMR化学シフト値を とする。 = 100 δ (溶媒)/ [ δ (1,2-ジクロロエタン中の (C2F5)3PO■ SbCI5) - δ (η-へキサン中に溶かした (C2F5)3PO)]である。
ドナー数 DNとは Gutmannが提案したドナー性の尺度、すなわち溶媒のルイス塩基として の尺度である。 1,2-ジクロロェタン中の SbCI5(10— 3moldrr 3)と溶媒 (10— 3moldm— 3)とが反応する 際のェンタルピーを kcal mo「1の単位で表した数値の絶対値を DNとする。 DN=- lH(SbCI5) Zkcal mol"1である。
測定値として報告されていなくてもこれに準ずるァクセプター数、ドナー数をもつ有機溶媒 は多数存在する。有機溶媒のァクセプタ一性、ドナー性は推測することができる。例えばァ ルキル基が大きくなるにつれてァクセプター数は小さ よる傾向を持つ。 0 (^)=54.8、 (0¾0 =41.3、 (021"1501"0=37.1、 (0 0 =33.5となり、アルキル基の増加とともに順に 小さくなる。アルキル基が大きいほう力《電子供与性 I効果 (Inductive Effect)は大きぐ水酸基 -OHの Hの電子密度が高くなリ電子受容性が弱くなつているためであると考えることがで きる。 C4H9OHの の報告はないが、 33.5以下の 33.5に近し、値であることが推測できる。こ のように、ドナー数、ァクセプター数力未知な物質と既知の物質とを比較することと、ドナー 性、ァクセプター性を示す原子の電子密度の増減を考えることにより、その物質のドナー性、 ァクセプター性の度合しゝを知ることができ、必ずしも文献値などの測定値は必要ない。有機 溶媒のァクセプター性が強いとし、うことは、溶媒のルイス酸性が強いとしゝうことであり、ドナ 一性が強いとし、うことは溶媒のルイス塩基性が強いとし、うことである。
一般にァクセプター数 20以上の溶媒は両 1 容媒であり、両 1¾'容媒は中性、プロトン供与 性および親プロトン 容媒として分類されている。ァクセプター数 20以下の溶媒は非プロト ン性溶媒であり、比較的、双極子モーメント比誘電率が大きい溶媒は、極性非プロトン性溶 媒、双極子モーメント比誘電率が小さく、酸性、塩基性も弱い溶媒は、不活性溶媒と呼ばれ る。極性非プロトン†ぉ容媒は、水よりも塩基性が強いものを極 141見プロトン性非プロトン溶媒、 水よりも塩基性が弱いものを極 I彌プロトン性非プロトン溶媒に分類される。
本発明で重要な有機溶媒は、中性溶媒、プロトン供与性溶媒などの両性溶媒と極性疎プ 口トン性非プロトン溶媒である。これらの中でも、イソプロピルアルコールなどの中性溶媒と 炭酸プロピレンなどの高誘電率 ( ε r≥20)疎プロトン性非プロトン溶媒が特に重要である。 フッ化水素 (HF)は、水素結合性が強いため比誘電率が高い水 ( ε r: 78)の中でも重合して (HF)nのような水 吉合性ポリマーが することが知られている。
(HF)n <=> nHF (1)
しかし、多くは HFの形で: i¾し、これが解離して以下のような平衡が成り立っている。
HF H+ + F (2)
HF + F HF2" (3)
水中では平衡 1 )と平後 ϊ(3)は右に偏り、平後 j(2)は左に偏っている。
したがって、水中では、フッ化水素濃度が 0.25mol/kg以上であると、 HF2-ができやすく、こ れが主なエッチング種となる。
有機溶媒中では、水よりも比誘電率が小さいため、平後 Ϊ0)は水中よりも右への偏りは小さ い。平後 K2)は水中よりもさらに左方向に偏り、エッチング種 HF力《多く発生する。これと同時 に F-が減少し、平後 K3)から HF2—の発生する量が少なくなる。比誘電率が小さく同程度であ れば、ドナー数、ァクセプター数が大きい方が平後 K2)は若干右に偏る。平衡 (3)では、 HFと F-が同じように有機溶媒により溶媒和されている場合は平衡への景灣は小さいが、 HFの強 く溶媒和する場合は、平衡 3)は右に偏りにくい。
有機溶媒の中でも、比較的、比誘電率が高い ( e r≥20)両性溶媒では自己プロトリシスが 起こり易い。すなわち、以下の平後 i(A)はおに偏り、自己プロ卜リシス定数 pKSH力《小さい。 自己プロトリシス; SH + SH => SH2 + + S2- (SH;i¾† 容媒) (A)
平復 KA)で生じた SH2+から供給される H+とフッ化水素から生じる H+と、 (HF)n、 HFが生成 する。平後 KA)の中では、溶媒 SH中で? ¾できる最も強い酸は SH2+である。 SH2+よりも強 い酸はいずれも完全解離して SH2 +の強さに水平化される。したがってフッ化水素から生じ る H+も大半は SH2 +となる。 H+の与え易さは、溶媒 SHの塩基性が弱いほど H 与えやすしゝ。 すなわち、溶媒の自己プロド Jシス定数力小さいほうが SH2 +を多く発生し、ドナー数が小さ いほど H+が溶媒に保持される能力が小さいため、溶媒 SHは SH2 +の形から被処理物表面 に対して H+を与えやすい。したがって、両性溶媒の中でも、自己プロトリシス定数が小さぐ ドナー数が小さい方が、被処理物表面に供給できる H+が多い。 H+の供給能力は溶媒 SHの ドナー性によって決まる。さらにこれらの溶媒のァクセプター数は水より小さい。ドナー数が 小さいと平複 K2)は左により、 HFは増加する。
この発明の除去液では若干の水分を含んでいるので、さらに平後 K1)は右に偏り、 HFが増 加する。 Π二は溶媒よりもァクセプター数が大きい水が主に溶媒和し、 H+は主には水よりも ドナー数が大きい溶媒が主に溶媒和して SH2+となる。 SH2+の形から被処理物表面に対して H+を与えやすくなる。平後 K3)では、 F、 HF2—とともに溶媒よりもァクセプター数の大きい水が 溶媒和するため、若干量の水だけでは、その平衡の変化は小さ《 HF2_の発生量も少ない。 このように、増加した HFと H+および などのエッチング種と有機溶媒の溶解および防 食効果とによって、レジス卜、反射防 ± および埋め込み材ゃドライエッチング及び/又はァ ッシングに伴い発生するエッチング残渣が共存する場合であっても、これらを除去し、 Low-k 膜やシリコン酸化膜の除去液によるエッチングを抑制して金属酸化物綱莫、及び/又は、ドラ イエツチング及び/又はアツシングによる損 ί 受けた、金属酸化物綱莫を含む金属変質層 を、金属 (特に銅)に対して選択的に除去する。特に、反射防 iy莫および埋め込み材に OHと 結合したシリコン (Sト OH結合)および Zまたは Ηと結合したシリコン (Si- Η結合)を含む場合 やドライエッチング及び/又はアツシングに伴い発生するエッチング残渣にシリコン窒化物を 含む場合には、本発明の除去液は、 H+と(HF)n、HFおよび有機溶媒の相^!果によって、 これらを除去する効果が大きい。このような効果を発する両性溶媒として、メタノール、エタ ノール、プロ/ ノール, t-ブタノールなどのアルコールゃギ酸など力《挙げられる。
しかし、実際の半導体プロセスでアツシングにより、レジスト、反射防 および埋め込み 材などの有機物の除去が不十分である場合、ドライエッチングにより生じた有機物を含有す る残渣が、金属酸化物 ¾莫、及び/又は、ドライエッチング及び/又はアツシングによる損傷 を受けた、金属酸化物 莫を含む金属変質層の周辺に多く存在する。このような場合、有機 物を含有する残渣を溶解させないと金属酸化物観莫、及び/又は、ドライエッチング及び/又 はアツシングによる損驗受けた、金属酸化物綳莫を含む金属変質層を効果的に除去でき ない場合がある。イソプロピルアルコール (PA)は、有機物を含有する残渣中の有機物を溶 解させる機能も含んだ効果的な溶媒のひとつであり、残存する有機物の量が多い場合には、 有機溶媒の溶解性が重要になる。
有機溶媒の中でも、比較的、比誘電率が低い ( ε r<20)両性溶媒では、比較的誘電率が高 い場合 ( £ r≥20)に比べて、平後 j(2)はいつそう左に偏り、 HFの量は多くなる。この種の溶媒 はプロトン供与性溶媒であることが多 酸性が強ぐァクセプタ一数も大きいものが多い。 これらの溶媒中でも、酢酸などカルボン酸のように H+を発生する溶媒では、本発明の除去 液中に H+が多く する。したがって平激 2)はさらに左により HFが増加する。また、ァクセ プター数が大きいため、若干解離して生じた Γに強く溶媒和し、さらには HF2—にも強く溶媒和 しゃすいため、平衡 (3)は右に偏ることなぐ HF2_もそれほど多く発生しなしゝ。すなわち、有機 溶媒の謝 が高く、ァクセプター数が大きいほど H+と HFを多く発生する。本発明の除去 液は若干の水分を含ので、平後 j(1)は右に寄り、 HFが増加し、酢酸などの溶媒自体からも H+ を発生することにより、さらに H+が増加する。
このように、増加した HFと H+および (HF) j:どのエッチング種と有機溶媒の溶解および防 食効果とによって、レジスト、反射防止膜および埋め込み材ゃドライエッチング及び/又はァ ッシングに伴い発生するエッチング残渣が共存する場合であっても、これらを除去し、 Low-k 膜やシリコン酸化膜の除去液によるエッチングを抑制して金属酸化物娜莫、及び/又は、ドラ ィエッチング及び/又はアツシングによる損驗受けた、金属酸化物瓛莫を含む金属変質層 を金属 (特に銅)に対して選択的に除去する。さらに反射防 1莫および埋め込み材に OHと結 合したシリコン (SKDH結合)および または Hと結合したシリコン (Si- H $吉合)を含む場合や ドライエッチング及び/又はアツシングに伴い発生するエッチング残渣にシリコン窒化物を含 む場合には、これらを選択的に除去する効果はより大きくなる。
有機溶媒の中でも、 比誘電率が高い ( ε r≥20)非プ□トン性溶媒は、極性非プロト ン 1 '容媒と呼ばれる。水よりも塩基性が強いものは極 1 '織プロトン性非プロトン溶媒、水より も塩基性が弱いものは極髓プロトン性非プロトン溶媒に分類される。
極赚プロトン性非プロトン溶媒では、ァクセプター数もドナー数も水と同等以下であるた め、平後 K2)の HFとわずかに発生した F"との両方が溶媒和しに《、これらは反応性に富む 状態である。このため、平粼 3)は若干右に偏り、多少 HF2—が発生する。しかし、他の有機溶 媒に比べて比誘電率が高いので、 は右に偏り、 HF2—よりも nHFや HFが多く発生する。 本発明の除去液は若干の水分を含むので、さらに平 ϋθ)は右に寄り、 nHFや HF力《増加し、 平後 K2)も水が関与する分だけおに偏り H 発生する。
これらの増加した HFと H+および ( などのエッチング種と有機溶媒の溶解および防食 効果とによって、レジスト、反射防 1莫および埋め込み材ゃドライエッチング及び/又はアツ シングに伴い発生するエッチング残渣が共存する場合であっても、これらを除去し、金属酸 化物 莫、及び/又は、ドライエッチング及び/又はアツシングによる損驗受けた、金属酸 化物 ¾1莫を含む金属変質層を選択的に除去する。さらには反射防止膜および埋め込み材 に OHと結合したシリコン 一 OH結合)および または Hと結合したシリコン (SH"!結合)を 含む場合やドライエッチング及び/又はアツシングに伴い発生するエッチング残渣にシリコン 窒化物を含む場合にはこれらの除去効果が大きい。このような溶媒として、炭酸プロピレン、 ァセトニ卜リル、ニトロメタン、スルホランなどが挙げられる。
極 ttf見プロトン性非プロトン溶媒では、塩基性、ドナ一性が強いものが多ぐ Γは反応性に 富んでいるが、 HFが強く溶媒和されるため、平後 j(3)は左右どちらにも偏りにくい。わずかに 解離して生じた H+も強く溶媒和されるため、エッチング種 HF、 (HF)nと H+の反応性はそれほど 大きくな Ι 。ジメチルスルホキシド (DMSO)、ジメチルホルムアミド (DMF)などの極性親プロ卜 ン非プロトン溶媒は、ドナー性は非常に強ぐ金属酸化物掘莫、及び/又は、ドライエッチング 及び/又はアツシングによる損傷を受けた、金属酸化物被膜を含む金属変質層および金属 膜に対しては、金属イオンに強く溶媒和するため、 (a)金属酸化物 ¾莫、及び/又は、ドライ エッチング及び/又はアツシングによる損 受けた、金属酸化物綱莫を含む金属変質層と (b)金属との両方とも、上述の他の溶媒に比べて同じフッ化水素濃度における除去速度が大 きぐこれらを非常に溶解しやすい。除去の選択比 (a/b)は 1前後である。したがって、戦虫溶 媒としては使用しにくい。一方で Low-k膜やシリコン酸化膜、 OHと結合したシリコン (Si— OH 結合)および/または Hと結合したシリコン (Si- H結合)を含む反射防 iL および埋め込み 材ゃドライエッチングに伴い発生するエッチング残渣に対するエッチング能力は上述の他の 溶媒に比べて小さい。極性親プロトン非プロトン溶媒を、金属酸化物 莫、及び/又は、ドラ イエツチング及び/又はアツシングによる損傷を受けた、金属酸化物被膜を含む金属変質層 の除去能力が小さい他の溶媒、例えばフッ化水素添加量の少ない低誘電率 ( ε 20)非プ 口トン† 容媒などに添加すると、 OHと結合したシリコン (SK H結合)および Zまたは Hと結 合したシリコン (Si- H結合)を含む反射防 ±1莫および埋め込み材ゃドライエッチングに伴い発 生するエッチング残渣の除去能力は低下する。しかしながら、 Low-k膜やシリコン酸化膜の 除去液によるエッチング速度を低下させ、配線材料である金属に形成された金属酸化物被 膜、及び/又は、ドライエッチング及び/又はアツシングによる損傷を受けた、金属酸化物被 膜を含む金属変質層を除去する ¾ を大きくする効果を付与することができる。
有機溶媒の中でも、比較的、比誘電率が低い ( ε 20)非プロトン性溶媒では、ドナー数、 ァクセプター数、共に水のそれらよりも小さい。したがって平撤 1)は右に偏りに《、 (HF)nが 多く する。平後 K2)は左に偏り、 HFは多 < ¾する。平後 K2)で F-が少ないことから、 ψ ϊ (3)では、左に偏り HF2—よりも HF力できやすくなる。本発明の除去液は水を含むので、平衡 (1)は右に寄り、 (HF)nと HFが増加し、平後 K2)も若干右に寄ることにより、 H+も増加する。 H+ は主にはドナー数が大きい水が溶媒和して H30+となる。 Γも増加するが、 Fには溶媒よりも ァクセプター数が大きい水が溶媒和する。平復 K3)では、 F、 HF2—とともに溶媒よりもァクセプ ター数の大きい水が溶媒和するため、若干量の水では、その平衡の変化は小さぐ HF2一の 発生量も少ない。若干の水分を含むことにより、比較的誘電率が高い場合 ( ε r≥20)と同様 に、フッ化水素の解難果が増幅される。このように、
このように、増加した HFと H+および (HFVcCどのエッチング種と有機溶媒の溶解および防 食効果とによって、レジスト、反射防 ±|莫および埋め込み材ゃドライエッチング及び/又はァ ッシングに伴い発生するエッチング残渣が共存する場合であっても、これらを除去し、 Low-k fl莫ゃシリコン酸化膜の除去液によるエッチングを抑制して金属酸化物 ¾i莫、及び/又は、ドラ ィエッチング及び/又はアツシングによる損 受けた、金属酸化物綱莫を含む金属変質層 を金属 (特に銅)に対して選択的に除去する。さらに 寸防 ih および埋め込み材に OHと結 合したシリコン (Si- OH結合)および Zまたは Hと結合したシリコン (Si-H結合)を含む場合や ドライエッチング及び/又はアツシングに伴い発生するエッチング残渣にシリコン窒化物を含 む場合には、これらを選択的に除去する効果はいつそうが大きくなる。この種の溶媒として、 酢酸工チル、酢酸メチルなどの一部のエステル類、テ卜ラヒドロフラン、ジォキサン、ジメトキ シェタン、卜リエチレングリコールジメチルエーテルなどの一部のエーテル類が挙げられ る。
以上のような効果が大きい有機溶媒として、アルコールなどの高誘電率 ( ε r≥20)両性溶 媒、酢酸などの低誘電率 ( ε rく 20)両性溶媒などの両性溶媒、ジメトキシェタンなどの低誘 電率 ( ε r<20)非プロトン性溶媒、炭酸プロピレンなどの高誘電率 ( ε r≥20)i¾^口トン性非プ 口トン溶媒があげられる。これらの中でも、アルコールなどの高誘電率 ( ε r≥20)両性溶媒、 炭酸プロピレンなどの高誘電率 ( £ r≥20)疎プロトン性非プロトン溶媒が特に効果がある。 さらに、これらの中でも、金属に対して防食効果が大きい溶媒が する。イソプロピル アルコール、 tert-ブタノールなどの炭素数 3以上の水溶性アルコールおよび酢酸、ギ酸、卜 リフルォロ酢酸、酢酸メチル、酢酸ェチル、炭酸プロピレンなどの分子中にカルボキシル基 (COOH)を含むものやカルボキシエステル (一C(=0)0-)を形成している物質、ジメトキシェ タンなど分子中に酸素原子を二つ有する物質などが金属に対して防食作用が大きい。これ らは、金属が銅の場合に特に随効果が大きい。これらの防食効果は、瞧原子の非共有 電子対のドナー性によるものである。隨原子の非共有電子対が金属の表面に結合するこ とにより防食効果を発生させている。特に、カルボキシル基 (GOOH)を含むものやカルボキ シエステル (一C(=0)0—)を形成している物質はその効果がさらに大きい。
イソプロピルアルコール、 te ブタノールなどの炭素数 3以上の水溶性アルコールは、フ ッ化水素濃度を増加させると金属酸化物被膜、及び/又は、ドライエッチング及び/又はアツ シングによる損傷を受けた、金属酸化物被膜を含む金属変質層金属の除去速度は増大す るが、金属 (特に銅)の腐食速度の変化は小さ《金属 (特に銅)に対して選択的に除去できる。 これは、これらの溶媒の防食効果と自己プロトリシスにより酸性度が SH2+の強さに水平化 されるためにおこる。
同じ種類に分類された溶媒においては、比誘電率 ( ε r)及びァクセプター数 (AN)が大きぐ ドナー数 (DN)自己プロド Jシス定数 (pKSH)小さいほど、同じフッ化水素濃度における (a)金属酸 化物 ¾莫、及び/又は、ドライエッチング及び/又はアツシングによる損傷を受けた、金属酸 化物綱莫を含む金属変質層の (b)金属に対するエッチング選択比 (a/bX除去選択比)は大きく なる傾向がある。このことは金属酸化物被膜、及び/又は、ドライエッチング及び/又はアツ シングによる損 i ^受けた、金属酸化物御莫を含む金属変質層の除去とし、う観点からは好 ましい。
例えば、フッ化水素濃度 0.15mol /しとほぼ同量の水とを含む両 容媒であるアルコールな どの中 1 溶媒では、
イソプロピルアルコール (IPA)、 1-プロパノール (1-PrOH)、エタノール (EtOH)、メタノール (MeOH)に対して (a' )酸化銅の (b' )銅に対するエッチング選キ尺比 (a' /b' X除去選択比)と有機溶 媒の物性との間には 選択比 (a' /b' );IPA(0.7) < 1-PrOH(1.0) < EtOH(1.5XMeOH(1.8)
ε r;IPA(19.9)く 1-PrOH(20.5)く EtOH(24.6)< MeOH(32.7)
AN;IPA(33.6) < 1-PrOH(37.3)く EtOH(37.9X MeOH(41.3)
DN;IPA(36) , 1-PrOH(36)> EtOH(32) > MeOH(19)
pKSH;IPA(21.1) >1-PrOH(19.4) > EtOH(19.1) > MeOH(17.2)
の関係が成り立つ。
メタノール (MeOH)が最も酸化銅の膜を除去する効率が高い事がわかる。しかし、このときの 銅の腐食 il^A/min)は
IPA(0.8) < 1-PrOH(2.3)く EtOH(3.3)< MeOH(17.7)
となり、アルコールの場合、エッチング選択比 (a' /b' X除去選択比)が大きい方が、銅のエツ チング速度も大きくなリ、銅をエッチングしすぎることによる不都合を生じる。実用的には、 IPAのほうが除去液の有機溶媒としては適用しやすい。 IPAではフッ化水素濃度を増加させ ると、金属酸化物 ¾莫、及び/又は、ドライエッチング及び/又はアツシングによる損 ί§¾受け た、金属酸化物綱莫を含む金属変質層金属のエッチング驗は増大するが、溶媒の防食効 果と自己プロトリシスにょリ酣娘が SH2 +の強さに水平化されるため、金属 (特に銅)の腐食 離の変化は小さぐ金属 (特に銅)に対して選択的に除去できる。
実際のプロセスにおいては、金属酸化物綳莫、及び/又は、ドライエッチング及び/又はァ ッシングによる損驗受けた、金属酸化物綱莫を含む金属変質層の取れやすさや、レジスト、 反射防 Itj莫および埋め込み材ゃドライエッチング及び/又はアツシングに伴い発生するエツ チング残渣の有無によっても用いる ¾1の有機溶媒は^:る。
これらの有機溶媒の中でも、水溶性の有機溶媒が好ましい。水溶性であると、本発明の除 去液で処理したあと、純水でリンスすることにより、ウェハーなどの被処理物に残った本発 明の除去液を容易に取り除くことができるためである。
好ましい有機溶媒のうち両性溶媒としては、例えば、メタノール、エタノール (メタノール、 エタノールは単独では使用せず、他の溶媒と併用される)、プロパノール、イソプロピルアル コール (IPA)、t -ブタノール、ァリルアルコール、エチレングリコール、プロピレンレングリコ —ル、エチレングリコールモノメチルエーテル、エチレングリコールモノェチルエーテル、ェ チレングリコールモノプロピルエーテル、エチレングリコールモノイソプロピルエーテル、ェ チレングリコールモノブチルエーテル、エチレングリコールモノイソブチルエーテル、ジェチ レングリコールモノメチルエーテル、ジエチレングリコールモノェチルエーテル、ジエチレン グリコールモノプロピルエーテル、ジエチレングリコールモノイソプロピルエーテル、ジェチ レングリコールモノブチルエーテル、ジエチレングリコールモノイソブチルエーテル、トリェ チレングリコールモノメチルエーテル、トリエチレングリコールモノェチルエーテル、卜リエチ レングリコールモノプロピルェ"^ル、トリエチレングリコールモノイソプロピルエーテル、ト リエチレングリコールモノブチルエーテル、トリエチレングリコールモノイソブチルエーテル、 ポリエチレングリコールモノメチルエーテル、プロピレングリコールモノメチルエーテル、プ ロピレングリコールモノェチルエーテル、プロピレングリコールモノプロピルエーテル、プロ ピレンダリコールモノイソプロピルエーテル、プロピレングリコールモノイソブチルエーテル、 プロピレングリコールモノブチルエーテル、ジプロピレングリコールモノメチルエーテル、ジ プロピレングリコールモノェチルエーテル、ジプロピレングリコールモノプロピルエーテル、 ジプロピレングリコールモノイソプロピルエーテル、トリプロピレングリコールモノメチルエー テル、エチレングリコールモノァリルエーテル等の 1価又は多価アルコール類、ギ酸、酢酸、 プロピオン酸、酪酸、イソ酪酸、モノクロ口酢酸、ジクロロ酢酸、卜リクロロ酢酸、モノフルォロ 酢酸、ジフルォロ酢酸、トリフルォロ酢酸、 一クロ口酪酸、 β一クロ口酪酸、 Ύ—クロロ酪 酸、乳酸、グリコール酸、ピルビン酸、グリオキサル酸、アクリル酸、メタクリル酸等のカル ポン酸類、メタンスルホン酸、トルエンスルホン酸、ベンゼンスルホン酸、トリフルォロメタン スルホン酸等のスルホン酸類、テトラメチル尿素、 Ν—メチルホルムアミド、 Ν—メチルァセトァ ミド、ホルムアミド等のアミド類が挙げられる。
その中でも、プロパノール、イソプロピルアルコール及び t -ブタノールなどの一価アルコ ール、エチレングリコール及びプロピレングリコールなどの二価アルコール、エチレングリコ ールモノメチルエーテル、エチレングリコールモノェチルエーテル、ジエチレングリコールモ ノメチルエーテル、トリエチレングリコールモノメチルエーテル、ポリエチレングリコールモノ メチルエーテル、ジエチレングリコールモノブチルエーテル、卜リエチレングリコールモノブ チルェ ~^ル、ジエチレングリコールモノイソブチルエーテル、トリプロピレングリコールモノ メチルエーテル、ジエチレングリコールモノへキシルエーテル、ジエチレングリコールモノべ ンジルエーテルなどのモノアルキルグリコールエーテル、ギ酸、酢酸などのカルボン酸、メ タンスルホン酸、及び N-メチルホルムアミド、 N-メチルァセトアミド、ホルムアミドなどのアミ ド等がさらに好ましい。
さらには、イソプロピルアルコール、 t-ブタノール、エチレングリコールモノェチルエーテ ル、ジエチレングリコールモノメチルェ" ^ル、トリエチレングリコールモノメチルエーテル、 ジエチレングリコールモノブチルエーテル、トリエチレングリコールモノブチルエーテル、ジ エチレングリコールモノイソブチルエーテル、トリプロピレングリコールモノメチルエーテル、 ジエチレングリコールモノべンジルエーテル、酢酸、メタンスルホン酸がさらにいつそう好ま しい。これらの中でイソプロピルアルコールは最も好ましい。
また、同樹こ有機溶媒のうち極性非プロトン 媒として好ましいものは、例えば、ジメチ ルホルムアミ ジメチルァセトアミド、へキサメチルリン酸トリアミド、 N-メチル -2-ピロリド ン、 1,1 ,3,3-テトラメチル尿素、 N-メチルプロピオンアミド及ぴジメチルイミダゾリジノンなど のアミド、ジメチルスルホキシド、スルホラン、ジメチルチオホルムアミド及び N-メチルチオ ピロリドンなどの硫黄化合物 (以上のアミドおよび硫黄化合物は戦虫では使用せず、他の溶 媒と併用される)、酢酸メチル、酢酸ェチル、酢酸プチル、プロピオン酸メチル、プロピオン 酸ェチル、炭酸プロピレン、炭酸エチレン、ジェチルカーボネート、ジメチルカ一ポネート、 ェチルメチルカ一ポネート、亜硫酸エチレン、 r一プチロラクトン、リン酸トリプチル、リン酸 卜リメチル等のエステル類、ジォキサン、トリオキサン、ジグライム、 1,2-ジメトキシェタン、 テトラヒドロフラン、ジェチルエーテル、ジメトキシメタン、ジメトキシプロパン、ジエトキシメタ ン、 1 ,1-ジメトキシェタン、エチレングリコールメチルェテルエ テル、エチレングリコールジ ェチルエーテル、ジエチレングリコールジメチルエーテル、ジエチレングリコールメチルェ チルエーテル、ジエチレングリコールジェチルエーテル、トリエチレングリコールジメチルェ 一テル、トリエチレングリコールェチルメチルエーテル、卜リエチレングリコールジェチルェ 一テル、テトラエチレングリコールジメチルエーテル、テトラエチレングリコ一ルジェチルェ ー亍ル、ポリエチレングリコールジメチルェ" ^ル、エチレングリコールモノメチルエーテル アセテート、エチレングリコールモノェチルエーテルアセテート、ジエチレングリコールモノ メチルエーテルアセテート及びジエチレングリコールモノェチルエーテルアセテート等のェ —テル類、アセトン等のケトン類、無水酢酸等の翻水物類が挙げられる。
その中でも、極性非プロトン性溶媒が酢酸メチル、酢酸ェチル、酢酸プチル、炭酸プロピ レン、炭酸エチレン、ジェチルカーボネート、ジメチルカーポネート、ェチルメチルカーボネ
—ト、亜硫酸エチレン、 r—プチロラクトン、リン酸トリブチル及びリン酸トリメチルなどのェ ステル、ジォキサン、ドリオキサン、 1,1 -ジメ卜キシェタン、 1,2-ジメトキシェタン、テトラヒドロ フラン、ジメトキシメタン、ジメ卜キシプロパン、ジエトキシメタン、ジグライム、ジエチレンダリ コールジェチルエーテル、トリエチレングリコールジメチルエーテル、テトラエチレングリコ ールジメチルエーテル及びポリエチレングリコールジメチルエーテルなどのエーテル、ァセ 卜ン及び無水酢酸がさらに好ましい。
さらに、酢酸メチル、酢酸ェチル、炭酸プロピレン、炭酸エチレン、ジ工チルカーポネート、 ジメチルカーボネート、ェチルメチルカーボネート、ジォキサン、 1,2-ジメトキシェタン、ジグ ライム、ジエチレングリコールジェチルエーテル、トリエチレングリコールジメチルエーテル、 テトラエチレングリコールジメチルエーテル、アセトン、無水酢酸がさらにいつそう好ましい。 これらの中で炭酸プロピレンが最も好ましい。
両性溶媒、非プロトン 1¾ 媒のいずれにおいても、シリコン酸化膜や Low-k膜のエツチン グを抑制し、レジス卜、反射防 ±莫、 ±里め込み材およびエッチング残渣などの有無にかかわ らず、金属酸化物綳莫、及び Z又は、ドライエッチング及び/又はアツシングによる損驗受 けた、金属酸化物被膜を含む金属変質層を、金属 (特に銅)に対して選択的に除去できる溶 媒ほど好ましい。すなわち、有機物に対する溶解力と金属に対する防食効果を持ち合わせ、 フッ化水素の解離制御をしやすい溶媒ほど好ましい溶媒である。
さらに、本発明の除去液を使用する環境において引火する恐れのある場合は、ジェチレ ングリコールモノメチルエーテル、卜リエチレングリコールモノメチルエーテル、ポリエチレン グリコールモノメチルェ" ^ル、ジエチレングリコールモノプチルェ" ^ル、トリエチレングリ コールモノブチルエーテル、ジエチレングリコールモノイソブチルエーテル、トリプロピレン グリコールモノメチルェ" ^ル、ジエチレングリコールモノへキシルエーテル、ジエチレング リコールモノべンジルエーテル、ジエチレングリコールジェチルエーテル、トリエチレングリ コールジメチルエーテル、テ卜ラエチレングリコールジメチルエーテル及びポリエチレングリ コールジメチルエ ルなどの高弓 I火点の有機溶媒を使用することが望ましい。
水溶性の小さい有機溶媒は、本発明の除去液で処理したあと、イソプロピルアルコール (PA)などの水溶性有機溶媒でリンスすることにより、ウェハーなどの被処理物に残った本発 明の除去液を取り除くこと力できる。処理工程が増加するので通常は好ましくない。しかし、 これらを添加することにより次のような効果を得ることができる。水溶性の小さい有機溶媒 は、水溶性の有機溶媒に添加することにより、より効果を発生させることができる。水溶性 の小さい有機溶媒は、比誘電率が小さい場合が多ぐ比誘電率の比較的高い水溶性の有機 溶媒に添加することにより、混合溶液の比誘電率を低下させ、 (HF)n、 HFおよび H+を発生さ せて、 Lovr-k膜やシリコン酸化膜のエッチングを抑制して金属酸化物綱莫、及び/又は、ドラ ィエッチング及び/又はアツシングによる損驗受けた、金属酸化物綳莫を含む金属変質層 を、金属 (特に銅)に対して選択的に除去する。また、ドナー数なども低下させることも可能で ある。このように、水溶性の小さい有機溶媒と水溶性の有機溶媒との混合によるエッチング 種の制御の効果を得ることができる。
本発明の除去液において使用する有機溶媒が両 1'ぉ容媒であるか又は極性非プロトン 1¾'容 媒であるかによって、除去液の性質が異なることがある。従って、有機溶媒として両性溶媒 を使用する場合と非プロトン† 容媒を使用する場合とに分けて、以下に述べる。
(1 ) 有機溶媒として両腦某を使用する場合 両†i ^媒としては、上述したものが使用できる。これらの中でも、特に、比誘電率 ( ε r)及び ァクセプター数 (AN)が大きく、ドナー数 (DN)自己プロトリシス定数 (p )小さいほど、 Lowk膜 やシリコン酸化膜の除去液によるエッチングを抑制して金属酸化物ネ戲莫、及び/又は、ドライ エッチング及び/又はアツシングによる損驗受けた、金属酸化物綱莫を含む金属変質層を 金属 (特に銅)に対して選択的に除去できる。レジス卜、反射防止膜および埋め込み材ゃドラ ィエッチング及び/又はアツシングに伴い発生するエッチング残渣が残っている場合には有 機溶媒の溶解性が重要になる。フッ化水素濃度を増加させた場合には、有機溶媒の防食効 果も必要である。このような条件を満たす両性溶媒としては、例えば、メタノール、エタノー ル (メタノール、エタノールは對虫では使用せず、他の溶媒と併用される)、プロパノール、ィ ソプロピルアルコール、 tert-ブタノール、エチレングリコールモノメチルエーテル、ジェチレ ングリコールモノメチルエーテル、トリエチレングリコールモノメチルエーテル、ポリエチレン グリコールモノメチルエ^1ル、ジエチレングリコールモノブチルエ ル、卜リエチレングリ コールモノブチルエーテル、ジエチレングリコールモノイソブチルエーテル、トリプロピレン グリコールモノメチルエーテル、ジエチレングリコールモノへキシルエーテル、ギ酸、酢酸、 N-メチルホルムアミド、等が挙げられる。
これらの両性溶媒は、単独で使用することもできるし、複数の両性溶媒を混合して使用す ることもできる。複数の両性溶媒を混合することにより、金属酸化物鄉莫の除去速度等を調 整することも可能である。メタノールのように金属酸化物綳莫、及び/又は、ドライエッチング 及び/又はアツシングによる損傷を受けた、金属酸化物被膜を含む金属変質層の除去液に よるエッチング (除去)速度と金属 (特に銅)のエッチング (腐食)速度のともに大きい場合は、ご く短時間の処理には適している力《長時間の処理には不向きである。溶解性や防食性の効果 の高いイソプロピルアルコール、酢酸などに添加して、金属酸化物ネ劇莫、及び/又は、ドライ エッチング及び/又はアツシングによる損傷を受けた、金属酸化物被膜を含む金属変質層の 除去聽を増大させる効果を付与することができる。
また、両性溶媒を用いる場合には、除去液中のフッ化水素の濃度を増加させることにより、 金属酸化物綱莫の除去速度が増加するが金属自体の腐食は抑制されているので好ましい。 このときのフッ化水素の濃度は、 0.001〜10重量%程度、より好ましくは 0.05〜8重量 %程度が よい。
更に、両性溶媒を用いる場合には、除去液中の水の重量 %を増加させると酸化物被膜の 除去雖が高くなるので好ましい。除去液中の好ましい水の量としては、例えば、 0.001〜20 重量/。、好ましくは 0.05〜 6 M。が挙げられる。
また、両 1' 容媒の濃度は 70〜99.98重 *%程度、より好ましくは 76〜99.9重 1%禾 MJ がよい。 さらに、有機溶媒が両性溶媒である本発明の除去液において、フッ化水素:両性溶媒:水 の 比は
0.05-8重: 1 : 84〜99.9 £1*/。: 0.05〜8重: 1%;好ましくは
0.1〜5重量/。: 90〜99.8 Β*/ο:0.1〜5 fi¾%;より好ましくは
0.5-3重 ¾: 94〜99 aa¾: 0.5-3 My。である。
本発明における好ましい除去液としては、以下のものが例示できる。
'フッ化水素: tert-ブタノール:水 =0;!〜 5重 B%:90〜99.8 Bfi½:0.〜 5雷 "¾¾
,フッ化水素: IPA:水 =0;!〜 5重靈 /。: 90〜99.8 ¾¾:0.1 ~5雷
•フッ化水素:エチレングリコールモノメチルェ" ^ル:水 =0·05〜5 M%: 90〜99.9 Mm%: 0.05~5 W %
'フッ化水素:卜リエチレングリコ一ルジメチルェ ~ΪΡル:水
=0.05〜5 Μ ^: 90~99.9 Μ% : 0.05〜5雷 S¾
■フッ化水素:ギ酸:水 =0.05〜5重 ¾%: 90~99.9重 *% : 0.05〜5雷
'フッ化水素:酢酸:水 =0·05〜5重 *%: 90〜99.9 fi¾ :0.05~5 M%
■フッ化水素: N-メチノレホルムアミド:水
=0.001〜1重¾½: 98〜99.998重¾½ : 0.001〜1雷 ¾°ん
(2)有機溶媒として極性非プロトン性溶媒を使用する場合
非プロトン 1 '容媒としては上述したものが使用できる。これらの中でも、特に、比誘電率 (ど r)及びァクセプター数 (AN)が大き《ドナー数 (DN)自己プロトリシス定数 (pK 小さいほど、 Low-k膜やシリコン酸化膜の除去液によるエッチングを抑制して、金属酸化物網莫、及び/ 又は、ドライエッチング及び/又はアツシングによる損 受けた、金属酸化物 ¾fl莫を含む金 属変質層を金属 (特に銅)に対して選択的に除去できるが、その傾向は両 1 媒ほど顕著で ない。
比誘電率が高《ε r≥20)水よりも塩基性が強い (ドナー数が 25以上)極龍プロトン性非 プロトン溶媒では、ドナー数が大きいと金属酸化物綳莫、及び/又は、ドライエッチング及び/ 又はアツシングによる損 受けた、金属酸化物 ¾莫を含む金属変質層の除去液によるェ ツチング (除去)速度と金属 (特に銅)のエッチング (腐食)離のともに大きぐ選択比も 1 前後 で扱いにくい。した力《つて、フッ化水素濃度が極端に薄い領域か、現虫溶媒としてではなく混 合溶媒として使用することが好ましい。このような溶媒として、ジメチルホルムアミド、ジメチ ルァセトアミド、へキサメチルリン酸トリアミド、 N-メチル -2-ピロリドン、 1 ,1 ,3,3-テトラメチル 尿素、 N-メチルプロピオンアミド及びジメチルイミダゾリジノンなどのアミド、ジメチルスル ホキシド、スルホラン、ジメチルチオホルムアミド及び N—メチルチオピロリドンなどの硫黄化 合物などが挙げられる。金属酸化物綱莫を含む金属変質層の除去能力力《小さい他の溶媒、 例えばフッ化水素の添加量の少ない低誘電率 ( ε r≤20)非プロトン性溶媒などに添加すると、 Low-k fl莫ゃシリコン酸化膜の除去液によるエッチング ίΙ¾を低下させ、配線材料である金属 に形成された金属酸化物綱莫、及び/又は、ドライエッチング及び/又はアツシングによる損 傷を受けた、金属酸化物綱莫を含む金属変質層を除去する速度を大きくする効果を付与す ることができる。
水よりも塩基性が弱い (ドナ一数が 25以下)極随プロトン性非プロトン溶媒では、
Lowrk膜やシリコン酸化膜の除去液によるエッチングを抑制して、金属酸化物 ¾莫、及び/ 又は、ドライエッチング及び/又はアツシングによる損 受けた、金属酸化物 ¾fl莫を含む金 属変質層を金属 (特に銅)に対して選択的に除去できる。
好ましい極性疎プロトン性非プロトン溶媒としては、酢酸メチル、酢酸ェチル、酢酸プチル、 炭酸プロピレン、炭酸エチレン、ジェチルカーボネート、ジメチルカーボネート、ェチルメチ ルカーボネート、亜硫酸エチレン、 r—プチロラクトン、リン酸トリブチル及びリン酸トリメチ ルなどのエステル、ジォキサン、ド Jォキサン、 1 ,1-ジメ卜キシェタン、 1 ,2-ジメトキシェタン、 テトラヒドロフラン、ジメ卜キシメタン、ジメトキシプロパン、ジエトキシメタン、ジグライム、ジ エチレングリコ一ルジェチルエーテル、トリエチレングリコ一ルジメチルェ一テル、テトラエ チレングリコールジメチルエーテル及びポリエチレングリコールジメチルエーテルなどのェ 一テル、アセトン及び無水酢酸等が挙げられる。
特に炭酸プロピレンはその効果が著しくおおきい。 また、これらの氷よりも塩基性が弱い (ドナー数が 25以下)極 ¾i¾プロトン性非プロトン溶媒 は、単独で使用することもできるし、複数の非プロトン性溶媒を混合して使用することもでき る。複数の非プロトン性溶媒を混合することにより、金属酸化物 ¾fl莫の除去離等を調整す ることも可能である。
極性非プロトン 容媒を用いる場合には、除去液中の HFの濃度により金属酸化物瓛莫 の除去速度等に対する影響を受けやすい。換言すれば HFの濃度が高すぎれば金属自体 の腐食を促進する可能性もある。従って、金属自体の腐食を抑制しながら金属酸化物被膜 の優れた除去離を達 J るためには、 HFの濃度範囲は 0.0001〜5 S*/。程度、より好ま しくは 0.0001〜3程度がよい。
また、極性非プロトン ¾;'容媒の濃度は、 70〜99.98重 1%程度、より好ましくは 76〜99.9重 *%程度がよい。
さらに、有機溶媒が極性非プロトン性溶媒である本発明の除去液において、フッ化水素: 極性非プロトン性溶媒:水の重量比は
0.0001 ~5重 : 90〜99.9499重 M : 0.05〜5 %;好ましくは
0.01〜5 aS%:90~99.98 ¾¾%:0.01〜5重 /。;より好ましくは
0.1〜4 WW,: 92〜99.8 W¾: 0.1〜4 である。
本発明における好ましい除去液としては、以下のものが例示できる。
'フッ化水素: 1,2-ジメトキシェタン:水 =0.1〜4重 %: 92〜99.8重 S :0.1〜4 M%
'フッ化水素:炭酸プロピレン:水 =0.1〜4 W %: 92〜99·8重量%: 0.1〜4重 ¾έ
■フッ化水素:アセトン:水 =0.1〜4 mm%: 92〜99.8重靈/。: 0.1〜4雷
■フッ化水素:酢酸ェチル:水 =0.1〜4 %: 92〜99.8 aa : 0.1〜4重靈ん
(3)有機溶媒として、両 1^容媒と極性非プロトン† 容媒とを使用する場合
本発明において、例えば、金属酸化物の除去速度等について本発明の除去液の性質を 調整するために、両性溶媒と極性非プロトン性溶媒とを混合して使用することができる。す なわち、 HF、水及び両性溶媒と極性非プロ卜ン性溶媒との混合物を含む除去液を本発明の 除去液として使用することができる。
混合する両性溶媒と非プロトン性溶媒との比率は限定されず、目的とする除去液の性質 に応じて適 131択することができる。
随剤
本発明において、除去液の構成要素である有機溶媒の中には金属に対して、防食効果を 有するものもの存在する。例えば、イソプロピルアルコール、 tert-ブタノールなどの炭素数 3以上の水溶性アルコール、酢酸、ギ酸、トリフルォロ酢酸、酢酸メチル、酢酸ェチル、炭酸 プロピレンなどの分子中に力ルポキシル基 (COOH)を含むものやカルボキシエステル (一 C(=0)0—)を形成している物質、ジメトキシェタンなど分子中に瞧原子を二つ有する物質 など力《金属に対して防食作用が大きい。これらは、金属が銅の場合に特に!^効果が大き し、。これらを含めて、さらに金属の腐食を防止するために、除去液中に防食剤を添加するこ とも可能である。防食剤の種類は限定されないが、例えば、フエノール、クレゾ一ル、キシレ ノール、ピロカテコール、レゾルシノール、ヒドロキノン、ピロガロール、 1 , 2, 4一ベンゼン トリオール、サリチルアルコール、 p—ヒドロキシベンジルアルコール、 o—ヒドロキシベンジ ルアルコール、 p—ヒドロキシフエネチルアルコール、 p—ァミノフエノール、 m—アミノフエノ ール、ジァミノフエノール、アミノレゾルシノール、 p—ヒドロキシ安息香酸、 o—ヒドロキシ安 息香酸、 2, 4—ジヒドロキシ安息香酸、 2, 5—ジヒドロキシ安息香酸、 3, 4ージヒドロキシ 安息香酸、 3, 5—ジヒドロキシ安息香酸、これらの誘導体等などの芳香族ヒドロキシ化合物、 ベンゾトリアゾール、 o—トリルトリァゾール、 m—ドリルトリァゾール、 p—トリルトリァゾー ル、カルボキシベンゾトリァゾール、 1ーヒドロキシベンゾトリアゾール、ニトロべンゾトリア ゾール、ジヒドロキシプロピルべンゾトリァゾール、これらの誘導体等の卜リアゾール化合物、 シクロデキストリン、 D—ソルビトール、ァラビトール、マンニトール、蔗糖、アミロース (澱 粉)、アミロぺクチン、これらの誘導体等の糖類、 1—プロパンチオール、 1—デカンチォー ル、 n—へキサデシルメルカブタン、 一トルエンチオール、フリフリルメルカブタン、ァリル メルカブタン、これらの誘導体等のチオール類、蟻酸、酢酸、プロピオン酸、酪酸、イソ酪酸、 シユウ酸、マロン酸、コハク酸、グルタル酸、マレイン酸、フマル酸、安息香酸、フタル酸、 1 , 2, 3-ベンゼントリカルボン酸、グリコール酸、乳酸、リンゴ酸、クェン酸、無水酢酸、無水 フタル酸、無水マレイン酸、無水コハク酸、サリチル酸、これらの誘導体等の力ルポキシル 基含有有機化合物及びそれらの無水物を挙げることができる。力ルポキシル基含有有機化 合物及びその無水物のうち好ましし、ものとしては、蟻酸、フタル酸、安息香酸、無水フタル酸、 及びサリチル酸などが挙げられる。
また、 2—ブチン一 1, 4ージォ一ル、 3, 5—ジメチルー 1一へキシン一 3—オール、 2— メチルー 3—ブチン一 2—オール、 3—メチルー 1一ペンチン一 3—オール、 3, 6—ジメチ ル一4—ォクチン一 3, 6—ジオール、 2, 4, 7, 9—テトラメチル一 5—デシン一 4, 7—ジ オール、 2, 5—ジメチル一 3—へキシン一2, 5—ジオール、これらの誘導体等のァセチレ ンアルコール、ァスコルビン酸、キノリノール、これらの誘導体等の還元剤等も防食剤として 使用できる。
上記防食剤の中でも、防食効果の点から、ピロカ亍コール、ピロガロール、ベンゾトリアゾ ール、 D-ソルビ I ^一ル、フタル酸、 2—ブチン一 1,4ージオール、スコルビン酸、キノリノール、 1—プロ/ ンチオール、それらの誘導体等が好ましい。
本発明における除去液中の防食剤の濃度は、防食効果が得られれば限定されないが、 例えば、 0.1〜20雷¾稈度 好ましくは 0.5〜10重 Siが挙げられる。
例えば、メタノール、エタノール、 N-メチルホルムアミド、ジメチルホルムアミド等の、金属 酸化物被膜の除去速度だけでなく金属自体の腐食速度も速い有機溶媒を使用する場合に は、本発明の除去液に防食剤を添加することによって、金属酸化物 莫を選択的に除去す ることがでさる。 その他
本発明の除去液は、さらに不活性ガスを溶解させてもよい。不活性ガスとしては、窒素、へ リウ厶、アルゴン、ネオン、クリプトン、キセノン等力挙げられる。除去液に不活性ガスを溶 解させる方法としては限定されず、一般的に用いられている方法で行うことができる。例え ば、除去液に不活性ガスを吹き込めばよい。不活性ガスの溶解量は限定されず、除去液中 の瞧分圧を (例えば、飽和溶解した空気の酸素分圧よりも)低下させることができればよ し、。除去液中の 分圧が低下する結果、金属酸化物 莫が除去された金属の酸化およ び銅などの金属の腐食を有効に防止することができる。不活性ガスは必ずしもこれらに限ら れるものではなぐ実質上、除去液に対して不活性であれば良い。例えば、フルォロカーボ ンガス、炭化水素、一酸化炭素など、除去液と反応しないガスであれば、溶存 を除去す る同様の効果が得られる。 金属酸化物纏の除去方法 ·
本発明の方法は、半導体製造プロセスにおける Al/Si02多層配線構造において、 AI配線 層やビアホールを形成する場合や Cu/Low-k多層配線構造において、ダマシン、デュアル ダマシンなどの構造を形成する場合およびキャパシタ構造において金属膜をエッチングす る場合などにおいて使用される。この様な場合において本発明の除去液は、シリコン酸化 膜や Low-k膜の除去液によるエッチングを抑制し、金属 (特に銅)を腐食させず、金属配線等 の金属薄膜、電極、金属層等が形成されたウェハーにおいて、その金属表面に形成された 金属酸化物被膜、及び/又は、ドライエッチング及び/又はアツシングによる損傷を受けた、 金属酸化物被膜を含む金属変質層を金属に対して効率良く又は選択的に除去するのに好 適に使用できる。
Cu/Low-k多層配線構造において、例えば、半導体基板 (例えば、 SiN、銅、 TaN、 SiCな ど)上に Low~k膜を形成、反射防 ±i莫を成膜して、次いでレジストを形成、その後フォド Jソ グラフィ一によりパターンを形成、当該パターンに従って Low- k膜をドライエッチングした後、 本発明の除去液に撤虫させることにより金属酸化物綱莫、及び/又は、ドライエッチング及び /又はアツシングによる損 受けた、金属酸化物 ¾|莫を含む金属変質層を除去することが できる。従って、本発明の除去液は、 LovH<膜、反射防 ±1莫及びレジストに穴もしくは溝が開 き、レジスト (レジストの変質物を含む)、反射防 iU莫、埋め込み材などが付着した状態の被 処理物又はこれらがアツシングにより除去された被処理物として、当該金属酸化物綱莫、及 び/又は、ドライエッチング及び/又はアツシングによる損 受けた、金属酸化物 M を含 む金属変質層を除去する液である。なお、ドライエッチングにより得られた Low-k膜の穴の 壁面及び Z又は底面にポリマー (ドライエッチングガスの重合物)及び/又はエッチング残渣 が付着したものであってもよい。
基板上に Low~k膜を形成した後には、必要に応じて Low-k膜上に SiN、 SiG、 TaN膜など の絶縁膜/《リアを形成し、該 SiN、 SiC、 TaN Sl¾どを Low-k膜と共にエッチングすることもで きる。
また、レジストの表面上あるいはレジストと絶縁膜バリア間には、反射防 ±|莫を形成するこ とができ、これら反射防止膜は、金属酸化物被膜、及び/又は、ドライエッチング及び/又は アツシングによる損傷を受けた、金属酸化物被膜を含む金属変質層と共に除去することが できる。
Lo^N-k膜及びレジストは、通常、それぞれ 0· 01〜2 i m程度、 0. 001〜0. 2〃 m程度、 0. 01〜1 O jU m程度の を有している。また、 に応じて形成される SiN膜、 SiC fl莫、 Ta 膜、反射防 J l¾ども、通常、それぞれ 0. 01〜2〃 m程度、 0. 001〜0· 2 i m禾^、 0. 01〜1 0jU m、0. 01〜0. 1 j(i m禾 の Jl ^を有してし、る。
本発明の方法では、ドライエッチング後、本発明の除去液に ί¾ させる前に、レジスト、反 射防止膜、埋め込み材およびドライエッチング残渣などの不要物を除去するために、多量 の^^ジカルを含んだプラズマによりアツシングを と、 Low-k膜にダメージを与えてし まう。このダメージを無くすため、アツシングを行わないか、あるいは減らすため、多量の酸 ジカルを含んだプラズマアツシングを行わずに、 に応じて、実質的に Low-k膜にダ メージを与えなし、程度に、水素プラズマアツシング、 Heなどの不活 ^スを用いたアツシン グおよび He/水素などの混同ガスプラズマ、もしくは醜を含んでも Low-k fl莫にダメージを 与えないように隨ラジカルを減らしたプラズマでアツシングを行ってもよい。アツシングを 行った場合でも、ダメージを軽減するため、途中でアツシングを中断してレジスト、反射防止 膜、埋め込み材およびドライエッチング残渣などの不魏を完全に取り除かないハーフアツ シングと呼ばれる手法が用いられる場合もある。この様なプラズマアツシングを^ 場合に は、同じ除去液を用いた場合であっても、エッチング後アツシングせずに直接レジストを除 去する場合とは 、時間などの顯条件が よる場合がある。
本発明の除去液を用いた金属酸化物綱莫、及び/又は、ドライエッチング及び/又はアツシ ングによる損驗受けた、金属酸化物瓛莫を含む金属変質層の除去方法は、金属酸化物被 膜、及び/又は、ドライエッチング及び/又はアツシングによる損傷を受けた、金属酸化物被 膜を含む金属変質層を除去でき、且つ、シリコン酸化膜や Low- k膜に実質的にダメージを与 えない程度の 及び時間で ものである。シリコン酸化膜や Lov^k膜に実質的にダメ ージを与えないとは、除去液を用し、た処理前後のシリコン酸化膜や Low-k膜の物性が、例 えば半導体基板に用いられたときにその性能に景遭を与えない程度しか変化していないこ と、例えば、レジストとシリコン酸化膜や Low-k膜の界面において実質的にシリコン酸化膜 や Low-k膜を侵す (エッチングする)ことなぐ被処理物を構成する膜の積層方向の断面形 状を実質的に変化させないようなもの、或いは、除去液を用いた処理前後にシリコン酸化膜 や Lowkfl莫の比誘電率が実質的に変化しないことをいう。実質的にシリコン酸化膜や Low k 膜をエッチングしないとは、シリコン酸化膜や Lov -k膜のエッチング量が、好ましくは 200η m以下程度、より好ましくは 100nm以下程度、さらに好ましくは 50nm以下程度であること をいう。除去液を用いた処理前後のシリコン酸化膜や Lov^k膜の比誘電率が実質的に変化 しないとは、比誘電率の変化が、好ましくは 20%以下程度、より好ましくは 10%以下程度、 さらに好ましくは 5<½以下程度であることをいう。
除去液での処理は、例えば、エッチング後の基板を被処理物として本発明の除去液に 浸漬することにより行うことができる。除去液への浸漬条件は、金属酸化物被膜、及び/又 は、ドライエッチング及び/又はアツシングによる損傷を受けた、金属酸化物娜莫を含む金属 変質層が除去でき、金属の腐食を抑えて、シリコン酸化膜や Low- k膜に実質的にダメージを 与えなければ特に限定されることはなぐ除去液の種類ゃ に応じて適宜設定することが できる。例えば、除去液の は、例えば 10~60°C程度、好ましくは 15〜40°C程度にする のがよい。浸漬時間としても限定されず適 131択することができるが、例えば、 0.5分〜 60 分程度、好ましくは 1分〜 40分程度が例示できる。また、必要に応じて、撹拌下の除去液に ウェハーを浸潰してもよい。撹拌の も限定されず、適: til択することができる。
より具体的には、フッ化水素: PA:水 =0.1〜5重 Μ%:90〜99·8 Μ%:0.1〜5重 %である 除去液の場合には、 显が 23°C程度であれば、 0.1 -20分間程度浸潰させればよい。フッ 化水素:炭酸プロピレン:水 =0.1〜4重 M%: 92〜99.8雷¾%: 0.1〜4重: 1%である除去液の場 合には、 0.1〜20分間程度浸潰させればよい。
また、除去液を被処理物に翻虫させれば金属酸化物被膜、及び/又は、ドライエッチング 及び/又はアツシングによる損傷を受けた、金属酸化物 ¾1莫を含む金属変質層の除去を行 うことができるので、例えば、被処理物を回転させながらその上から液を供給して洗浄して もよいし、被処理物に組成物をスプレーで吹付け続けて洗浄してもよい。
本発明の除去液での処理は、レジスト、反射防 ±fl莫および埋め込み材の種類やドライエツ チング及び/又はアツシングなどの条件によりドライエッチング及び/又はアツシング残渣な どの金属酸化物綱奠、及び/又は、ドライエッチング及び/又はアツシングによる損驗受け た、金属酸化物綳莫を含む金属変質層の除去するための障害となる不要物が剥離しにくし、 場合、 えば被処理物を除去液に浸漬して超音波洗^ ¾ てもよい。
超音波洗浄を行う際の条件は、レジスト、反射防止膜、埋め込み材およびドライエツチン グ残渣などの不麵を除去すればよ《特に限定されるものではないが、通常 23°C程度で あれ ίま、、 20〜 1 200kHz,50~3000W Iこて、 0.1〜20分 程度である。
より具体的には、フッ化水素: IPA:水 =0.1〜5 Bfi½:90〜99.8重 g%:0.1〜5 ftt%である 除去液の場合には、 が 23°C程度であれば、 20〜 1 200kHz,50〜3000にて、 0.1 ~20 分間程度、フッ化水素:炭酸プロピレン:水 =0.1〜4重 1%:92〜99.8重量/。: 0.1 ~4雷 /。で ある除去液の場合には、 が 23°C程度であれば、 20〜1200kHz,50〜3000Wにて、 0.〜 20分間程度である。
本発明の金属酸化物被膜の除去方法は、さらに、金属酸化物被膜を除去したウェハーを、 純水で洗浄することによリ 。この洗浄工程により本発明の除去液を洗い流すことができ る。単に純水で洗浄する工程の変わりに不活性ガスを溶解させた純水で洗浄する工程を用 いるとなお好ましい。また、この水は不活性ガスを溶解させることにより酸素分圧を低下さ せているので、金属酸化物被膜が除去された金属の酸化および銅などの金属の腐食を有 効に防ぐこと力できる。不活性ガスの水への溶解は、不活性ガスの除去液への溶解の場合 と同様に ことができる。
本発明において、金属酸化物鄉莫の除去においても、実質的に不活性ガス雰囲気下で 行うことが好ましい。実質的に不活性ガス雰囲気下というのは、完全に不活性ガス雰囲気 下でもよいし、空気の瞧分圧よりも瞧分圧が低い雰囲気下でもよい。このような条件下 で金属酸化物網莫の除去を ことにより、金属酸化物鄉莫を除去した金属の酸化および 銅などの金属の腐食をより有効に防止できるので好ましい。
本発明除去液を用いて金属酸化物 莫、及び/又は、ドライエッチング及び/又はアツシン グによる損 受けた、金属酸化物 ¾莫を含む金属変質層の除去を行った半導 板は、 例えば、銅やアルミ配線をするなど、慣用されている方法 (例えば、詳説半導体 CMP技術、 土肥俊郎 編著 2001年 に記載された方法)に従って、様々な種類の半導体装置へと加 ェすることができる。
発明を実施するための最良の形態
以下、実施例を示し、本発明の特徴をより明確にする。本発明はこれら実施例に限定され るものではない。
実験は、膜厚 1000 A、 30mm X 30mmの銅のスパッタ成膜ウェハーを、本発明の除去液に 25°Cで 1〜360分間、撹拌下 (600rpm)に浸潰することによリ行つた。その後、 3リットルの純 水を満たした^!に 2LZ分で糸 Φ7Κをオーバーフローさせて、その^^の中で短時間リンス し (2LZ分で 10秒)、 N2パージで乾燥した。
除去液に浸漬する前後の を四探針法で測定し、その MJ1差 (A)を銅の酸化物 ¾莫の 除去量及び銅の侵食量とした。 CuxOの は X線干渉法により測定し約 33Aであることを 確認した。約 33 までを01の酸化物(0|0、 Cu20、 Cu(OH)2等。以下、「CuxO」と言識する場 合もある。 )、 33 A以上を Cuとして、それぞれ除去 及び腐食 ^を求めた。
実施例 1
本発明の除去液において、種々の有機溶媒を用いて銅の酸化物被膜の除去速度と銅の 腐食速度を調べた。有機溶媒としてはそれぞれ、メタノール (MeOH)、エタノール (EtOH)、 N-メチルホルムアミド(NMF)、ジメチルホルムアミド(DMF)、ギ酸(HCOOH)、アセトン (acetone)、イソプロピルアルコール (1PA)、 1,2 -ジメトキシェタン(DME)、酢酸 (GH3COOH)、 炭酸プロピレン (PC)及び酢酸工チル (AcOEt)を用いた。
フッ化水素が 0.15mol/Lになるように有機溶媒に 50重: 1%フッ酸を添加した。以下のような 割合になるようにフッ化水素、有機溶媒及び水を混合して本発明の除去液を得た。
■ HF:メタノーレ:水 =0.38重¾%: 99.24重 ¾%: 0.38重 M%
■ HF:エタノーリレ:水 =0.38 Β %: 99.24重 ¾%: 0.38雷
' HF: Ν-メチルホルムアミド:水 =0.30 m %: 99.40 : 0.30 W9%
■HF:ジメチルホルムアミド:水 =0.31 Β¾: 99.38 BSi: 0.31 S
, HF:ギ酸:水 =0.25 W %: 99.50雷 M%: 0.25雷 %
-HF:アセトン:水 =0.38 Μ¾: 99.24 W %: 0.38雷
■HF:イソプロピルアルコール:水 =0.38 SM%: 99.24重!0/。: 0.38重: %
■HF: 1,2-ジメトキシェタン:水 = 0.34 Β¾6: 99.32重 %:0.34重 ¾¾
' HF:酢酸:水 = 0.29重 /0: 99.42 Μ%: 0.29雷 ¾¾
■HF:炭酸プロピレン:水 =0.25 99.50重3½:0.25雷 /0
'HF:酢酸ェチル:水 =0.34重量/。: 99.32 Μ½:0.34雷
このようにして得られた本発明の除去液を用いて、金属酸化物 ¾莫の除去実!^行った。 結果を図 1に示す。図 1に示した各有機溶媒における銅の酸化物 ¾莫の除去 と銅の腐 食 i¾ は、これらの測定点を結んだ線の傾きに相当する。この傾きが小さいほど銅の酸化 物 莫の除去速度と銅の腐食速度が小さい。これらの速度の比が選択比となる。有機溶媒 として、炭酸プロピレン、イソプロピルアルコール、酢酸、 1,2,-ジメトキシ工タン、ギ酸、ァセト ン等を用いた場合、銅に対して銅の酸化物被膜を選択的に除去できる。炭酸プロピレンは 特にその効果が大きい。さらに通常の半導体プロセスでは、薬液を十分に除去するために、 この場合に用いた約 10秒間の短時間リンスよりも比較的長い数分間のリンスをすることが 多い。このような場合には、約 33 Aの銅酸化物搠莫を数十秒間の内に除去でき、銅の腐食 も少なぐ選択比がさらに大きくなることを確認した。
実施例 2
本発明の除去液において、有機溶媒として両性溶媒 (メタノール (MeOH)、イソプロピルァ ルコール (IPA)及び酢酸 (AcOH) )を使用し、種々の濃度の HFを使用したほかは、実施例 1 と同様に ^行った。結果を図 2に示す。
この結果から、有機溶媒として両' f 容媒を用し、た場合には HFの濃度依存的に GuxOの除 去離が上昇し (図 2(A) )、銅の腐食離はほとんど変化しない (図 2(B) )ことがわかった。 すなわち、本発明の除去液において有機溶媒としてアルコール等の両性溶媒を用いた場 合には、 HFの濃度を上げることにより、金属酸化物 莫に対してより選択的に作用する。 カルボン酸等の両性溶媒は、銅の酸化物観莫の除去速度及び銅の腐食速度のいずれも 小さい。薄い銅の酸化物観莫を除去する際に銅の腐食を抑えられるので、このような場合 に使用する場合に適する。この場合も比較的長い数分間のリンスをすることにより、銅酸化 物 ¾奠を数十秒間の内に除去でき、銅の腐食も少なく、選択比がさらに大きくなることを確 nitjし 。
実施例 3
本発明の除去液において、有機溶媒としての IPAと水との比を変化させて難を行った。 採用した IPAZH20の B¾比は、 97 «¾ノ3重訾ん 90雷 ¾¾,10重 S4、 80重 ¾έ/20重 1%、 70雷 ¾%,30 M%及び 0 M %/100重 %である。 HFは 0.6mol/L (約 1.2重 S 添加した。得られた結果を図 3に示す。
この結果から、有機溶媒として両性溶媒を使用した場合には、水の濃度を増加させること により、金属酸化物榔莫に対してより選択的に作用することがわかった。
実施例 4
0.6mol/L (約 11重 »/。)の HF、 1.2 M%の水、 1.5 ¾¾%の防食剤及び 96.1 ¾!の IPAを 混合して本発明の除去液を作製し、金属酸化物 莫の除去 ¾lを行った。防食剤としては、 それぞれ、ピロガロール(pyrogallol )、フタル酸(phthal add)、ベンゾトリアゾ一ル (benzotriazole)及び D-ソルビ! ^一ル (D- sorbitol)を使用した。結果を図 4に示す。
随剤を添加することにより、銅の酸化瓛莫 (約 33 A)を除去した後の銅の腐食が抑えら れている。
実施例 5
本発明の除去液において、種々の有機溶媒を用いて銅の酸化物綱莫の除去速度と銅の 腐食速度を調べた。有機溶媒としては、両す 容媒と極性非プロトン溶媒から、それぞれ、メタ ノール (MeOH)、エタノール (EtOH)、イソプロピルアルコール (IPA)、酢酸 (CH3G00H)、 N - メチルホルムアミド (NMF)、ホルムアミド (FA)、ギ酸 (HC00H)、 1-プロパノール(1- PrOH)、 エチレングリコールモノメチルエーテル(EGMME)、エチレングリコール (EG)、ジメチルァセ 卜アミド (DMA)、アセトン (acetone)、 1 ,2-ジメトキシェタン (DME)、炭酸プロピレン (PC)、酢酸 ェチル (AcOEt)、ジメチルホルムアミド (DMF)、ジメチルスルホキシド(DMS0)、 —プチ口 ラクトン( r -but ralactone)を用いた。
フッ化水素濃度は 0.15 mol/Lを含み、 0,1mol /し〜 1.2mol/Lの範囲になるように有機溶媒に 50重 *%フッ酸を添加した。以下のような割合になるようにフッ化水素、有機溶媒及び水を混 合して本発明の除去液を得た。
■HF:メタノール:水 =0.09〜0.75 S¾: 99.82-98.5 Μ%:0.09〜0·75雷
■ HF:エタノール:水 =0.38重 ¾¾: 99.24雷 ¾¾: 0.38雷 ¾%
'HF:イソプロピルアルコール:水 =0.28〜2.98重 %:99.44〜94.04 Mfi¾:0.28〜2.98 Ww% •HF:酢酸:水 =0;!〜 1.5 ¾¾: 99.8~97.0重置/。:0.〜 1.5雷 ¾
■ HF: N-メチルホルムアミド:水 = 0.30 W %: 99.40重量%: 0.30重 ¾¾
■ HF:ホルムアミド:水 = 0.26 S °/O: 99.48重量%: 0.26重量%
■HF:ギ酸:水 =0.24重 ¾¾: 99.52 Μ%:0.24重 ¾
'HF: 1 -プロパノール:水 =0.37 aS/o: 99.26重靈/0:0.37雷 ¾¾
■HF:エチレングリコールモノメチル工"^ル:水 =0.31 fiM%: 99.38 SM%: 0.31雷 ¾¾
' HF:エチレングリコール:水 =0.27雷 /0: 99.46重量%: 0.27雷
' HF:ジメチルァセ卜アミド:水 =0.32 fil%: 99.36重靈 /。: 0.32 M%
■ HF:アセトン:水 =0.38 n %: 99.24重 : 0.38重 ¾%
■ HF: 1 ,2 -ジメトキシェタン:水 =0.17-0.68雷 ¾ : 99.66-98.64重 ¾¾: 0.17-0.68重 ¾
■ HF:炭酸プロピレン:水 =0.12〜 1.5重 : 99.76〜97.0雷 ¾%: 0.12〜 1.5重 ¾¾
■HF:酢酸ェチノレ:水 =0.33〜0.66重 %: 99.34〜98.68重 M%:0.33〜0.66 S %
■HF:ジメチノレホルムアミド:水 =0.16〜ひ 62 M%:99.68〜98.76重: Si:0.16〜0.62重 S¾ ■HF:ジメチルスルホキシド:水 =0.07〜0·27重 1%:99.86〜99·46重 1%:0.07〜0.27重 S% -HF: γ -ブチロラクトン:水 =0.26 fiM : 99.48 M%: 0.26雷 ¾%
Jtl交のために以下の混合液を作製した。 ■ HF:水 =0.30 W %: 99.7 Βϊ/ο
本発明の除去液の有機溶媒として両 te'容媒と非プロトン性溶媒を用いた場合の銅の腐食 速度に対する銅の酸化物 ¾1莫の除去速度を図 5—1、図 5—2にそれぞれ示した。ここで使 用した本発明の除去液のフッ化水素濃度範囲においては、シリコン酸化膜や Low-k fl莫のェ ツチングは少なぐダメージを与えない。銅の腐食を抑えて銅の酸化物鄉莫を除去できるか どうかがが問題となる。
点線は銅の腐食速度に対する銅酸化物 莫の除去速度の選択比が 1の線であることを 示す。影のついた領域 (銅の酸化膜の除去速度 0.1 A/min以上、銅の腐食速度 3 A/min以 下の領域)が除去液として使用するのに適する範囲である。すなわち、銅の腐食を抑えて銅 の酸化綱莫を除去できる範囲である。
この影のついた領域において、選択比 1以上である領域 (点泉より上の影のついた領域) 力 さらに好ましい範囲である。
両 1¾容媒においては、 IPAを用いた場合、フッ化水素の濃度を高くすることにより、選択比 も向上している。両性溶媒においては、 IPAが最も好ましい溶媒である。 HOOH、 EGMME、 NMF等も選択比 1 以上を示し良好な溶媒である。 EtOH、 EG 等はフッ化水素濃度を 0.15mol/L以下にすることにより、銅の腐食を抑えるとさらに好ましい溶媒となる。 AcOH は 防食効果が大きぐフッ化水素の濃度を高くしても銅の酸化物被膜の除去速度及び銅の腐 食離のいずれも小さい。 AcOHは、薄い銅の酸化物綳莫を除去する際に銅の腐食を抑え られるので、このような場合や時間をかけて銅の酸化物綱莫を除去する処理に適している。 水、 MeOH、 FAは銅の腐食が大きく、選択比も 1程度である。これらは戦虫で使用しにくい。 特に水は、ドライエッチングプロセス等で生じる有機成分を含む物質が金属酸化被膜の周 迎こ する場合、これらを取り除けないため、他の溶媒に比べて除去効果が劣る。 MeOH、 FAは酢酸等の銅酸化綳莫の除去驗が小さい他の溶媒と混合して酸化膜除去効果を付与 するために使用することが可能である。
極性非プロトン性溶媒では、炭酸プロピレンが最も好ましい溶媒である。アセトン、丫-づ チロラクトン、 DMA等も好ましい溶媒として使用できる。 AcOEt、 DMEは選択比が 1程度であ るが、薄い銅の酸化物 莫を除去する際に銅の腐食を抑えられるので、このような場合や 時間をかけて銅の酸化物鄉莫を除去する処理に適している。 DMSO、 DMFは銅の腐食離 が大きぐ選択比も 1程度である。これらも単独で使用しにくい。酢酸ェチル等の銅の酸化被 膜の除去速度が小さい他の溶媒と混合して酸化物被膜を除去する効果を付与するために 使用することが可能である。この場合も比較的長い数分間のリンスをすることにより、銅酸 化物被膜を数十秒間の内に除去でき、銅の腐食も少な 選択比がさらに大きくなることを 確認した。 実施例 6
本発明の除去液を用し、て処理した場合のシリコン酸化膜及び Low-k膜等のエッチングに よる膜の減少、銅の酸化物 莫 (CuxO)及びドライエッチングやアツシングによる損傷を受 けて形成された、銅の酸化物綱莫を含む銅変質層の除去及び銅の腐食を、成膜した 8イン チウェハーを一定の大きさに切り出した成膜ウェハーを用いて調べた。さらに、テストパター ン付きゥェ /、一により、レジスト、反射防 iJtJ莫及び埋め込み材ゃドライエッチング及び/又は アツシングに伴い発生するエッチング残渣が共存する場合、これらの除去と銅酸化被膜 (CuxO)及びドライエッチングやアツシングによる損傷を受けて形成された、銅酸化物 莫を 含む銅変質層と見なせる銅表面上の残渣の除去及びパターンの开 犬の変化を調べた。 使用した成膜ゥェ /ヽーを以下に示す。
BM 1000 A、 30mm x 30mm銅のス/ ッタ成膜ゥェ /、一、
fl莫厚 1000 A % 15mm X 10mmのシリコン熱酸化膜ウェハー、
M 5000 A、 15mm x 10mmのポーラス Lowk莫ゥェ zヽー、
Β 5000 A、 15mm X 10mmのプラズマ CVD SiN 奠ゥェ /ヽー、
銅のスノ ッタ成膜ゥェ/、一は、使用前に、 0.1 Nの H2S04水溶液で、銅の酸化膜約 33 Aと 銅の一部を除去し、自然酸化膜が数 A生成した状態にした。プラズマ CVD SiN膜ウェハー は、 OHと結合したシリコン (Si— OH結合) 及び Z又は Hと結合したシリコン (Si— H結合)を 含む反射防 1奠及び埋め込み材、ドライエッチング残渣及ぴ銅表面残渣の除去の目安とし て用いた。プラズマ CVD SiN膜の除去液によるエッチング量が多いほど、これらの除去性 が高い。
金属酸化物観莫、及び/又は、ドライエッチング及び/又はアツシングによる損 受けた、 金属酸化物 ¾1莫を含む金属変質層のサンプルゥェ /、一は、銅のス / ッタ成膜ゥェ /、一をフ ルォロカーボンプラズマでエッチング処理した後、 H2/Heプラズマでアツシング処理した。そ の後、大気中に約 1日 ¾Sして約十数 Aの自然酸化膜を形成した。
ドライエッチング条件;
プラズマ源と電力: ICP (誘導結合プラズマ) 800W、
ガス (圧力): C- C4F8/02/N2(1mTorr/3mTorr/6mTorr)、バイアス電力: 100W、時間: 5分 アツシング条件;
プラズマ源と電力: ICP (誘導結合プラズマ) 200W 、
ガス (圧力): H2/He (5mTorr/20mTorr)、 ,くィァス電力: 0W、時間: 5分
このウェハーを本発明除去液で処理して、その表面を XPS ( X-ray Photoelectron Spectroscopy)で調べて銅と結合した F (フッ素)のピークが検出されるかどうかで、ドライエ ツチング及び/又はアツシングによる損 ^受けて形成された、金属酸化物綱莫を含む金属 変質層の有無を調べた。銅と結合した Fが検出された場合には変質層が していると見 なした。
さらに、テスト z ターン付きゥェ /ヽ一は、次のようにして作製した。ポーラス Low-k膜 (ポー ラス MSQ)、絶縁膜バリアである SiN膜、シリコンを含有する反射防 ±1莫 (BARG)、レジスト (KrF)膜が形成された Si ¾Ϋ反について、ビアエッチングと He/H2プラズマでアツシング処理 を行い、その後、埋め込み材を充填して、再度、リソグラフィー、トレンチエッチング、 He/H2 プラズマでアツシングを行った。 SiN膜の表面上に若干のレジスト (表面のレジス卜がドライ エッチング処理により変質したものを含む)反射防 ±1莫 (BARC)及びドライエッチング残渣を 有し、ビアやトレンチ内にも埋め込み材が若干残った銅配線形成前のデュアルダマシン構 造の被処理物を得た。
±里め込み材、ポーラス Low-k膜は、以下のものを用いた:
埋め込み材: Si,G,0,Hを含み、 Si-OH, Si~CH3、 Si-O結合を有する材;
ポーラス Lowk膜: Si,G,0,Hを含み、 Si~CH3、 Si— 0結合を有する塗布膜;
テストパターンのレジスト、反射防止膜及び埋め込み材ゃドライエッチング及び/又はアツシ ングに伴い発生するエッチング残渣、銅表面上の残渣除去の具合や断面形状は電子顕微 鏡 (SEM)を用いて観察した。
有機溶媒としては両性溶媒と極性非プロトン溶媒から、それぞれ、メタノール (MeOH)、ェ タノール (EtOH)、イソプロピルアルコール (IPA)、酢酸 (CH3COOH)、 N-メチルホルムアミド (NMF)、ホルムアミド (FA)、ギ酸 (HCOOH)、 1-プロパノール(1-PrOH)、エチレングリコー ルモノメチルェ"" ^ル (EGMME)、エチレングリコール (EG)、ジメチルァセトアミド (DMA)、ァ セトン (acetone)、 1,2-ジメトキシェタン (DME)、炭酸プロピレン (PG)、酢酸ェチル (AcOEt)、 ジメチルホルムアミド(DMF)、ジメチルスルホキシド(DMSO)、 T -プチロラクトン( -butyrolactone ¾:用し、7i。
フッ化水素が 0.15mol/Lになるように有機溶媒に 50重: &/。フッ酸を添加した。以下のよう な割合になるようにフッ化水素、有機溶媒及び水を混合して本発明の除去液を得た。
HF:メタノーゾレ:水 =0.38 WW/0: 99.24 WW/o: 0.38雷 ¾¾
-HF:エタノール:水 =0.3881%: 99.24雷 : 0.38雷¾¾
HF:イソプロピルアルコール:水 =0.38重 ¾%: 99.24 ¾B½:0.38重 ¾¾
HF: N-メチルホルムアミド:水 = 0.30重 M : 99.40重 ¾¾: 0.30重 S¾
HF:ホルムアミド:水 = 0.26重量。/。: 99.48重 %:0.26重量%
HF:酢酸:水: 0.29重 ¾¾: 99.42雷¾%: 0.29重 ¾¾
· HF:ギ酸:水 =0.24重 : 99.52重懇: 0.24重量/。
HF:卜プロパノール:水 =0.37 MS/o: 99.26重 ¾:0·37重懇
HF:エチレングリコールモノメチルェ~÷ル:水 =0.31 Β %: 99.38 Β %: 0.31 B To HF:エチレングリコール:水 =0.27 SM%: 99.46重量/。:0.27重¾¾
HF:アセトン:水 =0.38 fiM%: 99.24重靈/。: 0.38重 %
■ HF: 1 ,2-ジメトキシェタン:水二 0.34重量/。: 99.32雷 ¾%: 0.34軍 ¾¾
HF:炭酸プロピレン:水 =0.25重 M : 99.50 Ww%: 0.25重 Si
HF:酢酸ェチル:水 =0.34雷 ¾¾: 99.32 fim%: 0.34雷 S¾
HF: r—プチロラクトン:水 =0.26 mm 99.48 m ο.2β mm
赚として、
HF:水 =0.30 WM% 99.7雷 ¾¾
HF:ジメチルホルムアミド:水 = 0.31 Μ*/ο: 99.38 aSO: 0.31 Mm%
HF:ジメチルスルホキシド:水 =0.27 Sa%: 99.46重 ¾¾: 0.27重
HF:ジメチルァセトアミド:水 =0.32 m 99.36重量%: 0.32重量%
HF:炭酸プロピレン:水 = 10.30 M (6mol/L): 89.70 ΜΜ%: 0 ΜΜ%
HF:炭酸プロピレン:水 =3.38重量%(2mol/L) : 96.62重量%:0重
を用いた。
表 1に本発明の除去液を用いて処理した場合実施例と表 2に Jt H列を示す。
シリコン酸化膜 (Thox)、ポーラス Lov^k膜の除去液によるエッチング量が 20 A以下の場合 は問題なし、としで' A"、 20A以上では" C"、プラズマ GVDSiN (PE-SiN)の除去液によるエツ チング量が 5 A以上の場合は OHと結合したシリコン (Si- OH結合) 及び Z又は Hと結合し たシリコン (Si- H結合)を含む反射防 ±莫及び埋め込み材、ドライエッチング残渣及ぴ銅の 表面残渣を除去する効果が大きぐ A"、 5A以下は" C"とした。銅の腐食は、 5A以下は "A"、 5A〜10Aは" B"、 10A以上は" C"とした。銅の酸化 ¾|莫は、四探針法で針を軽く撒虫させ たときに銅の比抵抗値が測定できた場合を" A"、できなかった場合を" C"とした。変質層は 銅と結合した F (フッ素)のピークが検出された場合を" C"、検出されなかった場合を "A "とし た。レジスト、反射防 ±1莫及び埋め込み材ゃドライエッチング及び/又はアツシングに伴い発 生するエッチング残渣、銅表面上の残渣は、除去できている場合は" A"、できていない場合 は" C"、断面形状がほぼ設計寸法どおりである場合は" A"、絶縁膜バリアゃポ一ラス
Ldk躕ニ段差等が生じてぃる場合は"〇"とした0
表 1 HF(0.15mol/L)/有機溶 ί«/水 (0.17mol/L)混合除去液
Figure imgf000030_0001
表 1では、 MeOH, EtOH, 1-PrOH, NMF, FA等の銅の腐食量が多しゝ。 MeOHは剥虫では使用 しにくい。 MeOH以外はフッ化水素濃度を約半分にさせることにより、テストパターンでは断 面形状の変化は抑えられた。 FAはポーラス Low-k膜の場合エッチング量が多くテストパタ 一ンの开 犬を変化させてしまう。 FAはシリコン酸化膜 (Thox)の場合では問題なしゝ。 EGMME は PE-Si のエッチング量が少ないことに対応して、テストパターンでも反射防 ±1莫 (BARC) と埋め込み材の除去性がよくない。このためテストパターンの断面形状も悪い。フッ化水素 HF濃度を 0.3mol/Lにするとこの問題は解決できた。
これら以外の溶媒を使用した場合では、 Lowk膜やシリコン酸化膜のエッチングを抑制し て、レジスト、反射防 J (BARG)及び埋め込み材ゃドライエッチング及び/又はアツシング に伴い発生するエッチング残渣が共存する場合であっても、これらを除去し、銅酸化物綱莫 及びドライエッチングやアツシングによる損 受けて形成された、銅酸化物 莫を含む銅 変質層を銅に対して選択的に除去できている。
表 2には 1±¾ィ列を示す。
溶媒が水の場合、すなわちフッ化水素濃度 0.15mol/Lの希フッ酸では、シリコン酸化膜 (Thox)、ポーラス Lov^k膜のエッチング量、銅の腐食が多く、変質層も除去できていない。 テスト/ ターン付きウエノ、一では、ポーラス Low- k fl莫の横方向のエッチング量が多ぐ計形 状変化が著しい。有機成分を除去できないために、レジスト、ドライエッチング残渣、銅表面 残渣も除去できていない。反射防止膜 (BARC)もレジストと密着しているため除去しきれて いない。 DMF, DMSO, DMAは銅の腐食量が多し、。変質層の除去もできていなし、。 PE-SiNの エッチング量が少ないことと対応して、反射防 ±1莫 (BARC)、埋め込み材、ドライエッチング 残渣、銅表面残渣のいずれも完全に除去できていない。このため、これらが残ったままの 状態でパターンの形状も悪い。したがって、これらの溶媒は単独で本発明の目的に使用す ることは難しい。
フッ化水素 HF濃度 6mol/Lと PGの混合液は、米国特許第 6200891号公報の実施例に示 された組成である。フッ化水素 HF濃度 2mol/Lと PGの混合液は特許請求の範囲に示され た組成の一部である。これらには水は含まれていなしゝ。これらは、ともにシリコン酸化膜の エッチング量が多く、フッ化水素 HF濃度 6mol/Lではポーラス LovH<のエッチング量が多い。 水が含まれていないため PE-SiN のエッチング量が少なしヽ。これに対応して反射防止膜 (BARC)、埋め込み材が除去できていなし、。これらのことに起因してテストパターンの形状 も悪し、。フッ化水素濃度が 6mol/Lと高いと銅の腐食量も多くなる。このため、国特許第 6200891 号公報の特許請求の範囲に示された大半の組成では、レジスト、反射防 ±fl莫及び 埋め込み材ゃドライエッチング及び/又はアツシングに伴い発生するドライエッチング残渣 が共存する場合、これらの除去と銅酸化 ¾ (CuxO)及びドライエッチングやアツシングによ る損 ί§¾受けて形成された、銅酸化物 ¾莫を含む銅変質層を、銅に対して選択的に除去す ることは困難である。
Figure imgf000031_0001
産業上の利用可能性
本発明の除去液によれば、シリコン酸化膜や Low- k fl莫のエッチングを抑制し、ウェハーに 形成された金属配線等の金属薄膜、電極、金属層等の表面にできた金属変質層を効率良ぐ 金属 (特に銅)を腐食させずに除去することができる。

Claims

請求の範囲
1 ·フッ化水素、有機溶 ぴ水を含み、フッ化水素:有機溶媒:水の ¾1比が 0.001〜10重 %: 70〜99.998 : 0.001〜20重 ¾である、導電性金属上に形成された金属変質層を除 去するための除去液。
2.有機溶媒が両腿某であって、フッ化水素:両性溶媒:水の重量比が 0.05〜8 a*%: 76 〜99·9重 1%:0.05〜16重 Μ%である請求項 1に記載の除去液。
3.両 1 '容媒が、一価アルコール、二価アルコール、グリコールエーテル、カルボン酸、スル ホン酸及びアミドからなる群から選ばれる少なくとも 1種である請求項 2に言 Β«の除去液。
4.両性溶媒力《、メタノール、エタノール、プロパノール、イソプロピルアルコール及び t-ブタ ノール等の一価アルコール (メタノール、エタノールは 虫では使用せず、他の溶媒と併用 される)、エチレングリコール及びプロピレングリコール等の二価アルコール、エチレングリ コールモノメチルエーテル、エチレングリコールモノェチルエーテル、ジエチレングリコール モノメチルエーテル、トリエチレングリコールモノメチルエーテル、ポリエチレングリコールモ ノメチルェ" ^ル、ジエチレングリコールモノブチルエーテル、トリエチレングリコールモノブ チルェ"^ル、ジエチレングリコールモノイソブチルエーテル、トリプロピレングリコールモノ メチルエーテル、ジエチレングリコールモノへキシルエーテル、ジエチレングリコールモノべ ンジルエーテル等のモノアルキルグリコールエーテル、ギ酸、酢酸、トリフルォロ酢酸等の カルボン酸、メタンスルホン酸、及び N-メチルホルムアミド、 N-メチルァセトアミド、ホルム アミド等のアミドからなる群から選ばれる少なくとも 1種である請求項 3に言 Β«Ιの除去液。
5.フッ化水素:イソプロピルアルコール:水の重量比が 0.〜 5重量 : 85〜99.8雷¾%:0.1〜 10重 Siである請求項 4に言 Btlの除去液。
6.有機溶媒が極 ft非プロトン性溶媒であって、フッ化水素:極性非プロトン性溶媒:水の重 量比が 0.01〜5重量%: 85〜99.98 M : 0.01〜10重量? /。である請求項 1に記載の除去液。
7.極性非プロトン性溶媒が、エステル、エーテル、グリコールジェ一テル、アセトン及び無 水酢酸からなる群から選ばれる少なくとも 1種である請求項 6に言 の除去液。
8.極性非プロトン性溶媒が、酢酸メチル、酢酸ェチル、酢酸プチル、炭酸プロピレン、炭酸 エチレン、ジェチルカーポネート、ジメチルカーボネート、ェチルメチルカーボネー卜、亜硫 酸エチレン、 γ—プチロラクトン、リン酸トリブチル及びリン酸卜リメチル等のエステル、ジォ キサン、ド Jォキサン、 1,1 -ジメトキシェタン、 1 ,2 -ジメトキシェタン、テトラヒドロフラン、ジメ卜 キシメタン、ジメトキシプ口/ ン、ジエトキシメタン、ジグライム、ジエチレングリコールジェチ ルエーテル、トリエチレングリコールジメチルエーテル、テトラエチレングリコールジメチル エーテル及びポリエチレングリコールジメチルエーテル等のエーテル、アセトン及び無水酢 酸からなる群から選ばれる少なくとも 1種である請求項 14に言 の除去液。
9.極性非プロトン' I ' 容媒が炭酸プロピレンであり、フッ化水素:炭酸プロピレン:水の重量比 が 0.1〜5 % 85〜99·8雷 Μ¾: 0.1〜 10重 %である請求項 8に言 の除去液。
10.さらに [^剤を含む請求項 1に記載の除去液。
1 1 -防食剤が、チオール、芳香族ヒドロキシ化合物、トリァゾ一ル化合物、糖類、カルボキ シル基含有有機化合物及びその無水物、アセチレンアルコール及び還元剤からなる群から 選ばれる少なくとも 1種である請求項 10に言纖の除去液。
1 2. β½剤が、金属酸化物綱莫の除去液に対して 0.01〜20重量。/。含まれる請求項 10に記 載の除去液。
13.さらに不活 ¾Λ4スを; させた請求項 1に言 の除去液。
1 4.酉己線の金属が銅、アルミニウム、タングステン、コバルト、モリブデン、ノレテニゥム、白 金、イリジウム、タンタル、チタン及びこれらの合金からなる群から選ばれる少なくとも 1 種 の酸化物及び金属である請求項 1に記載の除去液。
1 5.シリコン酸化膜及び Low-k膜から選ばれる少なくとも一種のエッチング聽が 10A/ min以下である請求項 1に纖の除去液。
1 6.銅のエッチング離が 3 AZmin以下である請求項 1に記載の除去液。
1 7.請求項 1〜1 6のいずれかに記載の除去液と、表面上に金属酸化物綱莫が形成された 導電性金属を Τる除去処理物とを ί翻虫させることにより、 jf己金属酸化物綱莫を除去する 方法。
1 8.金属酸化物綱莫を除去した除去処理物を、不活性ガスを溶解させた水で洗浄する工程 をさらに含む請求項 1 7に言 BEの方法。
1 9.実質的に不活性ガス雰囲気下で行うことを特徴とする請求項 17に記載の方法。
20.請求項 1 7〜1 9のし、ずれかに言識の方法によって得られた除去処理物
PCT/JP2004/012144 2003-08-20 2004-08-18 金属変質層の除去液及び金属変質層の除去方法 WO2005019499A1 (ja)

Priority Applications (1)

Application Number Priority Date Filing Date Title
JP2005513341A JPWO2005019499A1 (ja) 2003-08-20 2004-08-18 金属変質層の除去液及び金属変質層の除去方法

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
JP2003296355 2003-08-20
JP2003-296355 2003-08-20

Publications (1)

Publication Number Publication Date
WO2005019499A1 true WO2005019499A1 (ja) 2005-03-03

Family

ID=34213589

Family Applications (1)

Application Number Title Priority Date Filing Date
PCT/JP2004/012144 WO2005019499A1 (ja) 2003-08-20 2004-08-18 金属変質層の除去液及び金属変質層の除去方法

Country Status (3)

Country Link
JP (1) JPWO2005019499A1 (ja)
TW (1) TW200511446A (ja)
WO (1) WO2005019499A1 (ja)

Cited By (17)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2007131923A (ja) * 2005-11-11 2007-05-31 Asahi Kagaku Kogyo Co Ltd 酸洗促進剤およびこれを用いた鉄鋼材料の酸洗方法
WO2007063942A1 (ja) * 2005-12-01 2007-06-07 Mitsubishi Gas Chemical Company, Inc. 半導体表面処理剤
WO2007095101A2 (en) * 2006-02-10 2007-08-23 Honeywell International, Inc. Selective removal chemistries for semiconductor applications, methods of production and uses thereof
JP2007294879A (ja) * 2006-03-27 2007-11-08 Tokyo Electron Ltd 基板処理方法、半導体装置の製造方法および基板処理装置
EP1946358A2 (en) * 2005-11-09 2008-07-23 Advanced Technology Materials, Inc. Composition and method for recycling semiconductor wafers having low-k dielectric materials thereon
JP2012516560A (ja) * 2009-01-30 2012-07-19 ケンブリッジ ディスプレイ テクノロジー リミテッド 無電解めっきによる有機薄膜トランジスタのソース及びドレイン電極の形成方法
JP2012208993A (ja) * 2011-03-30 2012-10-25 Konica Minolta Advanced Layers Inc 磁気ディスク用ガラス基板の製造方法
JP2013506313A (ja) * 2009-09-29 2013-02-21 東京エレクトロン株式会社 基板上のシリコン含有反射防止コーティング層の再加工方法
JP2015005661A (ja) * 2013-06-21 2015-01-08 東京エレクトロン株式会社 酸化チタン膜の除去方法および除去装置
JP2018121077A (ja) * 2018-04-19 2018-08-02 東京エレクトロン株式会社 酸化チタン膜の除去方法および除去装置
CN110885979A (zh) * 2019-12-13 2020-03-17 湖北兴福电子材料有限公司 一种缓释型硅斑蚀刻剂
CN111430266A (zh) * 2019-01-09 2020-07-17 东京毅力科创株式会社 基板处理方法及基板处理装置
JP2020155615A (ja) * 2019-03-20 2020-09-24 株式会社Screenホールディングス 基板処理方法および基板処理装置
JP2021500748A (ja) * 2017-10-19 2021-01-07 フジフイルム エレクトロニック マテリアルズ ユー.エス.エー., インコーポレイテッド エッチング組成物
WO2021166571A1 (ja) * 2020-02-18 2021-08-26 富士フイルム株式会社 被処理物の処理方法、処理液
JP2021181572A (ja) * 2015-07-14 2021-11-25 フジフイルム エレクトロニック マテリアルズ ユー.エス.エー., インコーポレイテッド 洗浄組成物及びその使用方法
WO2024048382A1 (ja) * 2022-08-31 2024-03-07 富士フイルム株式会社 被処理物の処理方法、処理液、電子デバイスの製造方法

Families Citing this family (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9385197B2 (en) 2014-08-29 2016-07-05 Taiwan Semiconductor Manufacturing Co., Ltd Semiconductor structure with contact over source/drain structure and method for forming the same
US9324820B1 (en) * 2014-10-28 2016-04-26 Taiwan Semiconductor Manufacturing Co., Ltd Method for forming semiconductor structure with metallic layer over source/drain structure
CN107210215B (zh) 2015-02-12 2022-01-11 富士胶片株式会社 Iii-v族元素抗氧化液、处理液、氧化物去除液及去除方法、半导体基板处理液及制造方法
CN112111741A (zh) * 2020-09-25 2020-12-22 深圳市祺鑫环保科技有限公司 剥锡液护铜剂和剥锡液

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH1167632A (ja) * 1997-08-18 1999-03-09 Mitsubishi Gas Chem Co Inc 半導体装置用洗浄剤
JP2002353443A (ja) * 2001-05-23 2002-12-06 Matsushita Electric Ind Co Ltd 半導体装置の製造方法
JP2004079990A (ja) * 2002-06-20 2004-03-11 Dainippon Screen Mfg Co Ltd 基板処理装置および不活性ガス濃度制御方法

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH1167632A (ja) * 1997-08-18 1999-03-09 Mitsubishi Gas Chem Co Inc 半導体装置用洗浄剤
JP2002353443A (ja) * 2001-05-23 2002-12-06 Matsushita Electric Ind Co Ltd 半導体装置の製造方法
JP2004079990A (ja) * 2002-06-20 2004-03-11 Dainippon Screen Mfg Co Ltd 基板処理装置および不活性ガス濃度制御方法

Cited By (26)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP1946358A4 (en) * 2005-11-09 2009-03-04 Advanced Tech Materials COMPOSITION AND METHOD FOR RECYCLING SEMICONDUCTOR WAFERS WITH LOW DIELECTRICITY CONSTANT MATERIALS
US8642526B2 (en) 2005-11-09 2014-02-04 Advanced Technology Materials, Inc. Composition and method for recycling semiconductor wafers having low-k dielectric materials thereon
US7960328B2 (en) 2005-11-09 2011-06-14 Advanced Technology Materials, Inc. Composition and method for recycling semiconductor wafers having low-k dielectric materials thereon
EP1946358A2 (en) * 2005-11-09 2008-07-23 Advanced Technology Materials, Inc. Composition and method for recycling semiconductor wafers having low-k dielectric materials thereon
JP2007131923A (ja) * 2005-11-11 2007-05-31 Asahi Kagaku Kogyo Co Ltd 酸洗促進剤およびこれを用いた鉄鋼材料の酸洗方法
WO2007063942A1 (ja) * 2005-12-01 2007-06-07 Mitsubishi Gas Chemical Company, Inc. 半導体表面処理剤
JP2007157839A (ja) * 2005-12-01 2007-06-21 Mitsubishi Gas Chem Co Inc 半導体表面処理剤
WO2007095101A3 (en) * 2006-02-10 2008-07-31 Honeywell Int Inc Selective removal chemistries for semiconductor applications, methods of production and uses thereof
WO2007095101A2 (en) * 2006-02-10 2007-08-23 Honeywell International, Inc. Selective removal chemistries for semiconductor applications, methods of production and uses thereof
JP2007294879A (ja) * 2006-03-27 2007-11-08 Tokyo Electron Ltd 基板処理方法、半導体装置の製造方法および基板処理装置
JP2012516560A (ja) * 2009-01-30 2012-07-19 ケンブリッジ ディスプレイ テクノロジー リミテッド 無電解めっきによる有機薄膜トランジスタのソース及びドレイン電極の形成方法
JP2013506313A (ja) * 2009-09-29 2013-02-21 東京エレクトロン株式会社 基板上のシリコン含有反射防止コーティング層の再加工方法
JP2012208993A (ja) * 2011-03-30 2012-10-25 Konica Minolta Advanced Layers Inc 磁気ディスク用ガラス基板の製造方法
JP2015005661A (ja) * 2013-06-21 2015-01-08 東京エレクトロン株式会社 酸化チタン膜の除去方法および除去装置
JP2021181572A (ja) * 2015-07-14 2021-11-25 フジフイルム エレクトロニック マテリアルズ ユー.エス.エー., インコーポレイテッド 洗浄組成物及びその使用方法
JP7144576B2 (ja) 2015-07-14 2022-09-29 フジフイルム エレクトロニック マテリアルズ ユー.エス.エー., インコーポレイテッド 洗浄組成物及びその使用方法
JP2021500748A (ja) * 2017-10-19 2021-01-07 フジフイルム エレクトロニック マテリアルズ ユー.エス.エー., インコーポレイテッド エッチング組成物
JP2018121077A (ja) * 2018-04-19 2018-08-02 東京エレクトロン株式会社 酸化チタン膜の除去方法および除去装置
CN111430266A (zh) * 2019-01-09 2020-07-17 东京毅力科创株式会社 基板处理方法及基板处理装置
CN111430266B (zh) * 2019-01-09 2024-01-05 东京毅力科创株式会社 基板处理方法及基板处理装置
JP2020155615A (ja) * 2019-03-20 2020-09-24 株式会社Screenホールディングス 基板処理方法および基板処理装置
JP7202230B2 (ja) 2019-03-20 2023-01-11 株式会社Screenホールディングス 基板処理方法および基板処理装置
CN110885979A (zh) * 2019-12-13 2020-03-17 湖北兴福电子材料有限公司 一种缓释型硅斑蚀刻剂
CN110885979B (zh) * 2019-12-13 2021-12-03 湖北兴福电子材料有限公司 一种缓释型硅斑蚀刻剂
WO2021166571A1 (ja) * 2020-02-18 2021-08-26 富士フイルム株式会社 被処理物の処理方法、処理液
WO2024048382A1 (ja) * 2022-08-31 2024-03-07 富士フイルム株式会社 被処理物の処理方法、処理液、電子デバイスの製造方法

Also Published As

Publication number Publication date
JPWO2005019499A1 (ja) 2006-10-19
TW200511446A (en) 2005-03-16

Similar Documents

Publication Publication Date Title
WO2005019499A1 (ja) 金属変質層の除去液及び金属変質層の除去方法
EP1641908B1 (en) Cleaning composition, method of cleaning semiconductor substrate, and method of forming wiring on semiconductor substrate
KR100942009B1 (ko) 포토레지스트, 에칭 잔류물 및 barc를 제거하기 위한제제
US7674755B2 (en) Formulation for removal of photoresist, etch residue and BARC
JP4373457B2 (ja) フォトレジストのための組成物及び方法
US7833957B2 (en) Removing solution
EP2863415B1 (en) Liquid composition for cleaning, method for cleaning semiconductor element, and method for manufacturing semiconductor element
US10035978B2 (en) Semiconductor element cleaning liquid and cleaning method
US20020134963A1 (en) Post etch cleaning composition for dual damascene system
JP6146421B2 (ja) 半導体素子用洗浄液及びそれを用いた洗浄方法
JP2002520812A (ja) デュアルダマシン系用のエッチング後洗浄組成物及び方法
JP2006114872A (ja) 銅酸化物を含む銅変質層の除去液及び除去方法
CN1949084A (zh) 水性清洗组合物及使用该组合物的方法
TWI360729B (en) Photoresist residue remover composition and semico
WO2019026677A1 (ja) コバルト、アルミナ、層間絶縁膜、窒化シリコンのダメージを抑制した組成液及びこれを用いた洗浄方法
WO2004112115A1 (ja) シリコンを含有する反射防止膜および埋め込み材の除去液と除去方法
EP3776083B1 (en) Imidazolidinethione-containing compositions for post-ash residue removal and/or for oxidative etching of a layer or mask comprising tin
EP1965418A1 (en) Formulation for removal of photoresist, etch residue and barc
WO2004097918A1 (ja) エッチング液、剥離液およびエッチング方法
JP4472369B2 (ja) 半導体基板又は半導体素子の洗浄方法
Wu et al. Development of compatible wet-clean stripper for integration of CoWP metal cap in Cu/low-k interconnects

Legal Events

Date Code Title Description
AK Designated states

Kind code of ref document: A1

Designated state(s): AE AG AL AM AT AU AZ BA BB BG BR BW BY BZ CA CH CN CO CR CU CZ DE DK DM DZ EC EE EG ES FI GB GD GE GH GM HR HU ID IL IN IS JP KE KG KP KR KZ LC LK LR LS LT LU LV MA MD MG MK MN MW MX MZ NA NI NO NZ OM PG PH PL PT RO RU SC SD SE SG SK SL SY TJ TM TN TR TT TZ UA UG US UZ VC VN YU ZA ZM ZW

AL Designated countries for regional patents

Kind code of ref document: A1

Designated state(s): GM KE LS MW MZ NA SD SL SZ TZ UG ZM ZW AM AZ BY KG KZ MD RU TJ TM AT BE BG CH CY CZ DE DK EE ES FI FR GB GR HU IE IT LU MC NL PL PT RO SE SI SK TR BF BJ CF CG CI CM GA GN GQ GW ML MR NE SN TD TG

121 Ep: the epo has been informed by wipo that ep was designated in this application
WWE Wipo information: entry into national phase

Ref document number: 2005513341

Country of ref document: JP

122 Ep: pct application non-entry in european phase