WO2004097918A1 - エッチング液、剥離液およびエッチング方法 - Google Patents

エッチング液、剥離液およびエッチング方法 Download PDF

Info

Publication number
WO2004097918A1
WO2004097918A1 PCT/JP2004/006286 JP2004006286W WO2004097918A1 WO 2004097918 A1 WO2004097918 A1 WO 2004097918A1 JP 2004006286 W JP2004006286 W JP 2004006286W WO 2004097918 A1 WO2004097918 A1 WO 2004097918A1
Authority
WO
WIPO (PCT)
Prior art keywords
acid
organic
etching
resist
film
Prior art date
Application number
PCT/JP2004/006286
Other languages
English (en)
French (fr)
Inventor
Fumihiro Kamiya
Takehiko Kezuka
Shingo Nakamura
Takashi Kanemura
Mitsushi Itano
Original Assignee
Daikin Industries Ltd.
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Daikin Industries Ltd. filed Critical Daikin Industries Ltd.
Publication of WO2004097918A1 publication Critical patent/WO2004097918A1/ja

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31127Etching organic layers
    • H01L21/31133Etching organic layers by chemical means
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D7/00Compositions of detergents based essentially on non-surface-active compounds
    • C11D7/22Organic compounds
    • C11D7/26Organic compounds containing oxygen
    • C11D7/265Carboxylic acids or salts thereof
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/42Stripping or agents therefor
    • G03F7/422Stripping or agents therefor using liquids only
    • G03F7/426Stripping or agents therefor using liquids only containing organic halogen compounds; containing organic sulfonic acids or salts thereof; containing sulfoxides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02057Cleaning during device manufacture
    • H01L21/0206Cleaning during device manufacture during, before or after processing of insulating layers
    • H01L21/02063Cleaning during device manufacture during, before or after processing of insulating layers the processing being the formation of vias or contact holes
    • C11D2111/22

Definitions

  • the present invention relates to a resist and an anti-reflection coating (BARC), an etching solution, a stripping solution, and an etching method for removing an embedding material or a dry etching residue.
  • BARC anti-reflection coating
  • the present invention relates to an etching solution, a stripping solution, and an etching method for simultaneously or separately removing a barrier coating (BARC), an organic filling material or a dry etching residue.
  • the film after the dry etching, 0 2 plasma mediation Thing was not removed the line Le , resist and antireflection film force such treatment would damage the low- k film. Therefore, it is desirable to remove the resist and the anti-reflection film by etching with a chemical solution without performing O2 plasma etching (or after performing H2 plasma etching or light 02 plasma etching).
  • the currently proposed etchant and stripper do not completely remove the anti-reflective coating and the resist formed on the low-k film, or the low-k film is etched. It cannot be used as an etchant or stripper.
  • An object of the present invention is to provide an etching solution, a stripping solution, and an etching method for removing a dry etching residue such as an antireflection film and a resist in a semiconductor manufacturing process, or a filling material, and a polymer. I do.
  • FIG. 1 shows an anti-reflection film (BARC) 2 and a resist while using the etching solution and the stripping solution of the present invention and maintaining a low-k film 5 on an object to be processed having a low-k film 5 on a substrate 4.
  • FIG. 6 is a diagram illustrating a technique for removing a filling material 3, and a dry etching residue 1.
  • the present invention provides the following etching solution and stripping solution, an etching method, and the like.
  • Item 1 A group consisting of a resist on an insulating film containing at least one organic acid, an organic antireflection film, an organic burying material, and a dry etching residue. Etching solution for removing from objects.
  • Item 2 The etching solution and the stripping solution according to claim 1, wherein the insulating film is a low-k film.
  • Item 3 A group consisting of an organic solvent and water
  • Item 4 The etching solution and the stripping solution according to Item 2 for removing the organic antireflection film and the resist formed on the low-k film.
  • Item 5 The etching solution according to any one of Items 1 to 4, wherein the etching rate power of the organic antireflection film is 0.1 AZ min or more, and the etching rate of the low-k film is 30 AZ min or less. Stripper.
  • Item 6 The etching solution and the stripping solution according to any one of Items 1 to 5, wherein the organic acid has at least one selected from the group consisting of a carboxylic acid group and a sulfonic acid group.
  • the organic acid is formic acid, butyric acid, monochloroacetic acid, dichloroacetic acid, trichloroacetic acid, monofluoroacetic acid, difluoroacetic acid, trifluoroacetic acid, monobromoacetic acid, dibromoacetic acid, triproacetic acid, perfluoropropionic acid, and perfume.
  • Item 1 is an etching solution or a stripping solution according to any one of! To 5.
  • Item 9 The etching solution and the stripping solution according to any one of Items! To 8, which are organic acid methanesulfonic acid.
  • Organic solvents are monohydric alcohols, polyols, ketones, amides, nitriles, alcohols, alkylene glycol monoalkyl ethers, ethers, esters, hydrocarbons, halogen compounds, fluoroalcohols
  • Item 11 An etching solution and a stripping solution according to any one of Items 1 to L0, in which a workpiece having a resist, an organic antireflection film, and an organic burying material on an insulating film is used for rework in a dual damascene process.
  • a method for removing a resist, an organic antireflection film and an organic burying material which includes a step of treating with an organic material.
  • An anti-reflection method including a step of treating an object having an organic anti-reflection film and a resist on an insulating film in this order with an etching solution or a stripping solution according to any one of Items:! How to remove film and resist.
  • Item 13 An etching solution according to any one of Items 1 to 10 having an organic antireflection film and a resist on an insulating film in this order, and further including an organic embedding material.
  • Item 14 An organic filling material including a step of treating an object having an organic filling material in a groove formed by dry etching with an etching solution and a stripping solution according to any one of Items 1 to 10. How to remove.
  • Item 15 The method according to any one of Items 11 to 14, wherein the treatment of the object is performed by ultrasonic cleaning.
  • Item 16 A resist stripped product obtained by the method according to any one of Items 11 to 13.
  • Item 17. Etching residue on the side wall of the groove formed by dry etching, containing at least one organic acid and hydrogen fluoride (HF) and, if necessary, at least one organic solvent, An organic anti-reflective coating and resist, and an etchant and stripper to remove the organic burying material if necessary.
  • HF organic acid and hydrogen fluoride
  • An organic anti-reflective coating and resist and an etchant and stripper to remove the organic burying material if necessary.
  • a method for removing an etching residue, an organic antireflection film, and a resist, comprising a step of treating with an etching solution containing (HF) and a strip
  • Item 19 At least one object to be processed having an etching residue on a sidewall of a groove formed by dry etching, having a filling material in a via hole, and having an organic antireflection film and a resist on an insulating film.
  • Item 20 An object to be processed having an etching residue on a sidewall of a groove formed by dry etching and having an organic antireflection film and a resist on an insulating film is described in any one of Items 1 to L0.
  • Remove the organic anti-reflective coating and the resist by treating with an etching solution and a stripping solution, and then use an etching solution containing hydrogen fluoride (HF) and at least one organic solvent and / or at least one organic acid.
  • HF hydrogen fluoride
  • Item 21 An object to be processed having an etching residue on a sidewall of a groove formed by dry etching, having a filling material in a via hole, and having an organic antireflection film and a resist on an insulating film, is described in Item 1
  • To remove the organic antireflection film, the resist, and the filling material, and then hydrogen fluoride (HF) and at least one organic solvent and Z or at least 1 A stepwise method for removing an organic antireflection film, a resist, a burying material, and an etching residue, comprising removing the etching residue by treating with an etching solution containing a kind of organic acid.
  • HF hydrogen fluoride
  • Item 22 An object having an etching residue on the side wall of a groove formed by dry etching and having an organic antireflection film and a resist on an insulating film is treated with at least one type of hydrogen fluoride (HF). Treat with an etching solution containing an organic solvent to remove etching residues.
  • HF hydrogen fluoride
  • a stepwise removal method for an etching residue and an organic antireflection film and a resist, comprising removing the organic antireflection film and the resist by treating with the etching solution and the stripping solution according to any one of the above.
  • Item 23 Fluoride is applied to an object that has an etching residue on the side wall of a groove formed by dry etching, has a filling material in a via hole, and has an organic antireflection film and a resist on an insulating film.
  • Treatment with an etching solution containing hydrogen (HF) and at least one organic solvent to remove etching residues, followed by treatment with an etching solution or a stripping solution according to any of Items 1 to 10 to remove organic residues A stepwise method for removing an etching residue, an organic antireflection film, a resist, and a burying material, comprising removing the antireflection film, the resist, and the burying material.
  • the etching solution and the stripping solution of the present invention can etch the antireflection film, thereby stripping and removing the resist on the antireflection film.
  • etching solution and stripping solution means that it can be used both as an etching solution and as a stripping solution.
  • the etching residue can be mainly removed by peeling, and the organic filling material can be removed by etching.
  • the “etching solution and stripping solution” of the present invention act as a stripping solution and an etching solution, respectively. Also, when removing the organic anti-reflection film and the resist, it has both effects of an anti-reflection film etching solution and a resist stripping solution.
  • the antireflection film 2 of the present invention is formed on an insulating film 5.
  • the insulating film include a silicon oxide film and a low-k film.
  • the antireflection film is preferably formed on a low-k film.
  • a filling material for a groove (hole) formed by dry etching such as a via hole or a trench used in a dual damascene process or the like can be removed by etching using the etching solution and the stripping solution of the present invention.
  • a via hole and a trench are preferably exemplified.
  • etching residues in grooves (holes) formed by dry etching can be removed by an etching solution containing an organic acid and HF.
  • the etching solution, the stripping solution and the etching method of the present invention can remove the antireflection film and the filling material without substantially damaging the km-k film.
  • the etching solution and the stripping solution of the present invention contain an organic acid, and may optionally contain at least one selected from the group consisting of water and an organic solvent.
  • organic acid examples include those having a carboxylic acid group or a sulfonic acid group, and specific examples include monocarboxylic acid, monosulfonic acid, polysulfonic acid, and polycarboxylic acid.
  • Monocarboxylic acids include formic acid, acetic acid, propionic acid, butyric acid, isobutyric acid, valeric acid, caproic acid, caprylic acid, enanthic acid, octanoic acid, nonanoic acid, decanoic acid, pendecanoic acid, dodecanoic acid, and trimethylacetic acid , Monochloroacetic acid, dichloroacetic acid, trichloroacetic acid, monofluoroacetic acid, difluoroacetic acid, trifluoroacetic acid, monobromoacetic acid, dibromoacetic acid, tribromoacetic acid, ⁇ : —chlorobutyric acid, ⁇ -monobutyric acid, y-clobutyric acid, Lactic acid, acrylic acid, glycolic acid, glyceric acid, pyruvic acid, glyoxalic acid, acetoacetic acid, benzylic acid, anthranilic acid
  • polycarboxylic acids examples include oxalic acid, malonic acid, succinic acid, daltaric acid, adipic acid, suberic acid, azelaic acid, maleic acid, fumaric acid, phthalic acid, terephthalic acid, isophthalic acid, and sake At least one selected from the group consisting of lithic acid, malic acid, citric acid, benzenetricarboxylic acid and benzenetetracarboxylic acid,
  • polysulfonic acid benzenedisulfonic acid is exemplified.
  • Preferred organic acids include formic acid, butyric acid, monochloroacetic acid, dichloroacetic acid, trichloroacetic acid, monofluoroacetic acid, difluoroacetic acid, trifluoroacetic acid, monobromoacetic acid, dibromoacetic acid, tribromoacetic acid, perfluoropropionic acid, and perfluorophenol.
  • Orobutanoic acid perfluoropentanoic acid, perfluorohexanoic acid, perfluoroheptanoic acid, perfluorooctanoic acid, perfluorononanoic acid, perfluorodecanoic acid, perfluoroundecanoic acid, perfluorod Decanoic acid, 3,3,3-trifluoro-2- (trifluoromethyl) propionic acid, 3H-tetrafluoropropionic acid, 5H-octafluoropentanoic acid, 7H-dodecafluoroheptanic acid, 9H- Examples include xadecafluorononanoic acid, methanesulfonic acid, and dodecylbenzenesulfonic acid It is.
  • Organic solvents include methanol, ethanol, isopropanol (IP A :), 1-propanol
  • Polyols such as ethylene glycol, diethylene glycol, 1,2-propanediole, propylene glycol, 2,3-butanediol, and glycerin;
  • Ketones such as acetone, acetylacetone, methylethylketone, methylisobutylketone, cyclohexanone, getylketone, diisobutylketone;
  • Amides such as formamide, N-methylformamide, N, N-dimethylformamide, acetamide, N-methylacetamide, N, N-dimethylacetamide;
  • Nitriles such as acetonitrile, propionitrile, ptyronitrile, isobutyronitrile, benzonitrile;
  • Aldehydes such as honolemuanolaldehyde, acetoaldehyde and propionaldehyde; anolexylene glycol monoalkyl ethers such as ethylene glycol monomethinole ether and ethylene glycol monoethyl ether; Ethers such as tetrahydrofuran, dioxane, diisopropyl ether, dibutyl ether, tetrahydropyran, anisole, 1,2-dimethoxyethane, diethylene glycol dimethyl ether;
  • Hydrocarbons such as hexane, cyclohexane, octane, isooctane, benzene, and toluene;
  • Halogenated hydrocarbons such as chloropho / rem, 0-dichlorobenzene, norfluorohexane, norfluoromethinolecyclohexane;
  • Fluoroalcohols such as trifluoroethanol, pentafluoropropanol, 2,2,3,3-tetrafluoropropanol;
  • Nitrogen-containing organic solvents such as tetramethylurea and N-methyl-1-pyrrolidone;
  • Ester phosphate solvents such as dimethyl phosphate, dibutyl phosphate, diphenyl phosphate, dibenzyl phosphate, trimethyl phosphate, triethyl phosphate, tripropyl phosphate, tributyl phosphate, and triphenyl phosphate. .
  • Methanol, ethanol, ⁇ , 1-propanol, hexanol, propylene glycol, diethylene glycolone, ethylene glycol, glycerin, honolemamide, ⁇ -methylformamide, ⁇ , ⁇ -dimethylforma De is more preferable.
  • esters, ketones, monohydric alcohols, polyhydric alcohols, amides, ethers, alkylenes may be used as organic solvents. At least one member selected from the group consisting of glycol monoalkyl ethers, phosphate esters, and nitrogen-containing compounds is preferred.
  • Organic acids having an acceptor number of 50 to 200 are preferable, and those of 80 to 200 later, from the viewpoints of the releasability or removability of the antireflection film and the resist and the removability of the underfill material embedded in via holes.
  • Power S better than S.
  • the Akuseputa number Alpha New, Akuseputa of measure proposed by Mayer-Gutmann i.e. a measure of a Lewis acid solvent.
  • ⁇ ⁇ 100 ⁇ (solvent) / [ ⁇ ((C 2 F 5 ) 3 in 1,2-dichloroethane 3 P0'SbCl 5 )- ⁇ (solvent in ( ⁇ -hexane) (C 2 F 5 ) 3 P0)].
  • the content of the organic acid can be appropriately set according to the type of other components, and is not particularly limited.
  • the amount of the organic solvent is about 0 to 90 mass%, preferably about 0 to 30 mass%.
  • the water content of the etching solution and the stripping solution is about 0 to 50 mass%, preferably about 0 to 30 mass%. If the contents of water and Z or the organic solvent in the etching solution and the stripping solution are too large, it takes too much time to remove the antireflection film and the filling material, or the removal is insufficient.
  • the etching solution and the stripping solution of the present invention can be subjected to ultrasonic washing.
  • the etching rate of the etching solution and the stripping solution with respect to the antireflection film is preferably at least 0.1 A / min, more preferably at least 0.5 A / min.
  • the etching rate of the etching solution for the low-k film is preferably 30 AZ or less, more preferably 1 A / min or less.
  • the etching solution of the present invention is advantageously used for removing an antireflection film (particularly, an organic antireflection film) and a resist formed on a low-k film.
  • an antireflection film particularly, an organic antireflection film
  • a resist formed on a low-k film Alternatively, in a dual damascene process for forming a via hole, it can be advantageously used for etching and removing a filling material, particularly an organic filling material.
  • the anti-reflection coating (BARC) is intended to improve the resist line width controllability by suppressing the reflection effect of the substrate under the resist, and to achieve high-precision CD control required for ultra-fine processing of sub-nanomicron and below. It is possible to use a commercially available organic anti-reflection coating material. However, the material is not limited to these.
  • the same or similar material as the antireflection film can be used.
  • a polymer residue (etching residue) force which is a polymer of an etching gas, when present in a via hole formed by dry etching, an anti-reflection film. This etching residue may be peeled off before or after removing the embedded material by etching.
  • an etching solution containing an organic acid or an organic solvent and HF may be used.
  • the organic antireflection film and the resist can be removed, and the etching residue can be removed at the same time.
  • an etching solution containing an organic solvent and HF is used as a pretreatment solution to remove etching residues, and then an etching solution containing an organic acid and a stripping solution are used in the next step, and an organic antireflection film and a resist are used. Can be removed.
  • etching solution and the stripping solution of the present invention can remove all of the organic anti-reflection agent, the resist and the organic embedding material, they can be used in the step of removing all of them in, for example, a Duano Reda machine process. .
  • the content of HF can be appropriately set according to the type of other components (organic acid and Z or organic solvent).
  • the content of each component is the same, when an organic acid is contained (when an organic acid is contained and an organic solvent is not contained). And about 0.001 to 5 maSS %, preferably about 0.05 to 3 mass%, more preferably about 0.1 to: Lmass%. (Not including organic solvent and not including organic acid): 0.01 to L0ma SS %, preferably 0.1%
  • Organic acid and organic solvent power group power at least one selected content, when not containing an organic acid, about 30 to 99.99 mass%, preferably about 50 to 99.95 mass%, more preferably About 90 to 99.9 mass%, more preferably about 95 to 99.9 mass%, and when an organic acid is contained, the total amount of the organic acid and the organic solvent is about 30 to 99.99 mass%, preferably about 70 to 99 mass%. .
  • the water content is about 70% by mass or less, preferably about 50% by mass or less, more preferably about 5% by mass or less.
  • 100% HF can also be used in the case where dilute hydrofluoric acid (50 mass% aqueous solution) is not used, and when it does not contain water.
  • the etching solution for removing the etching residue containing HF according to the present invention and the mixing ratio thereof are shown below.
  • the etching solution and the stripping solution of the present invention contain a large amount of water and a non-polar organic solvent and are separated into two phases, they may be used as they are, or may preferably be used after being separated. .
  • the more preferable etching solution for removing the etching residue containing HF and the compounding ratio thereof in the present invention are shown below.
  • the etching solution of the present invention may contain at least one surfactant selected from the group consisting of anionic, cationic and nonionic surfactants.
  • the content of the surfactant is not particularly limited as long as the intended effect of the present invention is exhibited, but is usually
  • examples of the organic antireflection film include AR series (trade name, manufactured by Shipley Far East Co., Ltd.) and SKW series (trade name, manufactured by Tokyo Ohka Kogyo Co., Ltd.).
  • the low-k film shows a kind damaged by 0 2 plasma Atsu Sing, specifically, relative dielectric constant, the degree than 1 atmospheric instrument 4 or less, preferably degree 3 or less, more preferably 2 It means an insulating film of about 8 or less, more preferably about 2.6 or less.
  • low-k films examples include Black Diamond (trade name, manufactured by Applied Materials), Coral (trade name, manufactured by Novellus), LKD series (trade name, manufactured by JSR), Aurora (trade name, ASM) made by the company), HSG series (trade name, manufactured by Hitachi Chemical Co., Ltd.), Nanoglass (trade name, manufactured by Honewell, Inc.), IPS (trade name, manufactured by catalysts & Chemicals Co., Ltd.), Z 3 M (trade name, Dow Corning Co., Ltd.), XLK (trade name, manufactured by Dow Corning), FOx (trade name, manufactured by Dow Corning), Orion (trade name, manufactured by Trikon) and the like.
  • KrF krypton F
  • ArF ArF
  • F 2 the resist and the like, but is not limited thereto.
  • a low-k film is formed on a semiconductor substrate (for example, SiN, ⁇ , TaN, SiC, etc.), then an anti-reflection film and a resist are sequentially formed, and then a pattern is formed by photolithography. After the low-k film is etched in accordance with the pattern, the resist (including the deteriorated resist) and the antireflection film can be removed by contacting the low-k film with the etching solution and the stripping solution of the present invention. Next, holes formed by etching the low-k film (for example, In the case where the filling material is filled in the hole and the etching is further performed after the formation of the resist, the filling material can be removed simultaneously with the registration.
  • a semiconductor substrate for example, SiN, ⁇ , TaN, SiC, etc.
  • a SiN, SiC, SiCN, TaN film, etc. is formed on the low-k film, and the SiN, SiC, SiCN, TaN film, etc. are formed on the low-k film. It can be etched with the film.
  • the low-k film and the resist anti-reflection film are usually about 0.01 to 2 ⁇ and about 0.001 to 0.2 ⁇ , respectively, about 0.001 to 0.1 ⁇ m. It has a thickness. Also, the SiN film, SiC film, TaN film, etc. formed as needed usually have a thickness of about 0.001-1 ⁇ m.
  • the low-k film may be substantially damaged.
  • lighter 0 2 plasma mediation sequencing e.g., change of light 0 2 plasma mediation sequencing before and after the relative dielectric constant is preferably lower than about 20%, more preferably about 10% or less, more preferably 5% or less Or a slight H 2 plasma assing.
  • the method for etching a resist, an antireflection film, and a filling material using the etching solution and the stripping solution of the present invention can remove the resist (including a deteriorated resist), the antireflection film, and the filling material, and can reduce the insulating film thickness.
  • the temperature and time are such that the low-k film is not substantially damaged.
  • the fact that the low-k film does not substantially damage the low-k film means that the properties of the low-k film before and after the treatment using the etching solution and the stripping solution affect the performance of the low-k film when applied to a semiconductor substrate, for example.
  • the cross-section in the stacking direction of the film of the workpiece that does not substantially attack (etch) the low-k film at the interface between the resist and the low-k film This means that the shape is not substantially changed, or that the relative dielectric constant of the low-k film is not substantially changed before and after the treatment using the stripping solution.
  • substantially no etching of the low-k film means that the etching amount of the low-k film is preferably about 200 nm or less, more preferably about 100 nm or less, and further preferably about 50 nm or less.
  • the relative dielectric constant of the low-k film before and after treatment with the etchant and stripper is substantially “No change” means that the relative permittivity change power is preferably about 20% or less, more preferably about 10% or less, and still more preferably about 5% or less.
  • the treatment with the etching solution and the stripping solution can be performed, for example, by immersing the substrate after dry etching in the etching solution and the stripping solution of the present invention as an object to be processed.
  • the immersion conditions in the etching solution and the stripping solution are not particularly limited as long as the resist and the antireflection film or the filling material can be removed and the low-k film is not substantially damaged. It can be set appropriately according to the type and temperature of the liquid. For example, if the liquid temperature of the etching liquid and the stripping liquid is about 15 to 60 ° C., the immersion may be performed for about 0.1 to 30 minutes, preferably for about 0.5 to 20 minutes.
  • the etching solution and the stripping solution are brought into contact with the object to be processed, the resist, the antireflection film, or the filling material can be removed.
  • the cleaning may be performed by supplying a liquid and a stripping liquid, or the processing may be performed by continuously spraying an etching liquid and a stripping liquid on the object.
  • the treatment with the etching solution and the stripping solution of the present invention may be performed when it is difficult to remove the resist, the antireflection film, or the filling material due to the type of the resist or conditions such as etching. And ultrasonic cleaning may be performed.
  • the conditions for performing ultrasonic cleaning are not particularly limited as long as the resist and the antireflection film or the filling material can be removed. However, when the temperature is usually about 23 ° C, 20 to 1200 kHz, 50 to 3000 W At about 0.1 to 20 minutes.
  • the resist and the anti-reflection film using the etching solution and the stripping solution of the present invention, or the semiconductor substrate from which the filling material has been removed simultaneously or alone with the resist and the anti-reflection film can be formed by a commonly used method such as copper or aluminum wiring.
  • a method described in a detailed semiconductor CMP technique, edited by Toshio Doi, 2001 various types of semiconductor devices can be processed.
  • the semiconductor substrate treated with via holes and the like using the etching solution and the stripping solution of the present invention can be used in a conventional method (for example, Atlas of IC Technologies: An Introduction to VLSI Processes by W. aly, 1987 by The According to the method described in Benjamin / Cummings Publishing Company Inc.), various types of semiconductor devices can be processed.
  • the etching solution and the stripping solution of the present invention containing HF and an organic acid or an organic solvent may be a titanium-containing compound derived from dry etching (e.g., titanium fluoride, titanium oxide, etc.) Has polymer detergency. Therefore, the etching solution and the stripping solution of the present invention can be used, for example, in a semiconductor manufacturing process, after dry etching, titanium fluoride, polymer, or the like remaining on the side wall and / or bottom surface of a dry etching groove (eg, via hole, trench) or the like. For the purpose of peeling off and etching the groove.
  • a dry etching groove e.g., via hole, trench
  • the etching solution and the stripping solution of the present invention can be used for cleaning the via hole and the like by peeling off the titanium conjugate and Z or polymer generated in the step of forming the via hole and the like.
  • the etching solution and the stripping solution of the present invention it is possible to clean grooves formed by dry etching such as via holes at a low temperature in a short time.
  • the etching solution of the present invention containing HF and an organic acid can simultaneously remove a resist residue, a titanium oxide, a polymer, and the like derived from dry etching. Therefore, the etching solution and the stripping solution of the present invention can be used, for example, in a semiconductor manufacturing process, for resist residue after dry etching (and post-assisting) of a metal film above or below a metal capacitor (TiN, Ti, etc.) and a via hole. It can be used for the purpose of simultaneously removing a polymer, a titanium conjugate, and a dry etching residue.
  • a gas containing fluorine for example, C 4 F 8
  • a substrate or the like containing titanium for example, TiN
  • a dry etching gas is used as a dry etching gas.
  • polymer 1 refers to a polymer in which an etching gas is polymerized during etching.
  • resist residue refers to a residue of a resist generated by asshing after the etching step.
  • the treatment using the cleaning liquid of the present invention may be performed on a substrate (for example, a semiconductor substrate on which at least one selected from the group consisting of a via hole, a lower portion of a metal capacitor, and an upper electrode force is formed, the side wall and / or bottom surface of the via hole).
  • a substrate for example, a semiconductor substrate on which at least one selected from the group consisting of a via hole, a lower portion of a metal capacitor, and an upper electrode force is formed, the side wall and / or bottom surface of the via hole.
  • the resist residue and the polymer or the polymer or the titanium oxide are adhered to the substrate on which the polymer and the Z or titanium compound are adhered, or the metal film on the upper or lower electrode of the metal capacitor (TiN, Ti, etc.).
  • the substrate can be immersed in an etching solution.
  • the conditions of immersion can be performed by applying a force that can be appropriately set according to the type of the etching solution and the stripping solution, for example, about 15 to 60 ° C., preferably about 0.1 to 20 minutes at about room temperature. .
  • the etching liquid and the stripping liquid may be brought into contact with the object to be processed.
  • the cleaning object may be supplied while the object to be processed is rotated, and the cleaning solution may be supplied to the object to be processed. Processing may be continued by spraying.
  • etching solution and a stripping solution were prepared with the compositions shown in Table 1 below.
  • the etching rate for the antireflection film of the test substrate in which the organic antireflection film was formed at 500A on the silicon substrate was obtained.
  • a test substrate with Black Diamond (trade name, manufactured by Applied Materials), Coral (trade name, Novellus), and Aurora (trade name, manufactured by ASM) formed on a silicon substrate as a low-k film. The etching rate was determined.
  • the etching rate is calculated by dividing the difference in film thickness before and after etching by the etching time.
  • the film thickness was measured using Nanospec 3000AF-T manufactured by NanoMetricus Japan.
  • the etching was performed by immersing the test substrate in an etching solution and a stripping solution (solution temperature 23 ° C) for 10 minutes.
  • an organic anti-reflection film and resist (including those whose surface resist has been altered by etching) are formed on the surface of the low-k film, and an organic filling material is further formed in the via hole.
  • An object to be processed having an etching residue in the groove was obtained.
  • the object was immersed in the following cleaning solution (Example 23 and Comparative Example 9) at 23 ° C. with stirring for a predetermined time. After the immersion, the peelability of the resist, organic antireflection film, organic burying material and etching residue was observed by photographing with a scanning electron microscope (S-5000), Hitachi, Ltd.

Abstract

本発明は、少なくとも1種の有機酸を含む、レジストと有機系反射防止膜、および/または有機系埋め込み材を除去するためのエッチング液および剥離液に関する。

Description

エッチング液、剥離液おょぴエッチング方法 技術分野
本発明は、レジスト及ぴ反射防止膜 (BARC)、埋め込み材あるいはドライエッチング残 渣を除去するためのエッチング液、剥離液およびエッチング方法に関し、特に low— k膜 に悪影響を及ぼすことなくレジスト、反射防止膜 (BARC)、有機系埋め込み材あるいはド ライエッチング残渣を同時にまたは別々に除去するためのエッチング液、剥離液および エッチング方法に関する。
背景技術
半導体のデバイスの微細加ェ化に伴い、レジストの下部膜層の光学的性質及ぴレジ スト厚さの変動による定在波並びに反射ノッキングと下部膜からの回折光及び反射光に よる CD(Critical Dimension)の変動が問題となっており、下部層膜での反射を防ぐことが できる反射防止膜 (BARC)をレジストの下部に形成することが必要となってきている。 近年 Si02膜の代わりに比誘電率の低レ、 1 - k膜 (低誘電率膜)を用レ、る技術が開発 されており、それに伴い半導体デバイスの製造工程において low-k膜をエッチングする 必要が生じている。従来は、膜をドライエッチングした後には、 02プラズマアツシングを行 レ、、レジストおよび反射防止膜を除去していた力 このような処理は low- k膜にダメージ を与えてしまう。従って、 O2プラズマアツシングを行わずに(或いは H2プラズマアツシン グもしくは軽い 02プラズマアツシングをした後に)、レジストおょぴ反射防止膜を薬液で エッチングにより除去することが望ましい。し力 ながら、現在提案されているエッチング 液および剥離液では、 low-k膜上に形成された反射防止膜およびレジストが完全に除去 できな力 たり、 low-k膜がエッチングされてしまレ、、エッチング液おょぴ剥離液として使 用できない。
また、半導体デバイスの製造工程において、デュアルダマシン第 1ステップでビアホー ルに埋め込み材を埋め込み、デュアルダマシン第 2ステップ後に該埋め込み材を除去す る必要がある。従って、該埋め込み材をビアホールから除去するためのエッチング液およ び剥離液の開発が求められている。 本発明は、半導体製造工程における反射防止膜およびレジスト、あるいは埋め込み材、 さらにはポリマー等のドライエッチング残渣を除去するためのエッチング液、剥離液およ びエッチング方法を提供することを主な目的とする。
図面の簡単な説明
図 1は、本発明のエッチング液及び剥離液を使用し、基板 4上に low- k膜 5を有する被 処理物について、 low- k膜 5を維持しつつ反射防止膜 (BARC)2、レジスト 6、埋め込み材 3、ドライエッチング残渣 1を除去する技術を説明する図である。
発明の開示
本発明は、以下のエッチング液および剥離液、並びにエッチング方法等を提供するも のである。
項 1. 少なくとも 1種の有機酸を含む、絶縁膜上のレジスト、有機系反射防止膜、有機 系埋め込み材、およびドライエッチング残渣からなる群力 選ばれる少なくとも 1種を絶縁 膜上に有する被処理物から除去するためのエッチング液おょぴ剥離液。
項 2. 絶縁膜が low-k膜である請求項 1に記載のエッチング液および剥離液。
項 3. 有機溶媒および水からなる群力 選ばれる少なくとも 1種をさらに含む項 1または 2に記載のエッチング液おょぴ剥離液。
項 4. low— k膜上に形成された有機系反射防止膜おょぴレジストを除去するための項 2に記載のエッチング液おょぴ剥離液。
項 5. 有機系反射防止膜のエッチング速度力 0. 1 AZ分以上であり、かつ、 low— k 膜のエッチング速度が 30 AZ分以下である項 1〜4のいずれかに記載のエッチング液 および剥離液。
項 6. 有機酸がカルボン酸基およぴスルホン酸基からなる群力 選ばれる少なくとも 1種 を有する項 1〜5のいずれかに記載のエッチング液おょぴ剥離液。
項 7. 有機酸が、ギ酸、酪酸、モノクロ口酢酸、ジクロロ酢酸、トリクロ口酢酸、モノフルォ 口酢酸、ジフルォロ酢酸、トリフルォロ酢酸、モノプロモ酢酸、ジブロモ酢酸、トリプロモ酢 酸、パーフルォロプロピオン酸、パーフノレオロブタン酸、パーフルォロペンタン酸、パー フノレオ口へキサン酸、パーフルォロヘプタン酸、パーフルォロオクタン酸、パーフルォロ ノナン酸、パーフルォロデカン酸、パーフルォロウンデカン酸、パーフルォロドデカン酸、 3, 3, 3—トリフルオロー 2— (トリフルォロメチル)プロピオン酸、 3H—テトラフルォロプロ ピオン酸、 5—ォクタフルォロペンタン酸、 7H—ドデカフルォロヘプタン酸、 9H—へキサ デカフルォロノナン酸、メタンスルホン酸、ドデシノレベンゼンスルホン酸からなる群より選 ばれる少なくとも 1種である項:!〜 5のいずれかに記載のエッチング液おょぴ剥離液。 項 8. 有機酸のァクセプター数が 50〜200である項 1〜7のいずれかに記載のエツチン グ液および剥離液。
項 9. 有機酸力メタンスルホン酸である項:!〜 8のいずれかに記載のエッチング液およ び剥離液。
項 10. 有機溶媒が 1価アルコール類、ポリオール類、ケトン類、アミド類、二トリル類、ァ ノレデヒド類、アルキレングリコールモノアルキルエーテル、エーテル類、エステル類、炭化 水素類、ハロゲン化合物類、フッ素アルコール、リン酸エステル類及び含窒素化合物類 力 なる群より選ばれる少なくとも 1種である項 3に記載のエッチング液おょぴ剥離液。 項 11.デュアルダマシンプロセスにおけるリワークの際に、レジスト、有機系反射防止膜 および有機系埋め込み材を絶縁膜上に有する被処理物を項 1〜: L0のいずれかに記載 のエッチング液および剥離液で処理する工程を包含するレジスト、有機系反射防止膜お ょぴ有機系埋め込み材の除去方法。
項 12. 絶縁膜上に有機系反射防止膜およびレジストをこの順に有する被処理物を、項 :!〜 10のいずれかに記載のエッチング液おょぴ剥離液で処理する工程を包含する反射 防止膜とレジストの除去方法。
項 13. 絶縁膜上に有機系反射防止膜およびレジストをこの順に有し、さらに有機系埋 め込み材を有する被処理物を、項 1〜: 10のいずれかに記載のエッチング液おょぴ剥離 液で処理する工程を包含する有機系反射防止膜とレジスト、および有機系埋め込み材 の除去方法。
項 14. ドライエッチングにより形成された溝中に有機系埋め込み材を有する被処理物 を、項 1〜10のいずれかに記載のエッチング液および剥離液で処理する工程を包含す る有機系埋め込み材を除去する方法。
項 15. 被処理物の処理を、超音波洗浄により行うことを特徴とする項 11〜14のいずれ かに記載の方法。
項 16. 項 11〜13のいずれかに記載の方法により得ることができるレジスト剥離処理物。 項 17. 少なくとも 1種の有機酸とフッ化水素 (HF)、必要に応じてさらに少なくとも 1種の 有機溶媒を含む、ドライエッチングにより形成された溝の側壁のエッチング残渣、および、 絶縁膜上の有機系反射防止膜とレジスト、必要に応じてさらに有機系埋め込み材を除去 するためのエッチング液おょぴ剥離液。 ' 項 18. ドライエッチングにより形成された溝の側壁にエッチング残渣を有し、且つ、絶 縁膜上に有機系反射防止膜とレジストを有する被処理物を少なくとも 1種の有機酸とフッ 化水素 (HF)を含むエッチング液および剥離液で処理する工程を包含するエッチング残 渣、有機系反射防止膜およびレジストの除去方法。
項 19. ドライエッチングにより形成された溝の側壁にエッチング残渣を有し、ビアホール 内に埋め込み材を有し、且つ、絶縁膜上に有機系反射防止膜とレジストを有する被処理 物を少なくとも 1種の有機酸とフッ化水素 (HF)を含むエッチング液および剥離液で処理 することを特徴とするエッチング残渣、埋め込み材、有機系反射防止膜おょぴレジストの 除去方法。
項 20. ドライエッチングにより形成された溝の側壁にエッチング残渣を有し、かつ、絶縁 膜上に有機系反射防止膜とレジストを有する被処理物を、項 1〜: L0のいずれかに記载 のエッチング液および剥離液で処理して有機系反射防止膜とレジストを除去し、次いで フッ化水素 (HF)と少なくとも 1種の有機溶媒および/または少なくとも 1種の有機酸を含 むエッチング液で処理してエッチング残渣を除去することを特徴とする有機系反射防止 膜とレジスト、およびエッチング残渣の段階的除去方法。
項 21. ドライエッチングにより形成された溝の側壁にエッチング残渣を有し、ビアホール 内に埋め込み材を有し、かつ、絶縁膜上に有機系反射防止膜とレジストを有する被処理 物を、項 1〜10のいずれかに記載のエッチング液および剥離液で処理して有機系反射 防止膜とレジスト並びに埋め込み材を除去し、次いでフッ化水素 (HF)と少なくとも 1種の 有機溶媒および Zまたは少なくとも 1種の有機酸を含むエッチング液で処理してエッチ ング残渣を除去することを特徴とする有機系反射防止膜とレジスト、埋め込み材およぴェ ツチング残渣の段階的除去方法。
項 22. ドライエッチングにより形成された溝の側壁にエッチング残渣を有し、かつ、絶縁 膜上に有機系反射防止膜とレジストを有する被処理物を、フッ化水素 (HF)と少なくとも 1 種の有機溶媒を含むエッチング液で処理してエッチング残渣を除去し、次レヽで項 1〜: 10 のいずれかに記載のエッチング液および剥離液で処理して有機系反射防止膜とレジスト を除去することを特徴とするエッチング残渣、および有機系反射防止膜とレジストの段階 的除去方法。
項 23· ドライエッチングにより形成された溝の側壁にエッチング残渣を有し、ビアホール 内に埋め込み材を有し、かつ、絶縁膜上に有機系反射防止膜とレジストを有する被処理 物を、フッ化水素 (HF)と少なくとも 1種の有機溶媒を含むエッチング液で処理してエツ チング残渣を除去し、次いで項 1〜10のいずれかに記載のエッチング液おょぴ剥離液 で処理して有機系反射防止膜とレジスト並びに埋め込み材を除去することを特徴とする エッチング残渣、および有機系反射防止膜とレジストと埋め込み材の段階的除去方法。 以下、本発明をより詳細に説明する。
本発明のエッチング液および剥離液は、反射防止膜をエッチングし、それにより反射 防止膜上のレジストを剥離、除去することができる。
本明細書において、「エッチング液おょぴ剥離液」とは、エッチング液としても使用でき、 剥離液としても使用できることを意味する。例えば、エッチング残渣は主として剥離により 除去することができ、有機系埋め込み材はエッチングにより除去することがでる。この場 合本発明の「エッチング液および剥離液」は各々剥離液及びエッチング液として作用す る。また、有機系反射防止膜とレジストを除去する場合、反射防止膜のエッチング液とレ ジストの剥離液の両方の作用を有する。
図 1に示されるように、本発明の反射防止膜 2は、絶縁膜 5上に形成される。絶縁膜と してはシリコン酸化膜、 low— k膜が例示される。反射防止膜は、好ましくは low— k膜上 に形成される。
また、デュアルダマシンプロセス等で使用されるビアホール、トレンチなどのドライエツ チングにより形成される溝 (ホール)の埋め込み材も本発明のエッチング液おょぴ剥離液 を用いることによりエッチング除去することができる。ドライエッチングにより形成される溝 としては、ビアホール、トレンチが好ましく例示される。
さらに、ドライエッチングにより形成される溝 (ホール)のエッチング残渣は、有機酸およ ぴ HFを含むエッチング液により除去することが可能である。 ' 本発明のエッチング液および剥離液並びにエッチング方法は、 km- k膜に実質的に ダメージを与えることなく反射防止膜および埋め込み材を除去することができる。 本発明のエッチング液および剥離液は、有機酸を含有し、必要に応じて水および有機 溶媒からなる群力 選ばれる少なくとも 1種を含み得る。
有機酸としては、カルボン酸基もしくはスルホン酸基を有するものが挙げられ、具体的 にはモノカルボン酸、モノスルホン酸、ポリスルホン酸おょぴポリカルボン酸などが挙げら れる。
モノカルボン酸としては、ギ酸、酢酸、プロピオン酸、酪酸、イソ酪酸、吉草酸、力プロ ン酸、力プリル酸、ェナント酸、オクタン酸、ノナン酸、デカン酸、ゥンデカン酸、ドデカン 酸、トリメチル酢酸、モノクロ口酢酸、ジクロロ酢酸、トリクロ口酢酸、モノフルォロ酢酸、ジ フルォロ酢酸、トリフルォロ酢酸、モノブロモ酢酸、ジブロモ酢酸、トリブロモ酢酸、 ο:—ク ロロ酪酸、 β一クロ口酪酸、 y—クロ口酪酸、乳酸、アクリル酸、グリコール酸、グリセリン 酸、ピルビン酸、グリオキサル酸、ァセト酢酸、ベンジル酸、アントラニル酸、力ルバミン酸. ォキサミド酸、フエニル酢酸、安息香酸、トルィル酸、クロ口酢酸、フルォロ安息香酸、クロ 口安息香酸、ブロモ安息香酸、ニトロ安息香酸、ヒドロキシ安息香酸、ケィヒ酸、ニコチン 酸、イソニコチン酸、 2—フロ酸、メタクリル酸、パルミチン酸、ステアリン酸、ォレイン酸、 2 —ナフトェ酸、パーフルォロプロピオン酸、パーフルォロブタン酸、パーフルォロペンタン 酸、パーフルォ口へキサン酸、パーフノレオ口ヘプタン酸、パーフルォロオクタン酸、パー フルォロノナン酸、パーフルォロデカン酸、パーフルォロウンデカン酸、パーフノレオロド デカン酸、 3, 3, 3—トリフルオロー 2—(トリフルォロメチル)プロピオン酸、 3H—テトラフ /レオ口プロピオン酸、 5H—ォクタフルォロペンタン酸、 7H—ドデカフルォロヘプタン酸及 び 9H—へキサデカフルォロノナン酸からなる群より選ばれる少なくとも 1種が挙げられる, モノスルホン酸としては、メタンスルホン酸、エタンスルホン酸、プロパンスルホン酸、ブ タンスルホン酸、ペンタンスルホン酸、へキサンスルホン酸、ヘプタンスルホン酸、ォクタ ンスルホン酸、ノナンスルホン酸、デカンスルホン酸、ゥンデカンスルホン酸、ドデカンス ルホン酸、トリフルォロメタンスルホン酸、タウリン、システィン酸などの置換されていてもよ いアルキノレスルホン酸、ベンゼンスルホン酸、トルエンスルホン酸、ドデシルベンゼンス ルホン酸などの置換されていてもよいァリールスルホン酸などが例示される。
ポリカルボン酸としては、シユウ酸、マロン酸、コハク酸、ダルタル酸、アジピン酸、スべ リン酸、ァゼライン酸、マレイン酸、フマル酸、フタル酸、テレフタル酸、イソフタル酸、酒 石酸、リンゴ酸、クェン酸、ベンゼントリカルボン酸おょぴベンゼンテトラカルボン酸力もな る群より選ばれる少なくとも 1種であり、
ポリスルホン酸としては、ベンゼンジスルホン酸が例示される。
好ましい有機酸としては、ギ酸、酪酸、モノクロ口酢酸、ジクロロ酢酸、トリクロ口酢酸、モ ノフルォロ酢酸、ジフルォロ酢酸、トリフルォロ酢酸、モノブロモ酢酸、ジブロモ酢酸、トリ ブロモ酢酸、パーフルォロプロピオン酸、パーフノレオロブタン酸、パーフルォロペンタン 酸、パーフルォ口へキサン酸、パーフルォロヘプタン酸、パーフルォロオクタン酸、パー フルォロノナン酸、パーフルォロデカン酸、パーフルォロウンデカン酸、パーフルォロド デカン酸、 3, 3, 3—トリフルオロー 2—(トリフルォロメチル)プロピオン酸、 3H—テトラフ ルォロプロピオン酸、 5H—ォクタフルォロペンタン酸、 7H—ドデカフルォロヘプタン酸、 9H—へキサデカフルォロノナン酸、メタンスルホン酸、ドデシルベンゼンスルホン酸など が例示される。
有機溶媒としては、メタノール、エタノール、イソプロパノール(IP A:)、 1—プロパノール
1—ブタノー/レ、 2—ブタノ一ノレ、 tーブタノ一ノレ、 2—メチノレ _ 1一プロパノーノレ、 1一ペン タノ一ノレ、 1一へキサノール、 1 _ヘプタノール、 4_ヘプタノール、 1ーォクタノール、 1— ノ-ルアルコール、 1—デカソール、 1一ドデカノール、ラウリルアルコール、シクロへキサ ノールなどの一価アルコール類;
エチレングリコール、ジエチレングリコール、 1, 2—プロパンジォーノレ、プロピレングリコ ール、 2, 3—ブタンジオール、グリセリンなどのポリオール類;
アセトン、ァセチルアセトン、メチルェチルケトン、メチルイソプチルケトン、シクロへキサ ノン、ジェチルケトン、ジイソプチルケトン等のケトン類;
ホルムアミド、 N-メチルホルムアミド、 N,N-ジメチルホルムアミド、ァセトアミド、 N -メチル ァセトアミド、 N,N-ジメチルァセトアミド等のアミド類;
ァセトニトリル、プロピオ二トリル、プチロニトリル、イソブチロニトリル、ベンゾニトリル等の 二トリル類;
ホノレムァノレデヒド、ァセトアルデヒド、プロピオンアルデヒドなどのアルデヒド類; エチレングリコールモノメチノレエーテル、エチレングリコールモノェチルエーテノレなどの ァノレキレングリコールモノアルキルエーテル; テトラヒドロフラン、ジォキサン、ジイソプロピルエーテル、ジブチルエーテル、テトラヒドロ ピラン、ァニソール、 1, 2—ジメトキシェタン、ジエチレングリコールジメチルエーテル等の エーテル類;
酢酸メチル、酢酸ェチル、酢酸プロピル、酢酸イソプロピル、酢酸ブチル、酢酸イソプチ ル、酢酸ペンチル、酢酸へキシル、プロピオン酸メチル、プロピオン酸ェチル、プロピオン 酸プロピル、プロピオン酸イソプロピル、プロピオン酸ブチル、プロピオン酸イソプチル、 プロピオン酸ペンチル、プロピオン酸へキシル、酪酸メチル、酪酸ェチル、酪酸プロピル, 酪酸イソプロピル、酪酸プチル、酪酸イソブチル、酪酸ペンチル、酪酸へキシル、イソ酪 酸メチル、イソ酪酸ェチル、イソ酪酸プロピル、イソ酪酸イソプロピル、イソ酪酸プチル、ィ ソ酪酸イソブチル、イソ酪酸ペンチル、イソ酪酸へキシル、吉草酸メチル、吉草酸ェチル 吉草酸プロピル、吉草酸イソプロピル、吉草酸プチル、吉草酸イソプチル、吉草酸ペンチ ル、吉草酸へキシル、イソ吉草酸メチル、イソ吉草酸ェチル、イソ吉草酸プロピル、イソ吉 草酸イソプロピル、イソ吉草酸プチル、イソ吉草酸イソプチル、イソ吉草酸ペンチル、イソ 吉草酸へキシル、力プロン酸メチル、力プロン酸ェチル、カプロン酸プロピル、カプロン酸 イソプロピル、力プロン酸ブチル、カプロン酸イソブチル、カプロン酸ペンチル、カプロン 酸へキシル、カプリル酸メチル、カプリル酸ェチル、力プリル酸プロピル、力プリル酸イソ プロピル、力プリル酸プチル、力プリル酸イソプチル、力プリル酸ペンチル、力プリル酸へ キシル、オクタン酸メチル、オクタン酸ェチル、オクタン酸プロピル、オクタン酸イソプロピ ル、オクタン酸プチル、オクタン酸イソプチル、オクタン酸ペンチル、オクタン酸へキシル、 ノナン酸メチル、ノナン酸ェチル、ノナン酸プロピル、ノナン酸イソプロピル、ノナン酸ブチ ル、ノナン酸イソプチル、ノナン酸ペンチル、ノナン酸へキシル、デカン酸メチル、デカン 酸ェチル、デカン酸プロピル、デカン酸イソプロピル、デカン酸ブチル、デカン酸イソブチ ル、デカン酸ペンチル、デカン酸へキシル、ドデカン酸メチル、ドデカン酸ェチル、ドデカ ン酸プロピル、ドデカン酸イソプロピル、ドデカン酸プチル、ドデカン酸イソプチル、ドデカ ン酸ペンチル、ドデカン酸へキシル、ラウリル酸メチル、ラウリル酸ェチル、ラウリル酸プロ ピル、ラウリル酸イソプロピル、ラウリル酸ブチル、ラウリル酸イソプチル、ラウリル酸ペンチ ル、ラウリル酸へキシル、アクリル酸メチル、アクリル酸ェチル、アクリル酸プロピル、アタリ ル酸イソプロピル、アクリル酸プチル、アクリル酸イソプチル、アクリル酸ペンチル、アタリ ル酸へキシル、シユウ酸モノメチル、シユウ酸ジメチル、シユウ酸モノエチル、シユウ酸ジェ チル、シユウ酸モノプロピル、シユウ酸ジプロピル、シユウ酸モノプチル、シユウ酸ジブチル コハク酸モノメチル、コハク酸ジメチル、コハク酸モノェチル、コハク酸ジェチル、コハク酸 モノプロピル、コハク酸ジプロピル、コハク酸モノプチル、コハク酸ジブチル、アジピン酸 モノメチル、アジピン酸ジメチル、アジピン酸モノエチル、アジピン酸ジェチル、アジピン 酸モノプロピル、アジピン酸ジプロピル、アジピン酸モノブチル、アジピン酸ジブチル、酒 石酸モノメチル、酒石酸ジメチル、酒石酸モノェチル、酒石酸ジェチル、酒石酸モノプロ ピル、酒石酸ジプロピル、酒石酸モノプチル、酒石酸ジブチル、クェン酸モノメチル、クェ ン酸ジメチル、クェン酸モノエチル、クェン酸ジェチル、クェン酸モノプロピル、クェン酸 ジプロピル、クェン酸モノブチル、クェン酸ジプチル、フタル酸ジメチル、フタル酸ジェチ ル、フタル酸ジプロピル、フタル酸ジプチル、フタル酸ジペンチル、フタル酸ジへキシル、 フタル酸ジヘプチル、フタル酸ジォクチル、フタル酸ジノニル、フタル酸ジデシル、フタル 酸ジドデシル、テレフタル酸ジメチル、テレフタル酸ジェチル、テレフタル酸ジプロピル、 テレフタル酸ジブチル、テレフタル酸ジペンチル、テレフタル酸ジへキシル、テレフタル酸 ジヘプチル、テレフタル酸ジォクチル、テレフタル酸ジノニル、テレフタル酸ジデシル、テ レフタル酸ジドデシル、炭酸プロピレン、 ブチロラクトン等のエステル類;
へキサン、シクロへキサン、オクタン、イソオクタン、ベンゼン、トルエンなどの炭化水素 類;
クロロホ /レム、 0-ジクロロベンゼン、ノ ーフロロへキサン、ノ ーフロロメチノレシクロへキサ ンなどのハロゲンィ匕炭化水素類;
トリフルォロエタノール、ペンタフルォロプロパノール、 2,2,3,3 -テトラフルォロプロパノー ル等のフッ素アルコール;
無水酢酸、ジメチルスルホキシド、スルホラン、ニトロメタン;
テトラメチル尿素、 N—メチル一2—ピロリドンなどの含窒素有機溶媒;
リン酸ジメチル、リン酸ジブチル、リン酸ジフヱニル、リン酸ジベンジル、リン酸トリメチル, リン酸トリェチル、リン酸トリプロピル、リン酸トリブチル、リン酸トリフエ-ルなどのリン酸ェ ステル系溶媒等が挙げられる。
これら有機溶媒の中でも、メタノール、エタノール、イソプロパノール(IP A)、 1一プロ パノール、 1—ブタノール、 2—ブタノール、 t—プタノール、 2—メチルー 1一プロパノー ゾレ、 1—ペンタノ一^ ·、 1—へキサノーノレ、エチレングリコーノレ、ジエチレングリコーノレ、 1, 2—プロパンジオール、シクロへキサノール、プロピレングリコール、グリセリン、ジブチル エーテル、ホルムアミド、 N-メチルホルムアミド、 Ν,Ν-ジメチルホルムアミド、 Ν -メチルァセ トアミド、 Ν,Ν -ジメチルァセトアミド、 Ν-メチル -2 -ピロリドン、テトラヒドロフラン、ジォキサン、 酢酸メチル、酢酸ェチル、酢酸プロピル、酢酸イソプロピル、酢酸プチル、酢酸イソブチ ル、酢酸ペンチル、酢酸へキシル、ジメチルスルホキシド、スルホラン、オクタン、シクロへ キサン、ベンゼン、トルエンが好まし メタノール、エタノール、 ΙΡΑ、 1一プロパノール、 へキサノール、プロピレングリコール、ジエチレングリコーノレ、エチレングリコール、グリセリ ン、ホノレムアミド、 Ν-メチルホルムアミド、 Ν,Ν-ジメチルホルムアミドがより好ましい。
本発明のエッチング液および剥離液を超音波洗浄用として用レヽる場合には、有機溶媒 としては、エステル類、ケトン類、 1価アルコール類、多価アルコール類、アミド類、エーテ ル類、アルキレングリコールモノアルキルエーテル、リン酸エステル、含窒素化合物からな る群より選ばれる少なくとも 1種が好ましレ、。
有機酸は、反射防止膜およびレジストの剥離性または除去性、ビアホールなどに埋め 込まれる下地埋め込み材の除去性の点から、ァクセプター数が 50〜200のものが好まし く、 80〜200のちの力 Sより好ましレヽ。
ァクセプター数 ΑΝとは、 Mayer-Gutmannが提案したァクセプター性の尺度、すなわち 溶媒のルイス酸としての尺度である。 n-へキサン中に溶力 た (C2F5) 3POの 31P -匪 R化学 シフト値を 0とし、 1, 2 -ジクロロェタン中の(C2F5) 3P0'SbCl5錯体の 31P- NMR化学シフト値 を 100としたとき、ある純溶媒中に溶力した (C2F5) 3P0の 31P-画 R化学シフト値を ANとする。 ΑΝ= 100 δ (溶媒)/ [ δ (1, 2-ジクロロェタン中の (C2F5) 3P0'SbCl5) - δ (η-へキサン中に 溶力 た (C2F5) 3P0) ]である。
本発明のエッチング液および剥離液において、有機酸の含有量は、他の成分の種類 に応じて適宜設定することができ特に限定されるものではないが、通常、エッチング液全 量に基づいて 10〜100mass%程度、好ましくは 70〜: 100mass° /。程度であり、有機溶媒 は 0〜90mass%程度、好ましくは 0〜30mass%程度である。
エッチング液および剥離液の水の含有量は、 0〜50mass%程度、好ましくは 0〜30 mass%程度である。エッチング液および剥離液中の水および Zまたは有機溶媒の含有 量が多すぎると、反射防止膜や埋め込み材の除去に時間がかかりすぎたり、除去が不十 分になる。 本発明のエッチング液および剥離液は、超音波洗净 (こ用レ、ることができる。
エッチング液おょぴ剥離液の反射防止膜に対するエッチングレートは、好ましくは 0. 1 A /分以上、より好ましくは 0. 5A/分以上である。
エッチング液の low— k膜に対するエッチング速度は、好ましくは 30 AZ分以下、より 好ましくは 1A/分以下である。
本発明のエッチング液は、 low- k膜上に形成された反射防止膜 (特に有機系の反射防 止膜)およびレジストを除去するのに有利に使用される。或いはビアホール形成のための デュアルダマシンプロセスにおいて、埋め込み材、特に有機系埋め込み材のエッチング 除去に有利に使用できる。
反射防止膜 (BARC)は、レジスト下地基板力 の反射効果を抑止することでレジスト線幅 コントロール性を向上させ、サブノヽーフミクロン以降の超微細加工に要求される高精度な CD制御の達成を目的とした材料であり、市販の有機系反射防止膜材料を使用すること が可能である力 これらに限定されない。
埋め込み材料としては、例えば反射防止膜と同一または類似の材料が使用可能である。 ビアホール等のドライエッチングにより形成される溝を形成する際に、エッチングガスの 重合物であるポリマー残渣 (エッチング残渣)力 ドライエッチングにより形成されたビアホ ール等に存在する場合には、反射防止膜/埋め込み材をエッチング除去する前或いは 後にこのエッチング残渣を剥離してもよい。
エッチング残渣を除去するためには、有機酸おょぴ または有機溶媒と HFを含むェ ツチング液を使用すればよい。
特に、有機酸と HFを含むエッチング液を使用した場合には、有機系反射防止膜とレ ジストを除去し、同時にエッチング残渣を除去することができる。
また、有機溶媒と HFを含むエッチング液を前処理液として使用してエッチング残渣を 除去し、次レ、で有機酸を含むエッチング液および剥離液を用レ、て有機系反射防止膜とレ ジストを除去することができる。
或いは、有機酸を含むエッチング液および剥離液を用いて有機系反射防止膜とレジ ストを除去した後、有機溶媒と HFを含むエッチング液を後処理液として使用してエツチン グ残渣を除去することができる。 本発明のエッチング液および剥離液は、有機系反射防止剤、レジストおよび有機系埋 め込み材を全て除去可能であるので、例えばデュアノレダマシンプロセスにおいて、これら を全て除去する工程で使用可能である。
また、デュアルダマシンプロセスにおけるエッチングプロセスの中でマスクずれによるァ
5 ライメントのミスが生じた際に、リソグラフィ一のやり直し (リワーク)が必要となり、ミスァライメ ントによって不要になったレジスト、反射防止膜おょぴ埋め込み材を除去する場合にも、 本発明のエッチング液およびエッチング方法を使用することができる。
エッチング残渣を除去するための本発明のエッチング液において、 HFの含有量は、 他の成分 (有機酸および Zまたは有機溶媒)の種類に応じて適宜設定することができ特
10 に限定されるものではないが、通常、エッチング液全量に基づいて (以下、各成分の含 有量については同様とする)、有機酸を含む場合 (有機酸を含み有機溶媒を含まない場 合、並びに、有機酸及び有機溶媒を含む場合)には 0. 001〜5maSS%程度、好ましくは 0. 05〜3mass%程度、さらに好ましくは 0. 1〜: Lmass%程度であり、有機酸を含まない場 合 (有機溶媒を含み、有機酸を含まない場合)には 0. 01〜: L0maSS%程度、好ましくは 0.
15 05〜5mass%程度、さらに好ましくは 0. l〜3mass。/。程度である。有機酸及び有機溶媒 力 なる群力 選ばれる少なくとも 1種の含有量は、有機酸を含まない場合には、 30〜9 9. 99mass%程度、好ましくは 50〜99. 95mass%程度、より好ましくは 90〜99. 9 mass%程度、さらに好ましくは 95〜99. 9mass%程度であり、有機酸を含む場合、有機 酸と有機溶媒の合計量は 30〜99. 999mass%程度、好ましくは 70〜99. 95mass%程
20 度、さらに好ましくは 95〜99. 9mass%程度である。
また、該エッチング液が水を含有する場合の水の含有量は、 70mass%以下程度、好 ましくは 50mass%以下程度、より好ましくは 5mass%以下程度である。
HFとしては、希フッ酸(50mass%水溶液)を通常用いる力 水を含まない場合には、 1 00 %HFを用いることもできる。
25 本発明の好まし!/、HFを含むエッチング残渣を除去するためのエッチング液並びにそ の配合比の一例を以下に示す。
•HF:有機酸:水 =0.001〜5mass%:49〜99.99mass%:0〜50mass%
•HF:有機溶媒:水 =0.01〜10mass%:49〜99.9mass%:0〜50mass% 本発明のより好ましい HFを含むエッチング残渣を除去するためのエッチング液並びに その配合比を以下に示す。
•HF:酢酸:水 =0.05〜5mass%:90〜99.95mass%:0〜5mass%
•HF: IPA:水 =0.1〜; 10mass%:80〜99.9mass%:0〜: L0mass%
.HF:へキサノーノレ:水=0.1〜101^33%:80〜99.91^33%:0〜101^33%
•HF:ラウリルアルコール:水 =0.1〜10mass0/0:80〜99.9mass%:0〜: L0mass%
•HF:プロピレングリコーノレ:水 =0.1〜10mass%:80〜99.9mass%:0〜10mass%
•HF:ジエチレングリコール:水 =0.1〜10mass%:80〜99.9mass%:0〜10mass%
•HF:エチレングリコール:水 =0.:!〜 10nrnss%:80〜99.9mass%:0〜; 10mass%
-HF:グリセリン:水 =0.1〜: 10mass%:80〜99.9mass%:0〜; 10mass%
•HF:シクロへキサノール:水 =0.1〜10mass%:80〜99,9mass%:0〜: I0mass%
•HF:ジブチルエーテル:水 =0.1〜10mass%:80〜99.9mass%:0〜; I0mass%
• HF:酢酸ブチル:水 =0.1〜 10mass%: 80〜99.9mass%: 0〜 10mass%
•HF:オクタン:水 =0·;!〜 10mass%:80〜99.9mass%:0〜: I0mass%
-HF:シクロへキサン:水=0.1〜10111&35%:80〜99.9]11&33%:0〜10111&33%
•HF:べンゼン:水=0.1〜101^35%:80〜99.9]11&33%:0〜101^33%
•HF:トルエン:水 =0.1〜10mass%:80〜99.9mass%:0〜; I0mass%。
本発明のエッチング液および剥離液が水及ぴ非極性有機溶媒を多く含み、 2相に分 離する場合には、そのまま使用してもよく、好ましくは分液して使用することが可能である。 本発明のさらに好ましい HFを含むエッチング残渣を除去するためのエッチング液並 ぴにその配合比を以下に示す。
•HF:酢酸:水 =0.1〜3mass%:94〜99.9mass%:0〜3mass%
•HF: IPA:水 =0.1〜5mass%:90〜99.9mass%:0〜5mass%
•HF:へキサノーノレ:水 =0.1〜5mass%:90〜99.9mass%:0〜5mass%
-HF:ラウリルアルコール:水 =0.1〜5mass%:90〜99.9mass%:0〜5mass%
•HF:プロピレングリコール:水 =0.;!〜 5mass%:90〜99.9mass%:0〜5mass%
•HF:ジエチレングリコーノレ:水 =0.1〜5mass%:90~99.9mass%:0〜5mass%
•HF:ェチレングリコーノレ:水=0.1〜51^53%:90〜99.9111&38%:0〜51^33%
•HF:グリセリン:水 =0.1〜5mass%:90〜99.9mass%:0〜5mass% •HF:シクロへキサノーノレ:水=0.1〜51^33%:90〜99.9]11&330/0:0〜5111&33%
•HF:ジブチルエーテル:水 =0.1〜5mass%:90〜99.9mass%:0〜5mass%
•HF:酢酸プチル:水 =0·:!〜 5mass°/。:90〜99.9mass%:0〜5mass%
•HF:オクタン:水 =0.1〜5mass%:90〜99.9mass%:0〜5mass%
.HF:シクロへキサン:水 =0.1〜5mass%:90〜99.9mass%:0〜5mass%
•HF:ベンゼン:水 =0.1〜5mass%:90〜99.9mass%:0〜5mass%
•HF: トノレェン:水 =0.1〜5mass%:90〜99.9mass%:0〜5mass%。
本発明のエッチング液は、ァニオン系、カチオン系及び非イオン系界面活性剤からな る群より選ばれる少なくとも 1種の界面活性剤を含有していてもよい。界面活性剤の含有 量は、本発明所期の効果が発揮される限り特に限定されるものではないが、通常、
0.0001〜10mass%程度であり、 0.001〜5mass%程度が好ましぐ特に 0.01〜lmass%程 度が好ましい。
本明細書において、有機系反射防止膜とは、例えば、 ARシリーズ (商品名、シプレイ · ファーイースト社製)、 SKWシリーズ (商品名、東京応化工業社製)などが挙げられる。 low-k膜とは、 02プラズマアツシングによりダメージを受けるようなものを示し、具体的に は、比誘電率が、 1より大きぐ 4以下程度、好ましくは 3以下程度、より好ましくは 2. 8以 下程度、さらに好ましくは 2. 6以下程度の絶縁膜を意味する。 low— k膜としては、例え ば、 Black Diamond (商品名、アプライドマテリアルズ社製)、コーラル(商品名、 Novellus 社製)、 LKDシリーズ (商品名、 JSR社製)、オーロラ(商品名、 ASM社製)、 HSGシリーズ (商品名、日立化成社製)、 Nanoglass (商品名、 Honewell社製)、 IPS (商品名、触媒化成 社製)、 Z3M (商品名、 Dow Corning社製)、 XLK (商品名、 Dow Corning社製)、 FOx (商 品名、 Dow Corning社製)、 Orion (商品名、 Trikon社製)などが挙げられる。
反射防止膜上に形成されるレジストとしては、 KrF (クリプトンエフ)、 ArF、 F2レジスト等が 挙げられるが、これに限定されるものではない。
本発明では、例えば、半導体基板 (例えば、 SiN、鲖、 TaN、 SiCなど)上に low- k膜を形 成、次いで反射防止膜およびレジストを順次形成、その後フォトリソグラフィ一によりパタ ーンを形成、当該パターンに従って low- k膜をエッチングした後、本発明のエッチング液 および剥離液に接触させることによりレジスト(レジストの変質物を含む)および反射防止 膜を除去することができる。次いで、 low- k膜をエッチング処理して形成した穴 (例えばビ ァホール)に埋め込み材を充填し、レジスト形成後さらにエッチングを行った場合、レジス トと同時に埋め込み材を除去することが可能である。
基板上に low- k膜を形成した後には、必要に応じて low- k膜上に SiN、 SiC、 SiCN、 TaN膜などを形成し、該 SiN、 SiC、 SiCN、 TaN膜などを low- k膜と共にエッチングするこ とあできる。 ·
low- k膜、レジストおょぴ反射防止膜は、通常、それぞれ 0. 01〜2 μ πι程度、 0. 001 〜0. 2 μ ιη程度おょぴ 0. 001-0. 1 μ m程度の厚みを有している。また、必要に じて 形成される SiN膜、 SiC膜、 TaN膜なども、通常、 0. 001-1 μ m程度程度の厚みを有し ている。
本発明の方法では、 low- k膜などの絶縁膜をエッチング後、本発明のエッチング液およ ぴ剥離液に接触させる前に、必要に応じて、実質的に low-k膜にダメージを与えない程 度に、軽い 02プラズマアツシング (例えば、軽い 02プラズマアツシング前後の比誘電率 の変化が、好ましくは 20%以下程度、より好ましくは 10%以下程度、さらに好ましくは 5% 以下程度にアツシング)もしくは軽い H2プラズマアツシングをしてもよい。前処理として軽 レヽ 02プラズマアツシングゃ軽レ、 H2プラズマアツシングを行う場合には、同じエッチング 液を用いた場合であっても、エッチング後直接レジストを剥離する場合とは温度、時間な どの最適条件が異なる場合力 sある。
本発明のエッチング液および剥離液を用いたレジストおよび反射防止膜、埋め込み材 のエッチング方法は、レジスト(レジスト変質物を含む)および反射防止膜、埋め込み材を 除去でき、且つ、絶縁膜が low- k膜である場合には、 low- k膜に実質的にダメージを与 えない程度の温度及び時間で行うものである。 low-k膜に実質的にダメージを与えないと は、エッチング液および剥離液を用いた処理前後の low- k膜の物性が、例えば半導体 基板に用レ、られたときにその性能に影響を与えない程度しか変化してレ、ないこと、例え ば、レジストと low - k膜の界面において実質的に low - k膜を侵す(エッチングする)ことな ぐ被処理物の膜の積層方向の断面形状を実質的に変化させないようなもの、或いは、 剥離液を用いた処理前後に low - k膜の比誘電率が実質的に変化しなレ、ことをいう。実質 的に low- k膜をエッチングしないとは、 low-k膜のエッチング量が、好ましくは 200nm以 下程度、より好ましくは lOOnm以下程度、さらに好ましくは 50nm以下程度であることを いう。エッチング液および剥離液を用いた処理前後の low- k膜の比誘電率が実質的に 変化しないとは、比誘電率の変化力 好ましくは 20%以下程度、より好ましくは 10%以下 程度、さらに好ましくは 5%以下程度であることをいう。
エッチング液おょぴ剥離液での処理は、例えば、ドライエッチング後の基板を被処理物 として本発明のエッチング液および剥離液に浸漬することにより行うことができる。エッチ ング液および剥離液への浸漬条件は、レジストおよび反射防止膜、或いは埋め込み材 が除去でき、 low-k膜に実質的にダメージを与えなければ特に限定されることはなぐェ ツチング液および剥離液の種類や温度に応じて適宜設定することができる。例えば、ェ ツチング液おょぴ剥離液の液温が 15〜60°C程度であれば、 0.1〜30分間程度、好ましく は 0.5〜20分間程度浸漬すればよい。
また、エッチング液おょぴ剥離液を被処理物に接触させればレジストおよび反射防止 膜、或いは埋め込み材の除去を行うことができるので、例えば、被処理物を回転させな 力 その上からエッチング液および剥離液を供給して洗浄してもよいし、被処理物にエツ チング液および剥離液をスプレーで吹付け続けて処理してもよい。
本発明のエッチング液おょぴ剥離液での処理は、レジストの種類やエッチングなどの条 件によりレジストおよび反射防止膜、或いは埋め込み材が除去しにくい場合、例えば被 処理物をエッチング液および剥離液に浸漬して超音波洗浄を行ってもよい。
超音波洗浄を行う際の条件は、レジストおよび反射防止膜、或いは埋め込み材が除去 できればよぐ特に限定されるものではないが、通常 23°C程度であれば、 20〜: 1200kHz, 50〜3000Wにて、 0.1~20分間程度である。
本発明エッチング液および剥離液を用いてレジストおよび反射防止膜、或いはこれらと 同時に或いは単独で埋め込み材の除去を行った半導体基板は、例えば、銅やアルミ配 線をするなど、慣用されている方法 (例えば、詳説半導体 CMP技術、土肥俊郎 編著 2 001年に記載された方法)に従って、様々な種類の半導体装置へと加工することができる。 本発明エッチング液おょぴ剥離液を用いてビアホールなどの処理を行った半導体基 板は、慣用されている方法(例えば、 Atlas of lC Technologies: An Introduction to VLSI Processes by W. aly, 1987 by The Benjamin/Cummings Publishing Company Inc. に 記載された方法)に従って、様々な種類の半導体装置へと加工することができる。
HF及び有機酸ないし有機溶媒を含む本発明のエッチング液および剥離液は、ドライ エッチングに由来するチタンィ匕合物 (例えば、フッ化チタン、酸ィ匕チタンなど)及びノ又 はポリマーの洗浄性を有している。従って、本発明エッチング液および剥離液は、例え ば、半導体製造プロセスにおいて、ドライエッチング処理後に、ドライエッチング溝 (例え ばビアホール、トレンチ)などの側壁及ぴ 又は底面に残存するフッ化チタン、ポリマー などを剥離して該溝をエッチングすることを目的として用レ、ることができる。例えば、本発 明のエッチング液および剥離液は、ビアホールなどを形成工程で発生したチタンィ匕合物 及び Z又はポリマーの剥離してビアホールなどを洗浄するのに用レ、ることができる。本発 明のエッチング液おょぴ剥離液によれば、ビアホールなどのドライエッチングにより形成 される溝を低温且つ短時間で洗浄することが可能である。
さらに、 HFおよび有機酸を含有する本発明エッチング液は、ドライエッチングに由来す るレジスト残渣、チタンィ匕合物、ポリマーなどを同時に除去することができる。従って、本 発明エッチング液および剥離液は、例えば、半導体製造プロセスにおいて、メタルキャパ シタ上部又は下部電極メタル膜 (TiN、 Tiなど)、ビアホールのドライエッチング処理 (及 ぴアツシング処理)後の、レジスト残渣、ポリマーないしチタンィ匕合物並びにドライエッチ ング残渣を同時除去することを目的として用レ、ることができる。
なお、チタンィ匕合物は、半導体の製造のエッチング工程において、ドライエッチング ガスとしてフッ素を含むガス (例えば、 C4F8など)を用レヽ、基板などにチタンを含むもの (例えば、 TiNなど)が用いられてレ、る場合に発生する。また、本発明において、「ポリマ 一」は、エッチングの際にエッチングガスが重合したものをいう。さらに、本発明において 「レジスト残渣」はエッチング工程後のアツシングにより発生するレジストのカスをいう。 本発明の洗浄液を用いた処理は、被処理物(例えばビアホール、メタルキャパシタ下 部又は上部電極力 なる群より選ばれる少なくとも 1種を形成した半導体基板であって、 ビアホールの側壁及ぴ 又は底面状にポリマー及び Z又はチタン化合物が付着してい る基板やメタルキャパシタ上部又は下部電極メタル膜 (TiN、 Tiなど)にレジスト残渣及ぴ ノ又はポリマー及ぴ 又はチタンィ匕合物が付着してレ、る基板)をエッチング液に浸漬す ることにより行うことができる。浸漬の条件は、エッチング液および剥離液の種類に応じて 適宜設定することができる力 例えば 15〜60°C程度、好ましくは室温程度で 0. 1〜20 分間程度処理することにより行うことができる。この場合、エッチング液および剥離液を被 処理物に接触させればよぐ例えば、被処理物を回転させながらその上から洗浄液を供 給して洗浄してもよいし、被処理物に洗浄液をスプレーで吹付け続けて処理してもよい。 本発明によれば、絶縁体膜、特に low- k膜を実質的に損なうことなぐレジストおよび反 射防止膜を同時に除去することができ、場合によってはこれらと同時に或いは単独で埋 め込み材を除去することができる。
発明を実施するための最良の形態
以下に実施例を示して本発明をより詳細に説明するが、 本発明は下記の実施例 に限定されるものではない。
実施例 1〜 2 2及び比較例 1〜 8
下記表 1に示す組成でエッチング液および剥離液を調製した。シリコン基板上に、有 機系反射防止膜を 500A形成した試験基板の反射防止膜に対するエッチングレートを 求めた。また、シリコン基板上に、 low- k膜として Black Diamond (商品名、アプライドマテ リアルズ社製)、コーラル (商品名、 Novellus社製)、オーロラ(商品名、 ASM社製)を形成 した試験基板に対するエッチングレートを求めた。
エッチングレートは、エッチング前後での膜厚の差をエッチング時間で割って計算した ものである。
膜厚は、ナノメトリタスジャパン株式会社製ナノスペック 3000AF—Tを用いて測定した。 エッチングは、エッチング液および剥離液 (液温 23°C)に試験基板を 10分間浸漬する ことにより行った。
表 1
Figure imgf000021_0001
実施例 1〜 22のエツチング液および剥離液の各 low-k膜に対するエツチング レートはいずれも 0. 1 AZm i n以下であった。 実施例 2 3及び比較例 9
デュアルダマシン形成方法のビアファースト方式により、 low- k膜の表面上に有機系 反射防止膜及びレジスト (表面のレジストがエッチング処理により変質したものを含む)、 ビアホール内に有機系埋め込み材さらに形成された溝にエッチング残渣を有する被処 理物を得た。該被処理物を、下記の洗浄液 (実施例 23および比較例 9)に 23°Cにて、所 定時間撹拌しながら浸漬した。浸漬後、レジスト、有機系反射防止膜、有機系埋め込み 材およびエッチング残渣の剥離性を、 日立製作所社、走查型電子顕微鏡 (S- 5000)の写 真撮影により観察した。 実施例 SS '.HFZHsO/IPA-l.Smass /l.S mass%/97mass% (30分間)
メタンスルホン酸(10分間)
比較例 9 : IPA(30分間)
メタンスルホン酸(10分間)
実施例 23の洗浄液で処理すると、 low- k膜の表面上の有機系反射防止膜及びレジス ト、ビアホール内に有機系埋め込み材さらに溝のエッチング残渣の全てを除去すること ができた。
一方、比較例 9の洗浄液で処理すると、 low- k膜の表面上の有機系反射防止膜及ぴ レジスト、ビアホール内に有機系埋め込み材は除去できた力 溝のエッチング残渣は除 去することができな力 た。

Claims

請求の範囲
1. 少なくとも 1種の有機酸を含む、絶縁膜上のレジスト、有機系反射防止膜、有機系 埋め込み材、およびドライエッチング残渣からなる群力 選ばれる少なくとも 1種を絶縁膜 上に有する被処理物から除去するためのエッチング液おょぴ剥離液。
2. 絶縁膜が low- k膜である請求項 1に記載のエッチング液および剥離液。
3. 有機溶媒および水からなる群力 選ばれる少なくとも 1種をさらに含む請求項 1また は 2に記載のエッチング液おょぴ剥離液。
4. low— k膜上に形成された有機系反射防止膜およびレジストを除去するための請求 項 2に記載のエッチング液および剥離液。
5. 有機系反射防止膜のエッチング速度が、 0. 1 AZ分以上であり、かつ、 low— k膜 のエッチング速度が 30 Aノ分以下である請求項 1〜4のいずれかに記載のエッチング 液および剥離液。
6. 有機酸がカルボン酸基およぴスルホン酸基力 なる群から選ばれる少なくとも 1種を 有する請求項 1〜5のいずれかに記載のエッチング液および剥離液。
7. 有機酸が、ギ酸、酪酸、モノクロ口酢酸、ジクロロ酢酸、トリクロ口酢酸、モノフルォロ 酢酸、ジフルォロ酢酸、トリフルォロ酢酸、モノプロモ酢酸、ジブロモ酢酸、トリプロモ酢酸 パーフルォロプロピオン酸、パーフノレオロブタン酸、パーフルォロペンタン酸、パーフル ォ口へキサン酸、パーフルォロヘプタン酸、パーフルォロオクタン酸、パーフルォロノナ ン酸、パーフルォロデカン酸、パーフルォロウンデカン酸、パーフルォロドデカン酸、 3, 3, 3—トリフルオロー 2—(トリフルォロメチル)プロピオン酸、 3H—テトラフルォロプロピオ ン酸、 5—ォクタフルォロペンタン酸、 7H—ドデカフルォロヘプタン酸、 9H—へキサデ力 フルォロノナン酸、メタンスルホン酸、ドデシルベンゼンスルホン酸からなる群より選ばれ る少なくとも 1種である請求項 1〜5のいずれかに記載のエッチング液および剥離液。
8. 有機酸のァクセプター数が 50〜200である請求項 1〜7のいずれかに記載のエツ チング液および剥離液。
9. 有機酸カ タンスルホン酸である請求項 1〜8のいずれかに記載のエッチング液お よび剥離液。
10. 有機溶媒が 1価アルコール類、ポリオール類、ケトン類、アミド類、二トリル類、アル デヒド類、アルキレングリコールモノアルキルエーテル、エーテル類、エステル類、炭化水 素類、ハロゲンィ匕合物類、フッ素アルコール、リン酸エステル類及ぴ含窒素化合物類か らなる群より選ばれる少なくとも 1種である請求項 3に記載のエッチング液おょぴ剥離液。
11.デュアルダマシンプロセスにおけるリワークの際に、レジスト、有機系反射防止膜お よび有機系埋め込み材を絶縁膜上に有する被処理物を請求項 1〜: L0のいずれかに記
5 載のエッチング液および剥離液で処理する工程を包含するレジスト、有機系反射防止膜 および有機系埋め込み材の除去方法。
12. 絶縁膜上に有機系反射防止膜およびレジストをこの順に有する被処理物を、請求 項 1〜10のいずれかに記載のエッチング液おょぴ剥離液で処理する工程を包含する反 射防止膜とレジストの除去方法。
10 13. 絶縁膜上に有機系反射防止膜およびレジストをこの順に有し、さらに有機系埋め 込み材を有する被処理物を、請求項 1〜 10のいずれカゝに記載のエッチング液おょぴ剥 離液で処理する工程を包含する有機系反射防止膜とレジスト、および有機系埋め込み 材の除去方法。
14. ドライエッチングにより形成された溝中に有機系埋め込み材を有する被処理物を、 15 請求項 1〜10のいずれかに記載のエッチング液および剥離液で処理する工程を包含す る有機系埋め込み材を除去する方法。
15. 被処理物の処理を、超音波洗浄により行うことを特徴とする請求項 11〜: 14のいず れかに記載の方法。
16. 請求項 11〜13のいずれかに記載の方法により得ることができるレジスト剥離処理 20 物。
17. 少なくとも 1種の有機酸とフッ化水素 (HF)、必要に応じてさらに少なくとも 1種の有 機溶媒を含む、ドライエッチングにより形成された溝の側壁のエッチング残渣、および、 絶縁膜上の有機系反射防止膜とレジスト、必要に応じてさらに有機系埋め込み材を除去 するためのエッチング液および剥離液。
25 18. ドライエッチングにより形成された溝の側壁にエッチング残渣を有し、且つ、絶縁 膜上に有機系反射防止膜とレジストを有する被処理物を少なくとも 1種の有機酸とフツイ匕 水素 (HF)を含むエッチング液および剥離液で処理する工程を包含するエッチング残渣、 有機系反射防止膜およびレジストの除去方法。
19. ドライエッチングにより形成された溝の側壁にエッチング残渣を有し、ビアホール内 に埋め込み材を有し、且つ、絶縁膜上に有機系反射防止膜とレジストを有する被処理物 を少なくとも 1種の有機酸とフッ化水素 (HF)を含むエッチング液および剥離液で処理す ることを特徴とするエッチング残渣、埋め込み材、有機系反射防止膜おょぴレジストの除
5 去方法。
20. ドライエッチングにより形成された溝の側壁にエッチング残渣を有し、かつ、絶縁膜 上に有機系反射防止膜とレジストを有する被処理物を、請求項 1〜10のいずれかに記 載のエッチング液および剥離液で処理して有機系反射防止膜とレジストを除去し、次レヽ でフッ化水素 (HF)と少なくとも 1種の有機溶媒および/または少なくとも 1種の有機酸を 10 含むエッチング液で処理してエッチング残渣を除去することを特徴とする有機系反射防 止膜とレジスト、およびエッチング残渣の段階的除去方法。
21. ドライエッチングにより形成された溝の側壁にエッチング残渣を有し、ビアホール内 に埋め込み材を有し、かつ、絶縁膜上に有機系反射防止膜とレジストを有する被処理物 を、請求項 1〜: 10のいずれかに記載のエッチング液および剥離液で処理して有機系反
15 射防止膜とレジスト並びに埋め込み材を除去し、次いでフッ化水素 (HF)と少なくとも 1種 の有機溶媒および/または少なくとも 1種の有機酸を含むエッチング液で処理してエツ チング残渣を除去することを特徴とする有機系反射防止膜とレジスト、埋め込み材および エッチング残渣の段階的除去方法。
22. ドライエッチングにより形成された溝の側壁にエッチング残渣を有し、かつ、絶縁膜 20 上に有機系反射防止膜とレジストを有する被処理物を、フッ化水素 (HF)と少なくとも 1種 の有機溶媒を含むエッチング液で処理してエッチング残渣を除去し、次レ、で請求項:!〜 10のいずれかに記載のエッチング液および剥離液で処理して有機系反射防止膜とレジ ストを除去することを特徴とするエッチング残渣、および有機系反射防止膜とレジストの 段階的除去方法。
25 23. ドライエッチングにより形成された溝の側壁にエッチング残渣を有し、ビアホール内 に埋め込み材を有し、かつ、絶縁膜上に有機系反射防止膜とレジストを有する被処理物 を、フッ化水素 (HF)と少なくとも 1種の有機溶媒を含むエッチング液で処理してエツチン グ残渣を除去し、次いで請求項 1〜: 10のいずれかに記載のエッチング液および剥離液 で処理して有機系反射防止膜とレジスト並びに埋め込み材を除去することを特徴とする エッチング残渣、および有機系反射防止膜とレジストと埋め込み材の段階的除去方法。
PCT/JP2004/006286 2003-05-01 2004-04-30 エッチング液、剥離液およびエッチング方法 WO2004097918A1 (ja)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
JP2003126107A JP2006059831A (ja) 2003-05-01 2003-05-01 エッチング液およびエッチング方法
JP2003-126107 2003-05-01

Publications (1)

Publication Number Publication Date
WO2004097918A1 true WO2004097918A1 (ja) 2004-11-11

Family

ID=33410284

Family Applications (1)

Application Number Title Priority Date Filing Date
PCT/JP2004/006286 WO2004097918A1 (ja) 2003-05-01 2004-04-30 エッチング液、剥離液およびエッチング方法

Country Status (3)

Country Link
JP (1) JP2006059831A (ja)
TW (1) TW200424289A (ja)
WO (1) WO2004097918A1 (ja)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2014082329A (ja) * 2012-10-16 2014-05-08 Hitachi Chemical Co Ltd 組成物
CN110034009A (zh) * 2017-12-28 2019-07-19 东京应化工业株式会社 返工方法以及酸性清洗液

Families Citing this family (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20090075034A1 (en) 2007-09-19 2009-03-19 Nobuhiro Nishita Patterning method and display device
CN102382657B (zh) * 2011-10-11 2013-08-07 绵阳艾萨斯电子材料有限公司 一种透明导电膜用蚀刻液及其制备方法
JP7029290B2 (ja) * 2017-12-28 2022-03-03 東京応化工業株式会社 有機系下層膜を除去する方法、及び酸性洗浄液
JP2018121077A (ja) * 2018-04-19 2018-08-02 東京エレクトロン株式会社 酸化チタン膜の除去方法および除去装置

Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2002510806A (ja) * 1998-03-30 2002-04-09 エフエスアイ インターナショナル インコーポレイテッド 有機物除去方法

Patent Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2002510806A (ja) * 1998-03-30 2002-04-09 エフエスアイ インターナショナル インコーポレイテッド 有機物除去方法

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2014082329A (ja) * 2012-10-16 2014-05-08 Hitachi Chemical Co Ltd 組成物
CN110034009A (zh) * 2017-12-28 2019-07-19 东京应化工业株式会社 返工方法以及酸性清洗液

Also Published As

Publication number Publication date
JP2006059831A (ja) 2006-03-02
TW200424289A (en) 2004-11-16

Similar Documents

Publication Publication Date Title
JP4434950B2 (ja) 剥離液
KR101082993B1 (ko) 레지스트용 박리제조성물 및 반도체장치의 제조방법
US6265309B1 (en) Cleaning agent for use in producing semiconductor devices and process for producing semiconductor devices using the same
KR100561178B1 (ko) 반도체 장치용의 유기 및 플라즈마 에칭된 잔사의 세척조성물
US20090131295A1 (en) Compositions for Removal of Metal Hard Mask Etching Residues from a Semiconductor Substrate
KR100748903B1 (ko) 세정제, 반도체 기판 세정 방법 및 반도체 기판에의 회로형성 방법
JP6146421B2 (ja) 半導体素子用洗浄液及びそれを用いた洗浄方法
JP4988165B2 (ja) フォトレジスト剥離液組成物及びフォトレジストの剥離方法
TWI434149B (zh) 洗淨用組成物、半導體元件之製法
JP2008129571A (ja) フォトレジスト、エッチ残留物及びbarcを除去するための配合物及び同配合物を含む方法
CN1776532A (zh) 从基片上除去残留物的组合物及其方法
KR20170085483A (ko) 텅스텐을 포함하는 재료의 데미지를 억제한 반도체 소자의 세정액, 및 이것을 이용한 반도체 소자의 세정방법
WO1998040453A1 (en) Alkanolamine semiconductor process residue removal composition and process
JPWO2005019499A1 (ja) 金属変質層の除去液及び金属変質層の除去方法
WO2004097918A1 (ja) エッチング液、剥離液およびエッチング方法
JP2004103771A (ja) デュアルダマシン構造形成プロセスに用いられる洗浄液および基板の処理方法
JP2006098421A (ja) シリコンを含有する反射防止膜および埋め込み材の除去液と除去方法
JP4487489B2 (ja) 埋込材およびこの埋込材を用いた半導体集積回路の製造方法
CN109642159B (zh) 非水性钨相容性金属氮化物选择性蚀刻剂和清洁剂
CN102135733B (zh) 光阻去除方法
WO2008090418A1 (en) Liquid cleaning composition and method for cleaning semiconductor devices
TWI840319B (zh) 與鎢相容且具金屬氮化物選擇性之非水性蝕刻劑及清潔劑
Oehrlein et al. Plasma Etching of Low Dielectric Constant Materials
JP2009031791A (ja) レジスト用剥離剤組成物及び半導体装置の製造方法
JP2005222998A (ja) 電子基板の製造方法

Legal Events

Date Code Title Description
AK Designated states

Kind code of ref document: A1

Designated state(s): AE AG AL AM AT AU AZ BA BB BG BR BW BY BZ CA CH CN CO CR CU CZ DE DK DM DZ EC EE EG ES FI GB GD GE GH GM HR HU ID IL IN IS JP KE KG KP KR KZ LC LK LR LS LT LU LV MA MD MG MK MN MW MX MZ NA NI NO NZ OM PG PH PL PT RO RU SC SD SE SG SK SL SY TJ TM TN TR TT TZ UA UG US UZ VC VN YU ZA ZM ZW

AL Designated countries for regional patents

Kind code of ref document: A1

Designated state(s): BW GH GM KE LS MW MZ NA SD SL SZ TZ UG ZM ZW AM AZ BY KG KZ MD RU TJ TM AT BE BG CH CY CZ DE DK EE ES FI FR GB GR HU IE IT LU MC NL PL PT RO SE SI SK TR BF BJ CF CG CI CM GA GN GQ GW ML MR NE SN TD TG

121 Ep: the epo has been informed by wipo that ep was designated in this application
122 Ep: pct application non-entry in european phase