TW201735201A - 半導體裝置之製造方法、基板處理裝置及程式 - Google Patents

半導體裝置之製造方法、基板處理裝置及程式 Download PDF

Info

Publication number
TW201735201A
TW201735201A TW105123223A TW105123223A TW201735201A TW 201735201 A TW201735201 A TW 201735201A TW 105123223 A TW105123223 A TW 105123223A TW 105123223 A TW105123223 A TW 105123223A TW 201735201 A TW201735201 A TW 201735201A
Authority
TW
Taiwan
Prior art keywords
film
copper
gas
diffusion
semiconductor device
Prior art date
Application number
TW105123223A
Other languages
English (en)
Other versions
TWI619185B (zh
Inventor
Hiroshi Ashihara
Naofumi Ohashi
Tsuyoshi Takeda
Toshiyuki Kikuchi
Original Assignee
Hitachi Int Electric Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Hitachi Int Electric Inc filed Critical Hitachi Int Electric Inc
Publication of TW201735201A publication Critical patent/TW201735201A/zh
Application granted granted Critical
Publication of TWI619185B publication Critical patent/TWI619185B/zh

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/04Coating on selected surface areas, e.g. using masks
    • C23C16/045Coating cavities or hollow spaces, e.g. interior of tubes; Infiltration of porous substrates
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material
    • H01L21/76879Filling of holes, grooves or trenches, e.g. vias, with conductive material by selective deposition of conductive material in the vias, e.g. selective C.V.D. on semiconductor material, plating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/7682Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing the dielectric comprising air gaps
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/06Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of metallic material
    • C23C16/08Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of metallic material from metal halides
    • C23C16/14Deposition of only one other metal element
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/24Deposition of silicon only
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23FNON-MECHANICAL REMOVAL OF METALLIC MATERIAL FROM SURFACE; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL; MULTI-STEP PROCESSES FOR SURFACE TREATMENT OF METALLIC MATERIAL INVOLVING AT LEAST ONE PROCESS PROVIDED FOR IN CLASS C23 AND AT LEAST ONE PROCESS COVERED BY SUBCLASS C21D OR C22F OR CLASS C25
    • C23F1/00Etching metallic material by chemical means
    • C23F1/10Etching compositions
    • C23F1/12Gaseous compositions
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23FNON-MECHANICAL REMOVAL OF METALLIC MATERIAL FROM SURFACE; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL; MULTI-STEP PROCESSES FOR SURFACE TREATMENT OF METALLIC MATERIAL INVOLVING AT LEAST ONE PROCESS PROVIDED FOR IN CLASS C23 AND AT LEAST ONE PROCESS COVERED BY SUBCLASS C21D OR C22F OR CLASS C25
    • C23F3/00Brightening metals by chemical means
    • C23F3/04Heavy metals
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32733Means for moving the material to be treated
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32798Further details of plasma apparatus not provided for in groups H01J37/3244 - H01J37/32788; special provisions for cleaning or maintenance of the apparatus
    • H01J37/32816Pressure
    • H01J37/32834Exhausting
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02299Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment
    • H01L21/02304Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment formation of intermediate layers, e.g. buffer layers, layers to improve adhesion, lattice match or diffusion barriers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67103Apparatus for thermal treatment mainly by conduction
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67248Temperature monitoring
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68742Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by a lifting arrangement, e.g. lift pins
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • H01L21/76832Multiple layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • H01L21/76834Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers formation of thin insulating films on the sidewalls or on top of conductors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric
    • H01L21/76846Layer combinations
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric
    • H01L21/76847Barrier, adhesion or liner layers formed in openings in a dielectric the layer being positioned within the main fill metal
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric
    • H01L21/76849Barrier, adhesion or liner layers formed in openings in a dielectric the layer being positioned on top of the main fill metal
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/7685Barrier, adhesion or liner layers the layer covering a conductive structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/7685Barrier, adhesion or liner layers the layer covering a conductive structure
    • H01L21/76852Barrier, adhesion or liner layers the layer covering a conductive structure the layer also covering the sidewalls of the conductive structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/5329Insulating materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/5329Insulating materials
    • H01L23/53295Stacked insulating layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/334Etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76898Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics formed through a semiconductor substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2221/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof covered by H01L21/00
    • H01L2221/10Applying interconnections to be used for carrying current between separate components within a device
    • H01L2221/1005Formation and after-treatment of dielectrics
    • H01L2221/1042Formation and after-treatment of dielectrics the dielectric comprising air gaps
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/5222Capacitive arrangements or effects of, or between wiring layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/53204Conductive materials
    • H01L23/53209Conductive materials based on metals, e.g. alloys, metal silicides
    • H01L23/53228Conductive materials based on metals, e.g. alloys, metal silicides the principal metal being copper
    • H01L23/53238Additional layers associated with copper layers, e.g. adhesion, barrier, cladding layers

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Chemical & Material Sciences (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • Plasma & Fusion (AREA)
  • Analytical Chemistry (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • General Chemical & Material Sciences (AREA)
  • Inorganic Chemistry (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Chemical Vapour Deposition (AREA)

Abstract

本發明之課題在於提供一種於形成有氣隙之半導體裝置中能夠實現良好之特性之技術。為解決上述課題,本發明提供一種包含以下步驟之技術:將具有第一配線層及第一防擴散膜之基板搬入至處理室之步驟,其中,該第一配線層具有第一層間絕緣膜、形成於上述第一層間絕緣膜上且用作配線之複數含銅膜、使上述含銅膜間絕緣之配線間絕緣膜、及設置於上述數個含銅膜之間之空隙;該第一防擴散膜形成於上述含銅膜上表面之一部分之面上,且以抑制上述含銅膜之成分擴散之方式而構成;及於上述含銅膜上之未形成上述第一防擴散膜之另一部分之面上,形成以抑制上述含銅膜之成分擴散之方式而構成之第二防擴散膜之步驟。

Description

半導體裝置之製造方法、基板處理裝置及程式
本發明係關於一種半導體裝置之製造方法、基板處理裝置及程式。
近年來,半導體裝置存在高積體化之傾向,且伴隨配線間微細化。故有如下問題:於配線間電容變大,引起信號之傳播速度降低等。因此,要求使配線間儘可能地低介電常數化。
作為實現低介電常數化之方法之一,研究於配線間設置空隙之氣隙構造。作為形成氣隙構造之空隙之方法,例如有對配線間進行蝕刻之方法。例如於專利文獻1中記載有氣隙之形成方法。
然後,有因加工精度之問題而於進行圖案化時引起錯位之情況。因此,存在電路特性變差之問題。
因此,本發明之目的在於提供一種於形成有氣隙之半導體裝置中能夠實現良好之特性之技術。
[專利文獻1]日本專利特開2006-334703
為解決上述課題,本發明提供一種包含以下步驟之技術,即,將具有第一配線層及第一防擴散膜之基板搬入至處理室之步驟,其中,該第一配線層具有第一層間絕緣膜、形成於上述第一層間絕緣膜上且用作配線之複數含銅膜、使上述含銅膜間絕緣之配線間絕緣膜、及設置於上述數個含銅膜之間之空隙;該第一防擴散膜形成於上述含銅膜上表面之一部分之面上,且以抑制上述含銅膜之成分擴散之方式而構成;及於上述含銅膜上之未形成上述第一防擴散膜之另一部分之面上,形成以抑制上述含銅膜之成分擴散之方式而構成之第二防擴散膜之步驟。
根據本發明之技術,可提供一種於形成有氣隙之半導體裝置中能夠實現良好之特性之技術。
100、100'‧‧‧基板處理裝置
200‧‧‧晶圓(基板)
201‧‧‧處理空間
202‧‧‧腔室
202a‧‧‧上部容器
202b‧‧‧下部容器
203‧‧‧搬送空間
204‧‧‧間隔板
205‧‧‧閘極閥
206‧‧‧基板搬入搬出口
207‧‧‧頂起銷
210‧‧‧基板支持部
211‧‧‧載置面
212‧‧‧基板載置台
213‧‧‧加熱器
214‧‧‧貫通孔
215‧‧‧孔
216‧‧‧支持板
217‧‧‧軸
218‧‧‧升降機構
219‧‧‧波紋管
220‧‧‧溫度控制部
230‧‧‧噴灑頭
231‧‧‧蓋
231a‧‧‧氣體導入孔
232‧‧‧緩衝空間
233‧‧‧支持塊
233a‧‧‧凸緣
234‧‧‧分散板
234a‧‧‧貫通孔
234b、246b、247b、250b、253b‧‧‧惰性氣體供給源
241‧‧‧第一分散機構
241a‧‧‧前端部
241b‧‧‧凸緣
242‧‧‧共通氣體供給管
243‧‧‧含有第一元素氣體供給系統
243a‧‧‧第一氣體供給管
243c、244c、245c、246c、247c、248c、249c、250c、251c、252c、253c‧‧‧質量流量控制器
243d、244d、245d、246d、247d、248d、249d、250d、251d、252d、253d、266、275‧‧‧閥
244‧‧‧第二氣體供給系統
244a、249a‧‧‧第二氣體供給管
245、251‧‧‧第三氣體供給系統
245a、251a‧‧‧第三氣體供給管
245b、251b‧‧‧第三氣體供給源(惰性氣體供給源)
246a‧‧‧第一惰性氣體供給管
247a‧‧‧第二惰性氣體供給管(第二氣體供給管)
248a‧‧‧蝕刻氣體供給管
248b、252b‧‧‧蝕刻氣體供給源
249‧‧‧第二元素氣體供給系統
249b‧‧‧第二氣體供給源
250a‧‧‧第二惰性氣體供給管
252‧‧‧第四氣體供給系統
252a‧‧‧蝕刻氣體供給管(第四氣體供給管)
252e‧‧‧遙距電漿單元
253a‧‧‧第四氣體供給管
261、262、263、264‧‧‧排氣管
265‧‧‧TMP渦輪分子泵
267‧‧‧DP(乾式真空泵)
276‧‧‧APC(壓力控制器)
280‧‧‧控制器
280a‧‧‧運算部
280b‧‧‧暫時記憶部
280c‧‧‧記憶部
280d‧‧‧收發部
281‧‧‧輸入輸出裝置
282‧‧‧外部記憶裝置
283‧‧‧接收部
2001‧‧‧絕緣膜
2001a‧‧‧表面
2002‧‧‧配線間絕緣膜
2002a‧‧‧側面
2003‧‧‧溝槽
2004‧‧‧障壁膜
2005、2005a、2005b‧‧‧含銅膜
2006‧‧‧配線層
2007‧‧‧第一防擴散膜
2007a‧‧‧被蝕刻部
2008‧‧‧光阻層
2009‧‧‧空隙
2010、2014‧‧‧第二防擴散膜
2011‧‧‧層間絕緣膜
2012‧‧‧膜
2013‧‧‧含矽膜
2015‧‧‧第二層間絕緣膜
L‧‧‧寬度
S101~S412‧‧‧步驟
圖1係說明一實施形態之半導體元件之製造流程之說明圖。
圖2係一實施形態之晶圓之說明圖。
圖3係對一實施形態之晶圓之處理狀態進行說明之說明圖。
圖4(A)及(B)係對一實施形態之晶圓之處理狀態進行說明之說明圖。
圖5係對一實施形態之晶圓之處理狀態進行說明之說明圖。
圖6係對一實施形態之晶圓之處理狀態進行說明之說明圖。
圖7係對一實施形態之基板處理裝置進行說明之說明圖。
圖8係對一實施形態之基板處理裝置進行說明之說明圖。
圖9係對形成一實施形態之第二防擴散膜之流程進行說明之說明圖。
圖10係對一實施形態之晶圓之處理狀態進行說明之說明圖。
圖11(A)及(B)係對一實施形態之晶圓之處理狀態進行說明之說明圖。
圖12係對一實施形態之基板處理裝置進行說明之說明圖。
圖13係對形成一實施形態之第二防擴散膜之流程進行說明之說明圖。
(第一實施形態)
以下,對本發明之第一實施形態進行說明。
使用圖1對半導體裝置之製造步驟之一步驟進行說明。
(配線層形成步驟S101)
對配線層形成步驟S101進行說明。關於配線層形成步驟S101,使用圖2進行說明。圖2係對形成於半導體晶圓200上之配線層2006進行說明之圖。配線層2006形成於絕緣膜2001上。於較絕緣膜2001更靠下方,存在未圖示之電極層,於電極層設置有閘極電極、陽極等構成。絕緣膜2001被用作與電極層絕緣之層間絕緣膜。
絕緣膜2001例如係多孔狀之含碳矽膜(SiOC膜)。於絕緣膜2001上,形成有配線間絕緣膜2002。配線間絕緣膜2002 例如係由SiOC膜形成。
於配線間絕緣膜2002上設有數個溝槽2003,於溝槽2003之表面形成有障壁膜2004。障壁膜2004例如係氮化鉭膜(TaN膜)。於障壁膜2004上,形成有之後用作配線之含銅膜2005。含銅膜2005例如係由銅構成。
於形成含銅膜2005之後,利用化學機械拋光(CMP,Chemical Mechanical Polishing)去除多餘之含銅膜2005而成為圖2之狀態,使形成於各溝槽2003內之含銅膜2005間絕緣。
於本實施形態中,將設置有層間絕緣膜2002、溝槽2003、障壁膜2004、及含銅膜2005之層稱為配線層2006。於本實施形態中,為方便說明,將下層之配線層稱為第一配線層,將設置於第一配線層上方之配線層稱為第二配線層。
(第一防擴散膜形成步驟S102)
繼而,使用圖3對第一防擴散膜形成步驟S102進行說明。此處,對圖2之形成有配線層2006之狀態之晶圓200形成第一防擴散膜2007。防擴散膜2007例如係SiON膜。防擴散膜2007具有絕緣性之性質及抑制擴散之性質。具體而言,藉由形成第一防擴散膜2007而可抑制含銅膜2005之成分向上層擴散。又,於配線間顯著較窄之情況下,有配線(含銅膜2005)經由形成於配線層2006上之上層而導通之虞,但可抑制此情形。
(圖案化步驟S103)
其次,對圖案化步驟S103進行說明。此處,對圖3中記載之 形成有防擴散膜2007之狀態之晶圓200進行處理。首先,於防擴散膜2007上,形成圖案化用之光阻層2008。其後進行曝光處理,如圖4(A)中所記載般,將光阻層2008形成為所需之圖案。
將光阻層2008形成為所需之圖案之後,進行蝕刻處理,如圖4(B)中所記載般,對配線間絕緣膜2002之一部分進行蝕刻,於含銅膜2005間形成空隙2009。例如,於含銅膜2005a與含銅膜2005b之間形成空隙2009。空隙2009於之後作為氣隙而構成。
於形成空隙2009之後,去除光阻2008。
此處,對氣隙進行說明。伴隨近年來之微細化、高密度化,配線間之距離逐漸變窄。如此,有配線間電容器電容增加而產生信號延遲之問題。該情況下,與習知同樣地,考慮於配線間填充低介電常數之絕緣物,但其存在物理極限。為避免此情況,於配線間設置被稱為氣隙之空隙,使介電常數降低。
(第二防擴散膜形成步驟S104)
此外,藉由近年來之微細化、高密度化,元件上之配線間之距離逐漸變窄,但曝光精度亦隨之而接近極限,而易受到錯位(misalignment)之影響。若發生錯位,則例如圖4(B)中所記載般,不僅含銅膜2005間,而且含銅膜2005上之防擴散膜2007之一部分亦會被蝕刻,從而使被蝕刻部2007a上露出。
於光阻2008去除後,於含銅膜2005a之一部分露出之狀態下,若於含銅膜2005上形成層間絕緣膜,則自含銅膜2005a之露出面朝上方之層間絕緣膜產生洩漏電流,而與其所相鄰之含銅膜2005導通。例如,含銅膜2005a與含銅膜2005b之間導通。或 金屬(銅)之成分擴散至上層之層間絕緣膜。此種問題會導致元件之特性降低。
因此,於本實施形態中,於去除光阻2008後,如圖5中所記載般,至少於被蝕刻部(含銅膜2005a之露出面)形成第二防擴散膜2010。關於防擴散膜2010之形成方法將於後述。
如此般形成第一防擴散膜與第二防擴散膜。若將該等防擴散膜之性質重新總結,則如下所示。即,第一防擴散膜形成於含銅膜上表面之一部分面上,且具有抑制上述含銅膜之成分經由一部分面而自含銅膜向形成於上述第一配線層之上方之第二配線層擴散之性質。第二防擴散膜具有抑制含銅膜之成分於含銅膜上之未形成上述第一防擴散膜之露出面上經由露出面而自含銅膜向第二配線層擴散之性質。
(第二層間絕緣膜形成步驟S105)
繼而,對於防擴散膜2010上形成層間絕緣膜2011之第二層間絕緣膜形成步驟S105進行說明。形成防擴散膜2010之後,如圖6中所記載般,於防擴散膜2010上形成層間絕緣膜2011。層間絕緣膜2011例如係含碳之氧化矽膜(SiOC膜)。於形成時,考慮以下等方法:例如將含矽氣體與含氧氣體供給至晶圓200上而使其氣相反應,其後摻雜碳。
其次,以下對於該步驟中確保空隙2009之狀態下形成層間絕緣膜2011之理由進行說明。如上所述,於配線間非常窄之情況下,隨層間絕緣膜2011之堆積之進展,於防擴散膜2007之上部附近,被堆積物遮擋而導致氣體難以繞進下方。因此,空隙2009 下方之堆積速度較防擴散膜2007上方之堆積速度小。藉由於此種狀態下繼續進行成膜處理而確保空隙2009。所確保之空隙2009被用作氣隙。
繼而,對於第二防擴散膜形成步驟S104中使用之基板處理裝置、防擴散膜形成方法進行說明。第二防擴散膜之形成方法係半導體製造方法之一部分,亦係基板處理方法之一部分。
(基板處理裝置)
首先,使用圖7對基板處理裝置100進行說明。於本實施形態中,基板處理裝置100形成防擴散膜2010。
構成基板處理裝置100之腔室202作為橫截面為圓形且扁平之密閉容器而構成。又,腔室202例如藉由鋁(Al)或不鏽鋼(SUS)等金屬材料構成。於腔室202內,形成有處理作為基板之矽晶圓等晶圓200之處理空間201、及將晶圓200搬送至處理空間201時供晶圓200通過之搬送空間203。腔室202係由上部容器202a與下部容器202b構成。於上部容器202a與下部容器202b之間設置有間隔板204。
於下部容器202b之側面,設置有與閘極閥205相鄰之基板搬入搬出口206,晶圓200經由基板搬入搬出口206於與未圖示之搬送室之間移動。於下部容器202b之底部,設置有數個頂起銷207。
於處理空間201內,設置有支持晶圓200之基板支持部210。基板支持部210主要具有載置晶圓200之載置面211、於表面具有載置面211之載置台212、及內包於基板載置台212之作 為加熱源之加熱器213。於基板載置台212上,在與頂起銷207對應之位置分別設置有供頂起銷207貫通之貫通孔214。於加熱器213上,連接有控制通電狀況之加熱器控制部220。
基板載置台212藉由軸217支持。軸217之支持部貫通設置於腔室202之底壁之孔215,進而經由支持板216而於腔室202之外部連接於升降機構218。使升降機構218作動而使軸217及支持台212升降,藉此能夠使載置於基板載置面211上之晶圓200升降。再者,軸217下端部之周圍藉由波紋管219覆蓋。腔室202內得以氣密地保持。
基板載置台212於晶圓200之搬送時,基板載置面211下降至與基板搬入搬出口206對向之位置(晶圓搬送位置、晶圓搬送場所),且於晶圓200之處理時,如圖7所示,晶圓200上升至成為處理空間201內之處理位置(晶圓處理位置、晶圓處理場所)。
具體而言,於使基板載置台212下降至晶圓搬送位置時,頂起銷207之上端部自基板載置面211之上表面突出,頂起銷207自下方支持晶圓200。又,於使基板載置台212上升至晶圓處理位置時,頂起銷207自基板載置面211之上表面埋沒,基板載置面211自下方支持晶圓200。再者,頂起銷207與晶圓200直接接觸,故例如較理想為以石英或氧化鋁等材質而形成。
於處理空間201之上部(上游側),設置有作為氣體分散機構之噴灑頭230。於噴灑頭230之蓋231上設置有供第一分散機構241插入之貫通孔231a。第一分散機構241具有插入至噴灑頭內之前端部241a、及固定於蓋231之凸緣241b。
前端部241a構成為柱狀,例如圓柱狀。於圓柱之側 面設置有分散孔。自下述腔室之氣體供給部(供給系統)供給之氣體經由前端部241a而供給至緩衝空間232。
噴灑頭230具備用以使氣體分散之作為第二分散機構之分散板234。該分散板234之上游側係緩衝空間232,下游側係處理空間201。於分散板234上,設置有數個貫通孔234a。分散板234以與基板載置面211對向之方式配置。
分散板234例如構成為圓盤狀。貫通孔234a遍及分散板234之整個面而設置。相鄰之貫通孔234a例如以等距離配置,配置於最外周之貫通孔234a配置於較載置於基板載置台212上之晶圓之外周更靠外側。
上部容器202a具有凸緣,且於凸緣上載置、固定有支持塊233。支持塊233具有凸緣233a,且於凸緣233a上載置、固定有分散板234。進而,蓋231固定於支持塊233之上表面。藉由設為此種構造而能夠自上方以蓋231、分散板234、支持塊233之順序拆卸。
(供給系統)
於設置於噴灑頭230之蓋231上之氣體導入孔231a,連接有第一分散機構241。於第一分散機構241,連接有共通氣體供給管242。於第一分散機構241設置有凸緣,且藉由螺釘等而固定於蓋231或共通氣體供給管242之凸緣。
第一分散機構241與共通氣體供給管242於管之內部連通,且自共通氣體供給管242供給之氣體經由第一分散機構241、氣體導入孔231a而供給至噴灑頭230內。
於共通氣體供給管242上,連接有第一氣體供給管243a、第二氣體供給管244a、第三氣體供給管245a。
自包含第一氣體供給管243a之第一氣體供給系統243主要供給含有第一元素之氣體,自包含第二氣體供給管244a之第二氣體供給系統244主要供給含有第二元素之氣體。
(第一氣體供給系統)
於第一氣體供給管243a上,自上游方向依序設置有第一氣體供給源243b、作為流量控制器(流量控制部)之質量流量控制器(MFC,Mass Flow Controller)243c、及作為開閉閥之閥243d。
自第一氣體供給管243a將含有第一元素之氣體(以下稱為「含有第一元素氣體」)經由質量流量控制器243c、閥243d、共通氣體供給管242而供給至噴灑頭230。
含有第一元素氣體例如係氫氣(H2),且係還原氣體、即處理氣體之一。此處,第一元素例如係氫(H)。即,含有第一元素氣體例如係含氫氣體。
於第一氣體供給管243a之較閥243d更靠下游側,連接有第一惰性氣體供給管246a之下游端。於第一惰性氣體供給管246a上,自上游方向依序設置有惰性氣體供給源246b、作為流量控制器(流量控制部)之質量流量控制器(MFC)246c、及作為開閉閥之閥246d。惰性氣體於還原步驟(S304)、選擇成長步驟(S306)中係作為載氣或稀釋氣體而發揮作用。
此處,惰性氣體例如係氮氣(N2)。再者,作為惰性氣體,除N2氣體之外,亦可使用例如氦氣(He)、氖氣(Ne)、氬氣(Ar) 等稀有氣體。
主要藉由第一氣體供給管243a、質量流量控制器243c、閥243d而構成含有第一元素氣體供給系統243。
又,主要藉由第一惰性氣體供給管246a、質量流量控制器246c及閥246d而構成第一惰性氣體供給系統。再者,亦可考慮使惰性氣體供給源234b、第一氣體供給管243a包含於第一惰性氣體供給系統中。
進而,亦可考慮使第一氣體供給源243b、第一惰性氣體供給系統包含於含有第一元素氣體供給系統243中。
(第二氣體供給系統)
於第二氣體供給管244a上,自上游方向依序設置有第二氣體供給源244b、作為流量控制器(流量控制部)之質量流量控制器(MFC)244c、及作為開閉閥之閥244d。
自第二氣體供給管244a將含有第二元素之氣體(以下稱為「含有第二元素氣體」)經由質量流量控制器244c、閥244d、共通氣體供給管242而供給至噴灑頭230內。
含有第二元素氣體係處理氣體之一。含有第二元素氣體具有易使膜於含銅膜2005上成長、且難以使膜於配線間絕緣膜2002上成長之關於成膜之選擇性。換言之,含有第二元素氣體係能夠於含銅膜2005上選擇成長之氣體。例如其係含有過渡金屬之氣體。過渡金屬具有易析出之性質,故易與含銅膜反應,且難以與絕緣膜反應。因此,能夠選擇成長。
此處,含有第二元素氣體中含有與第一元素不同之第 二元素。第二元素係過渡金屬,例如係鎢(W)。含有第二元素氣體例如使用六氟化鎢(WF6)氣體。
此處,對含有第二元素氣體在含銅膜2005與配線間絕緣膜2002之間具有選擇性之理由進行說明。鎢具有於活性部位較多之區域選擇性地成長之性質。本實施形態之活性部位較多之區域係含銅膜2005之露出部分。露出部分藉由最外殼反應而易完成電子之移動,從而其成為活性部位。另一方面,配線間絕緣膜2002或第一層間絕緣膜2001之表面難以進行電子之移動,故難以成為活性部位。即,構成溝槽2009之側面之配線絕緣膜2002之側面2002a、與構成溝槽2009之底面之層間絕緣膜2001之表面2001a難以成為活性部位。由於此種關係,故於含銅膜2005之露出面之表面成長鎢膜,但於配線間絕緣膜2002之表面不成長鎢膜。只要為具有此種特徵之含金屬氣體,則能夠不限於WF6氣體而使用。
主要藉由第二氣體供給管244a、質量流量控制器244c、閥244d而構成含第二元素氣體供給系統244(亦稱為含金屬氣體供給系統)。
又,於第二氣體供給管244a之較閥244d更靠下游側,連接有第二惰性氣體供給管247a之下游端。於第二惰性氣體供給管247a上,自上游方向依序設置有惰性氣體供給源247b、作為流量控制器(流量控制部)之質量流量控制器(MFC)247c、及作為開閉閥之閥247d。
自第二惰性氣體供給管247a將惰性氣體經由質量流量控制器247c、閥247d、第二氣體供給管247a而供給至噴灑頭230內。惰性氣體於第二防擴散膜形成步驟(S104)中作為載氣或稀釋氣 體而發揮作用。
主要藉由第二惰性氣體供給管247a、質量流量控制器247c及閥247d而構成第二惰性氣體供給系統。再者,亦可考慮使惰性氣體供給源247b包含於第二惰性氣體供給系統中。
進而,亦可考慮使第二氣體供給源247b、第二惰性氣體供給系統包含於含有第二元素氣體供給系統244中。
(第三氣體供給系統)
於第三氣體供給管245a上,自上游方向依序設置有第三氣體供給源245b、作為流量控制器(流量控制部)之質量流量控制器(MFC)245c、及作為開閉閥之閥245d。
自第三氣體供給管245a將作為沖洗氣體之惰性氣體經由質量流量控制器245c、閥245d、共通氣體供給管242而供給至噴灑頭230。
此處,惰性氣體例如係氮氣(N2)。再者,作為惰性氣體,除N2氣體之外,亦可使用例如氦氣(He)、氖氣(Ne)、氬氣(Ar)等稀有氣體。
於第三氣體供給管245a之較閥245d更靠下游側,連接有蝕刻氣體供給管248a之下游端。於蝕刻氣體供給管248a上,自上游方向依序設置有蝕刻氣體供給源248b、作為流量控制器(流量控制部)之質量流量控制器(MFC)248c、及作為開閉閥之閥248d。蝕刻氣體具有去除含鎢膜之性質,例如係含氟氣體,為NF3氣體。
主要藉由第三氣體供給管245a、質量流量控制器245c、閥245d而構成第三氣體供給系統245。
又,主要藉由蝕刻氣體供給管248a、質量流量控制器248c及閥248d而構成蝕刻氣體供給系統。再者,亦可考慮使蝕刻氣體供給源248b、第三氣體供給管245a包含於蝕刻氣體供給系統中。
進而,亦可考慮使第三氣體供給源245b、蝕刻氣體供給系統包含於第三氣體供給系統245中。
於選擇成長步驟306中,自第三氣體供給管245a將惰性氣體經由質量流量控制器245c、閥245d、共通氣體供給管242而供給至噴灑頭230內。又,於蝕刻步驟中,將蝕刻氣體經由質量流量控制器248c、閥248d、共通氣體供給管242而供給至噴灑頭230內。
於基板處理步驟中,自惰性氣體供給源245b供給之惰性氣體係作為對殘留於處理容器202或噴灑頭230內之氣體進行沖洗之沖洗氣體而發揮作用。又,於蝕刻步驟中,亦可作為蝕刻氣體之載氣或稀釋氣體而發揮作用。
自蝕刻氣體供給源248b供給之蝕刻氣體對在蝕刻步驟中形成於晶圓200上之島嶼狀之鎢膜進行蝕刻。
蝕刻氣體例如係三氟化氮(NF3)氣體,但亦可使用三氟化氯(ClF3)氣體等,且亦可將該等組合而使用。
(排氣系統)
對腔室202之環境進行排氣之排氣系統具有連接於腔室202之數個排氣管。具體而言,具有連接於處理空間201之排氣管262、及連接於搬送空間203之排氣管261。又,於各排氣管261、262 之下游側,連接有排氣管264。
排氣管261設置於搬送空間203之側面或底面。於排氣管261上,設置有渦輪分子泵265。於排氣管261上,於渦輪分子泵265之上游側設置有作為搬送空間用第一排氣閥之閥266。
排氣管262設置於處理空間201之側方。於排氣管262上,設置有將處理空間201內控制為既定之壓力之壓力控制器即APC(Auto Pressure Controller,自動壓力控制器)276。APC276具有能夠調整開度之閥體(未圖示),根據來自下述控制器280之指示而調整排氣管262之導流。又,於排氣管262上,於APC276之上游側設置有閥275。將排氣管262與閥275、APC276統稱為處理室排氣部。
於排氣管264上,設置有DP(Dry Pump,乾式真空泵)267。如圖所示,於排氣管264上,自其上游側連接有排氣管262、排氣管261,進而於其等之下游設置有DP267。DP267經由排氣管262、排氣管261之各者而對處理空間201及搬送空間203之各者之環境進行排氣。又,DP267於TMP(turbo molecular pump,渦輪分子泵)265動作時,作為其輔助泵而發揮功能。即,作為高真空(或超高真空)泵之TMP265難以單獨地進行排氣至大氣壓,故使用DP267作為進行排氣至大氣壓之輔助泵。對於上述排氣系統之各閥,例如使用空氣閥。
(控制器)
基板處理裝置100具有控制基板處理裝置100之各部之動作之控制器280。如圖8中所記載般,控制器280至少具有運算部(中央 處理單元(CPU,Central Processing Unit))280a、暫時記憶部280b、記憶部280c、收發部280d。控制器280經由收發部280而與基板處理裝置100之各構成連接,根據上位控制器或使用者之指示而自記憶部280c調出程式或配方,且根據其內容而控制各構成之動作。再者,控制器280亦可作為專用之電腦而構成,還可作為通用之電腦而構成。例如,準備儲存有上述程式之外部記憶裝置(例如,磁帶、軟碟或硬碟等磁碟;CD或DVD等光碟、可讀寫式光碟機(MO,Magnetic Optical)等光磁碟、及USB記憶體(USB Flash Drive,USB快閃記憶體)或記憶卡等半導體記憶體)282,使用外部記憶裝置282將程式安裝於通用之電腦,藉此可構成本實施形態之控制器280。又,用以對電腦供給程式之手段並不限於經由外部記憶裝置282而供給之情況。例如,亦可使用網際網路或專用線路等通信手段,且亦可自上位裝置280經由接收部283接收資訊,且不經由外部記憶裝置282而供給程式。又,亦可使用鍵盤或觸控面板等輸入輸出裝置281對控制器280進行指示。
再者,記憶部280c或外部記憶裝置282係作為電腦可讀取之記錄媒體而構成。以下,亦可將該等總稱而簡稱為記錄媒體。再者,於本說明書中使用記錄媒體之用語之情況下,有僅包含記憶部280c單獨體之情形、僅包含外部記憶裝置282單獨體之情形、或包含該兩者之情形。
(基板處理方法)
繼而,使用圖9對搬入至基板處理裝置之晶圓200之第二防擴散膜形成步驟S104之詳情進行說明。再者,晶圓200為自圖4(B) 之狀態去除光阻層2008後之狀態,且為含銅膜2005露出之狀態。
以下,對使用H2氣體作為第一處理氣體,使用WF6氣體作為第二處理氣體,且使用NF3氣體作為第三處理氣體,而形成防擴散膜2010之例進行說明。
(基板搬入、載置步驟S302)
於基板處理裝置100中藉由使基板載置台212下降至晶圓200之搬送位置(搬送場所)而使頂起銷207貫通於基板載置台212之貫通孔214。其結果,成為頂起銷207較基板載置台212表面突出既定之高度量之狀態。繼而,打開閘極閥205使搬送空間203與移載室(未圖示)連通。繼而,使用晶圓移載機(未圖示)將晶圓200自該移載室搬入至搬送空間203,且將晶圓200移載至頂起銷207上。藉此,晶圓200以水平姿勢支持於自基板載置台212之表面突出之頂起銷207上。
將晶圓200搬入至腔室202內之後,使晶圓移載機退避至腔室202之外,關閉閘極閥205而將腔室202內密閉。其後,藉由使基板載置台212上升而使晶圓200載置於設置於基板載置台212之基板載置面211上,進而藉由使基板載置台212上升而使晶圓200上升至上述處理空間201內之處理位置(基板處理場所)。
將晶圓200搬入至搬送空間203之後,若使其上升至處理空間201內之處理位置,則將閥266關閉。藉此,搬送空間203與TMP265之間被遮斷,由TMP265對搬送空間203之排氣結束。另一方面,打開閥275,使處理空間201與APC276之間連通。APC276藉由調整排氣管263之導流而控制DP267對處理空間201 之排氣流量,將處理空間201維持為既定之壓力(例如10-5~10-1Pa之高真空)。
又,將晶圓200載置於基板載置台212上時,對埋入至基板載置台212內部之加熱器213供給電力,以使晶圓200之表面成為既定溫度之方式進行控制。晶圓200之溫度例如係室溫以上且800℃以下,較佳為室溫以上且700℃以下。此時,加熱器213之溫度係藉由如下操作而調整:控制器280根據由溫度感測器檢測出之溫度資訊而提取控制值,且藉由溫度控制部220控制對加熱器213之通電狀況。
(還原步驟S304)
繼而執行還原步驟S304。於還原步驟S304中自第一氣體供給系統對處理空間201供給H2氣體。此處,將於搬送中途形成於晶圓200表面之自然氧化膜等去除。尤其將被蝕刻部2007a之含銅膜2005之露出面淨化(清潔)。藉由淨化而可去除含銅膜2005之露出面與防擴散膜2010之間之反應阻礙物,故可遍及含銅膜2005之露出面整面提高與防擴散膜2010之間之反應性。因此,可使露出面之防擴散膜2010均勻地形成。
於還原步驟S304中,將處理空間201之壓力設為100Pa~1000Pa,將晶圓200之溫度設為150℃~400℃,並且將含氫氣體之流量設為1000sccm~3000sccm。
(選擇成長步驟S306)
對搬入至基板處理裝置中之晶圓200之選擇成長步驟S306進 行說明。於選擇成長步驟S306中,對圖4(B)之狀態下之晶圓進行處理。
以下,對使用H2氣體作為第一處理氣體、且使用WF6氣體作為第二處理氣體而形成第二防擴散膜2010之例進行說明。
於還原步驟S404中經過既定之時間後,繼而一面供給含氫氣體,一面自第二氣體供給系統供給WF6氣體。所供給之WF6氣體熱分解,且被供給至晶圓200上。WF6氣體如上所述具有關於成膜之選擇性,故於側面2002a或表面2001a未形成鎢膜,而是於含銅膜2005之露出面上形成以鎢為主成分之第二防擴散膜2010。
藉由如此形成,如圖5中所記載般,可確保空隙2009之寬度L。因此,能夠實現低介電常數之氣隙。
於選擇成長步驟S306中,將處理空間201之壓力設為1Pa~10Pa,將晶圓200之溫度設為150℃~300℃,並且將含氫氣體之流量設為1000sccm~3000sccm,將WF6氣體之流量設為3sccm~100sccm。WF6氣體之比例例如設為含氫氣體與WF6氣體之混合氣體中之0.1%~3%之間。
經過既定時間之後,停止WF6氣體之供給。繼續進行含氫氣體之供給。如此,藉由先停止WF6之供給且繼續進行含氫氣體之供給,可避免WF6氣體之分壓增加,且可防止選擇性之降低。
更理想為使用過渡金屬中難以與銅反應之鎢(W)、鉭(Ta)、鉬(Mo)之任一者。例如於使用鈦(Ti)作為過渡金屬之情況下,與鎢等相比,更易與銅反應。因此,有Ti成分擴散至含銅膜2005中而使含銅膜2005之電阻值上升之虞。
另一方面,鎢(W)、鉭(Ta)、鉬(Mo)難以與銅反應,故各金屬成分不容易擴散至銅,因此電阻值不會上升。
(蝕刻步驟S308)
此外,於選擇成長步驟S306中,有處理條件等暫時變動而導致選擇性受到破壞之情況。該情況下,如圖5中所記載般,不僅於露出面上,而且於層間絕緣膜2001之表面2001a或配線間絕緣膜2002之側面2002a形成斑點之狀態之膜2012。於該狀態下執行作為下一步驟之第二層間絕緣膜形成步驟S105以後之步驟之情況下,引起氣隙之介電常數局部地變高等氣隙構造之特性降低。
因此,於本步驟中,為去除斑點之膜2012,如以下般進行膜2012之蝕刻。
於選擇成長步驟S306中經過既定之時間後,停止含氫氣體之供給,並且對環境進行排氣。於排氣後,打開閥248d,將蝕刻氣體供給至處理空間201。所供給之蝕刻氣體將膜2012去除。
於蝕刻步驟S308中,將處理空間201之壓力設為1Pa~10Pa,將晶圓200之溫度設為150℃~300℃,並且將蝕刻氣體之流量設為10~1000sccm。
(基板搬出步驟S310)
於改質步驟S308結束後,實施基板搬出步驟S310。於基板搬出步驟S310中,使基板載置台212下降,使晶圓200支持於自基板載置台212之表面突出之頂起銷207上。藉此,晶圓200由處理位置成為搬送位置。
其次,若晶圓200移動至搬送位置,則閥275關閉,將搬送空間203與排氣管264之間遮斷。另一方面,打開閥266,藉由TMP265(及DP267)對搬送空間203之環境進行排氣,藉此將腔室202維持為高真空(超高真空)狀態(例如10-5Pa以下),降低與同樣地維持為高真空(超高真空)狀態(例如10-6Pa以下)之移載室之壓力差。到達既定之壓力後,藉由未圖示之臂將晶圓200搬出。
(第二實施形態)
繼而,對第二實施形態進行說明。第二實施形態主要於以下方面與第一實施形態不同。第一不同點在於第二氣體不同。第二不同點在於形成第二防擴散膜之步驟不同。
以下,使用圖11至圖13,以與第一實施形態之不同點為中心而說明具體例。再者,關於與第一實施形態相同之內容,省略說明。
本實施步驟中,目的在於對去除圖4(B)中光阻層2008後之狀態之晶圓200,如圖11(B)般形成第二層間絕緣膜2015。
圖11係與圖5相當之圖。此處,由本實施形態之第二防擴散膜形成步驟S104對去除圖4中光阻層後之狀態之晶圓200進行處理而如圖11(A)般形成含矽膜2013,其後對含矽膜2013進行改質而如圖11(B)般形成第二防擴散膜2015。
又,圖12係對用以實現本實施形態之第二防擴散膜形成步驟之基板處理裝置100'進行說明之圖。圖13係與圖9相當之圖,且係對本實施形態之第二防擴散膜形成步驟S104進行說明之圖。
本實施形態中處理之晶圓200與圖4中記載之第一實施形態同樣地,於圖案化步驟中為含銅膜2005之表面之一部分露出之狀態。
首先,使用圖11,對第二實施形態之基板處理所產生之晶圓200之狀態進行說明。於本步驟中,形成圖11(A)之含矽膜2013,其後對含矽膜2013改質而作為圖11(B)之第二防擴散膜2014。
繼而,對形成第二防擴散膜2014之方法及實現其之基板處理裝置進行說明。
(基板處理裝置)
此處,使用圖12對基板處理裝置100'進行說明。基板處理裝置100'與第一實施形態之基板處理裝置100相比,第二氣體供給系統、第三氣體供給系統之構成不同,並且新添加第四氣體供給系統。以下,以與基板處理裝置100之不同點為中心而說明詳情。再者,關於與基板處理裝置100相同編號之構成,省略說明。
(第二氣體供給系統)
對第二實施形態之第二氣體供給系統249進行說明。於第二氣體供給管249a上,自上游方向依序設置有第二氣體供給源249b、作為流量控制器(流量控制部)之質量流量控制器(MFC)249c、及作為開閉閥之閥249d。
自第二氣體供給管249a將作為第二氣體之含有第二元素之氣體(以下,稱為「含有第二元素氣體」)經由質量流量控制 器249c、閥249d、共通氣體供給管242而供給至噴灑頭230內。
含有第二元素氣體為處理氣體之一。含有第二元素氣體具有易於含銅膜2005上成長膜、且難以於配線間絕緣膜2002上成長膜之關於成膜之選擇性。換言之,其係於含銅膜2005上能夠選擇成長之氣體。例如其係含有矽成分之氣體。
此處,含有第二元素氣體中含有與第一元素不同之第二元素。第二元素係含矽氣體,例如係二矽烷(Si2H6)。
主要藉由第二氣體供給管249a、質量流量控制器249c、閥249d而構成含有第二元素氣體供給系統249(亦稱為含矽氣體供給系統)。
又,於第二氣體供給管249a之較閥249d更靠下游側,連接有第二惰性氣體供給管250a之下游端。於第二惰性氣體供給管250a上,自上游方向依序設置有惰性氣體供給源250b、作為流量控制器(流量控制部)之質量流量控制器(MFC)250c、及作為開閉閥之閥250d。
自第二惰性氣體供給管250a將惰性氣體經由質量流量控制器250c、閥250d、第二氣體供給管249a而供給至噴灑頭230內。於第二防擴散膜形成步驟(S104)中,惰性氣體係作為載氣或稀釋氣體而發揮作用。
主要藉由第二惰性氣體供給管250a、質量流量控制器250c及閥250d而構成第二惰性氣體供給系統。再者,亦可考慮使惰性氣體供給源250b包含於第二惰性氣體供給系統中。
進而,亦可考慮使第二氣體供給源250b、第二惰性氣體供給系統包含於含有第二元素氣體供給系統249中。
(第三氣體供給系統)
於第三氣體供給管251a上,自上游方向依序設置有第三氣體供給源251b、作為流量控制器(流量控制部)之質量流量控制器(MFC)251c、及作為開閉閥之閥251d。
自第三氣體供給管251a將作為沖洗氣體之惰性氣體經由質量流量控制器250c、閥250d、共通氣體供給管242而供給至噴灑頭230。
此處,惰性氣體例如係氮氣(N2)。再者,作為惰性氣體,除N2氣體之外,亦可使用例如氦氣(He)、氖氣(Ne)、氬氣(Ar)等稀有氣體。
於第三氣體供給管251a之較閥251d更靠下游側,連接有蝕刻氣體供給管252a之下游端。於蝕刻氣體供給管252a上,自上游方向依序設置有蝕刻氣體供給源252b、作為流量控制器(流量控制部)之質量流量控制器(MFC)252c、及作為開閉閥之閥252d。蝕刻氣體具有去除含矽膜之性質,例如為含氯氣體,為HCl氣體。
主要藉由第三氣體供給管251a、質量流量控制器251c、閥251d而構成第三氣體供給系統251。
又,主要藉由蝕刻氣體供給管252a、質量流量控制器252c及閥252d而構成蝕刻氣體供給系統。再者,亦可考慮使蝕刻氣體供給源252b、第三氣體供給管251a包含於蝕刻氣體供給系統中。
進而,亦可考慮使第三氣體供給源251b、蝕刻氣體供給系統包含於第三氣體供給系統251中。
於選擇成長步驟406中,自第三氣體供給管251a將惰性氣體經由質量流量控制器251c、閥251d、共通氣體供給管242而供給至噴灑頭230內。又,於蝕刻步驟中,將蝕刻氣體經由質量流量控制器252c、閥252d、共通氣體供給管242而供給至噴灑頭230內。
於基板處理步驟中,自惰性氣體供給源251b供給之惰性氣體係作為對殘留於處理容器202或噴灑頭230內之氣體進行沖洗之沖洗氣體而發揮作用。又,於蝕刻步驟中,亦可作為蝕刻氣體之載氣或稀釋氣體而發揮作用。
自蝕刻氣體供給源252b供給之蝕刻氣體對於蝕刻步驟中形成於晶圓200上之斑點狀(或島嶼狀)之含矽膜進行蝕刻。
(第四氣體供給系統)
繼而對第四氣體供給系統252進行說明。於第四氣體供給管252a上,自上游方向依序設置有第四氣體供給源252b、作為流量控制器(流量控制部)之質量流量控制器(MFC)252c、作為開閉閥之閥252d、及遙距電漿單元252e。
自第四氣體供給管252a將改質步驟S410中使用之氮化氣體經由質量流量控制器252c、閥252d、遙距電漿單元252e、共通氣體供給管242而供給至噴灑頭230。
此處,氮化氣體例如係氨氣(NH3)。再者,作為氮化氣體,除NH3氣體之外,亦可使用例如氮氣(N2)等。
於第四氣體供給管252a之較閥252d更靠下游側,連接有惰性氣體供給管253a之下游端。於惰性氣體供給管253a上, 自上游方向依序設置有惰性氣體供給源253b、作為流量控制器(流量控制部)之質量流量控制器(MFC)253c、及作為開閉閥之閥253d。
主要藉由第四氣體供給管252a、質量流量控制器252c、閥252d、遙距電漿單元252e而構成第四氣體供給系統252。
又,主要藉由惰性氣體供給管253a、質量流量控制器253c及閥253d而構成惰性氣體供給系統。再者,亦可考慮使惰性氣體供給源253b、第四氣體供給管253a包含於惰性氣體供給系統中。
進而,亦可考慮使第四氣體供給源252b、惰性氣體供給系統供給系統包含於第四氣體供給系統252中。
其次,使用圖13對第二實施形態之第二防擴散膜形成步驟S104之詳情進行說明。再者,基板搬入、載置步驟S402與基板搬入、載置步驟S302相同,還原步驟S404與還原步驟S304相同,且基板搬出步驟S408與基板搬出步驟S310相同,故省略說明。
(選擇成長步驟S406)
對搬入至基板處理裝置中之晶圓200之選擇成長步驟S406進行說明。於選擇成長步驟S406中,對圖4(B)之狀態中之光阻層2008被去除後之狀態之晶圓進行處理。
以下,對使用H2氣體作為第一處理氣體、且使用Si2H6氣體作為第二處理氣體而形成含矽膜2013,進而對含矽膜2013改質而形成第二防擴散膜2014之例進行說明。
於還原步驟S404中經過既定之時間之後,繼而一面 供給含氫氣體,一面自第二氣體供給系統供給Si2H6氣體。所供給之Si2H6氣體被熱分解,且被供給至晶圓200上。Si2H6氣體於含銅膜2005之露出面上形成含矽膜2013。經過既定時間後,停止Si2H6氣體之供給。
於選擇成長步驟S406中,將處理空間201之壓力設為1Pa~10Pa,將晶圓200之溫度設為150℃~300℃,並且將含矽氣體之流量設為10~1000sccm。
(蝕刻步驟S408)
此外,於選擇成長步驟S406中,由於選擇性之問題,不僅於含銅膜2005之露出面,而且於層間絕緣膜2001之表面2001a或配線間絕緣膜2002之側面2002a亦形成斑點狀態之膜2014。於該狀態下執行作為下一步驟之第二層間絕緣膜形成步驟S105以後之步驟之情況下,引起氣隙之介電常數變高等氣隙構造之特性降低。
因此,於本步驟中,為去除膜2014而如以下般進行膜2014之蝕刻。
具體而言,於選擇成長步驟S406中經過既定之時間之後,停止Si2H6氣體之供給,並且對環境進行排氣。於排氣後,打開閥248d,且將蝕刻氣體供給至處理空間201。供給後之蝕刻氣體將膜2012去除。
於蝕刻步驟S408中,將處理空間201之壓力設為1Pa~10Pa,將晶圓200之溫度設為150℃~300℃,並且將蝕刻氣體之流量設為10~1000sccm。
(改質步驟S410)
繼而對改質步驟S410進行說明。此外,一般而言,已知例如於400℃左右之高溫狀態之情況下,矽成分易擴散至銅。對照本實施形態而言,有形成於由銅構成之含銅膜2005之露出部分之含矽膜2013中所含之矽成分擴散至含銅膜2005之虞。
矽成分擴散後之含銅膜之電阻值上升,故使作為配線之性能劣化。因此更佳為對含矽膜2013進行改質以便抑制矽成分之擴散。
其次,對改質步驟S410中之含矽膜2010之改質方法之具體例進行說明。將第一氣體供給系統243、第二氣體供給系統244、第三氣體供給系統245之閥設為關閉,停止供給自各氣體供給系統供給之氣體,結束選擇成長步驟S406及蝕刻步驟408之後,將閥252d打開而開始氨氣(NH3)之供給。此時,遙距電漿單元252e已啟動。
通過遙距電漿單元252e後之氨氣體成為電漿狀態,對晶圓200上供給電漿狀態之氨氣體。晶圓200中,含矽膜2013與氨電漿反應而使含矽膜氮化,形成第二防擴散膜2015。含矽膜2013之氮化處理會提高矽成分與氮成分之鍵合度,故可抑制矽成分之擴散。於既定之時間經過後,停止氨氣之供給。
此處,對使用含氮氣體之電漿進行氮化之理由進行說明。如上所述,已知於高溫狀態下矽成分易擴散至含銅膜。另一方面,已知於氮化之情況下需要較高之能量。因此,於暫時藉由高熱而填補反應能量之情況下,必須將晶圓200加熱至更高溫。若晶圓200為高溫,則如上所述有矽擴散且含銅膜2005之電阻值上升之問 題。含銅膜2005係於以後用作配線者,為使電流效率良好地流過,較理想為電阻值儘可能低。
因此,必須以抑制矽成分向含銅膜擴散之程度之溫度進行氮化處理。因此,為使晶圓200為高溫而不氮化,將含氮氣體設為電漿狀態且以電漿填補反應所必要之能量,藉此對含矽膜進行氮化處理。
此處,於改質步驟S410之處理條件下,於本步驟中,NH3氣體之供給量設為例如10~1000sccm、較佳為10~500sccm之範圍內之流量。對晶圓200供給NH3氣體之時間設為例如1~600秒、較佳為1~120秒之範圍內之時間。又,晶圓200之溫度設為例如200~400℃左右、較佳為300~380℃左右。
藉由如此形成,如圖11中所記載般,可於確保空隙2009之寬度L之狀態下形成第一防擴散膜與第二防擴散膜。因此,能夠防止銅成分之擴散,並且能夠實現低介電常數之氣隙。
再者,於本實施形態中,使用Si2H6氣體作為含矽氣體進行了說明,但並不限於此,例如亦可使用甲矽烷(SiH4)氣體、或其混合氣體。
(主要效果)
以下,記述以上實施形態帶有之主要效果。
(a)藉由形成第二防擴散膜,於蝕刻步驟中即便含銅膜露出,亦可抑制金屬成分朝上層之擴散。
(b)藉由形成第二防擴散膜,於蝕刻步驟中即便含銅膜露出,亦可抑制與相鄰之含銅膜之導通。

Claims (21)

  1. 一種半導體裝置之製造方法,其包含以下步驟:將基板搬入至處理室之步驟,該基板具有:第一配線層,其具有第一層間絕緣膜、形成於上述第一層間絕緣膜上且用作配線之複數含銅膜、使上述含銅膜間絕緣之配線間絕緣膜、及設置於上述數個含銅膜之間之空隙;及第一防擴散膜,其形成於上述含銅膜上表面之一部分之面上,且以抑制上述含銅膜之成分擴散之方式而構成;以及於上述含銅膜上之未形成上述第一防擴散膜之另一部分之面上,形成以抑制上述含銅膜之成分擴散之方式而構成之第二防擴散膜之步驟。
  2. 如請求項1之半導體裝置之製造方法,其中,於形成上述第二防擴散膜之步驟中,於上述含銅膜之另一部分之面上,選擇性地形成上述第二防擴散膜。
  3. 如請求項2之半導體裝置之製造方法,其中,於形成上述第二防擴散膜之步驟中,將具有不選擇上述配線間絕緣膜而選擇上述含銅膜之性質之含金屬氣體供給至上述基板,於上述另一部分之面上形成上述第二防擴散膜。
  4. 如請求項3之半導體裝置之製造方法,其中,上述含金屬氣體係含過渡金屬氣體。
  5. 如請求項3之半導體裝置之製造方法,其中,上述含金屬氣體中之金屬成分係鎢、鉭、鉬之任一者。
  6. 如請求項4之半導體裝置之製造方法,其中,於形成上述第二防擴散膜之步驟中,進而將含氫氣體供給至上述基板。
  7. 如請求項6之半導體裝置之製造方法,其中,於形成上述第二防擴散膜之步驟中,對上述基板供給上述含氫氣體,其後供給上述含金屬氣體。
  8. 如請求項7之半導體裝置之製造方法,其中,於形成上述第二防擴散膜之步驟中,於停止上述含金屬氣體之供給之後,停止上述含氫氣體之供給。
  9. 如請求項8之半導體裝置之製造方法,其中,上述第一防擴散膜係含矽膜,上述第二防擴散膜係含金屬膜。
  10. 如請求項2之半導體裝置之製造方法,其中,於形成上述第二防擴散膜之步驟中,將具有不選擇上述配線間絕緣膜而選擇上述含銅膜之性質之含金屬氣體供給至上述基板,於上述另一部分之面上形成上述第二防擴散膜。
  11. 如請求項3之半導體裝置之製造方法,其中,上述含金屬氣體係含過渡金屬氣體。
  12. 如請求項11之半導體裝置之製造方法,其中,於形成上述第二防擴散膜之步驟中,對上述基板供給上述含氫氣體,其後供給上述含金屬氣體。
  13. 如請求項12之半導體裝置之製造方法,其中,於形成上述第二防擴散膜之步驟中,於停止上述含金屬氣體之供給之後,停止上述含氫氣體之供給。
  14. 如請求項11之半導體裝置之製造方法,其中,於形成上述第二防擴散膜之步驟中,於停止上述含金屬氣體之供給之後,停止上述含氫氣體之供給。
  15. 如請求項1之半導體裝置之製造方法,其中,於形成上述第 二防擴散膜之步驟中,將具有不選擇上述配線間絕緣膜而選擇上述含銅膜之性質之含矽氣體供給至上述基板,於上述另一部分之面上形成含矽膜。
  16. 如請求項15之半導體裝置之製造方法,其中,於上述第二防擴散膜形成步驟中,於上述含矽膜形成後,進行上述含矽膜之改質,形成上述第二防擴散膜。
  17. 如請求項16之半導體裝置之製造方法,其中,於上述含矽膜之改質中,對上述基板供給氮化氣體。
  18. 如請求項17之半導體裝置之製造方法,其中,於進行上述改質時,以抑制上述含矽膜之成分擴散至上述含銅膜之溫度處理上述基板。
  19. 如請求項16之半導體裝置之製造方法,其中,於進行上述改質時,以抑制上述含矽膜之成分擴散至上述含銅膜之溫度處理上述基板。
  20. 一種基板處理裝置,其具有:載置部,其載置具有第一配線層及第一防擴散膜之基板;處理室,其係內包上述載置部;及供給部,其對上述處理室供給氣體,該氣體係於上述含銅膜上之未形成上述第一防擴散膜之另一部分之面上,形成以抑制上述含銅膜之成分擴散之方式而構成之第二防擴散膜;該第一配線層具有第一層間絕緣膜、形成於上述第一層間絕緣膜上且用作配線之複數含銅膜、使上述含銅膜間絕緣之配線間絕緣膜、及設置於上述數個含銅膜之間之空隙;該第一防擴散膜形成於上述含銅膜上表面之一部分面上,且以抑 制上述含銅膜之成分擴散之方式而構成。
  21. 一種程式,其藉由電腦使基板處理裝置執行以下程序:將具有第一配線層及第一防擴散膜之基板搬入至處理室之程序;及於上述含銅膜上之未形成上述第一防擴散膜之另一部分之面上,形成以抑制上述含銅膜之成分擴散之方式而構成之第二防擴散膜之程序;該第一配線層具有第一層間絕緣膜、形成於上述第一層間絕緣膜上且用作配線之複數含銅膜、使上述含銅膜間絕緣之配線間絕緣膜、及設置於上述數個含銅膜之間之空隙;該第一防擴散膜形成於上述含銅膜上表面之一部分之面上,且以抑制上述含銅膜之成分擴散之方式而構成。
TW105123223A 2016-03-30 2016-07-22 Semiconductor device manufacturing method, substrate processing device, and program TWI619185B (zh)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP2016068139A JP6329199B2 (ja) 2016-03-30 2016-03-30 半導体装置の製造方法、基板処理装置およびプログラム

Publications (2)

Publication Number Publication Date
TW201735201A true TW201735201A (zh) 2017-10-01
TWI619185B TWI619185B (zh) 2018-03-21

Family

ID=59152351

Family Applications (1)

Application Number Title Priority Date Filing Date
TW105123223A TWI619185B (zh) 2016-03-30 2016-07-22 Semiconductor device manufacturing method, substrate processing device, and program

Country Status (5)

Country Link
US (1) US9698050B1 (zh)
JP (1) JP6329199B2 (zh)
KR (1) KR20170112892A (zh)
CN (1) CN107293514A (zh)
TW (1) TWI619185B (zh)

Families Citing this family (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP6318188B2 (ja) * 2016-03-30 2018-04-25 株式会社日立国際電気 半導体装置の製造方法、基板処理装置およびプログラム
JP6602332B2 (ja) * 2017-03-28 2019-11-06 株式会社Kokusai Electric 半導体装置の製造方法、基板処理装置およびプログラム
WO2019138924A1 (ja) * 2018-01-11 2019-07-18 ソニーセミコンダクタソリューションズ株式会社 半導体装置およびその製造方法、並びに電子機器

Family Cites Families (34)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5691573A (en) * 1995-06-07 1997-11-25 Advanced Micro Devices, Inc. Composite insulation with a dielectric constant of less than 3 in a narrow space separating conductive lines
JP4198906B2 (ja) * 2001-11-15 2008-12-17 株式会社ルネサステクノロジ 半導体装置および半導体装置の製造方法
US6809026B2 (en) * 2001-12-21 2004-10-26 Applied Materials, Inc. Selective deposition of a barrier layer on a metal film
JP5117755B2 (ja) * 2002-05-08 2013-01-16 ルネサスエレクトロニクス株式会社 半導体装置
JP5180426B2 (ja) * 2005-03-11 2013-04-10 ルネサスエレクトロニクス株式会社 半導体装置の製造方法
JP4608370B2 (ja) 2005-06-01 2011-01-12 独立行政法人海洋研究開発機構 試料採取用マイクロミル
JP4956919B2 (ja) 2005-06-08 2012-06-20 株式会社日立製作所 半導体装置およびその製造方法
JP2006179950A (ja) * 2006-02-15 2006-07-06 Renesas Technology Corp 半導体集積回路装置の製造方法
US7800228B2 (en) * 2006-05-17 2010-09-21 International Business Machines Corporation Reliable via contact interconnect structure
JP2008294335A (ja) * 2007-05-28 2008-12-04 Panasonic Corp 半導体装置の製造方法
JP5334434B2 (ja) 2007-06-04 2013-11-06 パナソニック株式会社 半導体装置の製造方法
US8084352B2 (en) * 2007-06-04 2011-12-27 Panasonic Corporation Method of manufacturing semiconductor device
US7977791B2 (en) * 2007-07-09 2011-07-12 Taiwan Semiconductor Manufacturing Company, Ltd. Selective formation of boron-containing metal cap pre-layer
JP5171192B2 (ja) * 2007-09-28 2013-03-27 東京エレクトロン株式会社 金属膜成膜方法
US20090093100A1 (en) * 2007-10-09 2009-04-09 Li-Qun Xia Method for forming an air gap in multilevel interconnect structure
US7964442B2 (en) * 2007-10-09 2011-06-21 Applied Materials, Inc. Methods to obtain low k dielectric barrier with superior etch resistivity
US7879683B2 (en) * 2007-10-09 2011-02-01 Applied Materials, Inc. Methods and apparatus of creating airgap in dielectric layers for the reduction of RC delay
JP5097501B2 (ja) 2007-10-18 2012-12-12 株式会社日立製作所 半導体集積回路装置の製造方法
WO2009127914A1 (en) * 2008-04-17 2009-10-22 Freescale Semiconductor, Inc. Method of sealing an air gap in a layer of a semiconductor structure and semiconductor structure
US7928003B2 (en) * 2008-10-10 2011-04-19 Applied Materials, Inc. Air gap interconnects using carbon-based films
JP5396065B2 (ja) * 2008-10-28 2014-01-22 株式会社日立製作所 半導体装置の製造方法
JP2010258215A (ja) * 2009-04-24 2010-11-11 Renesas Electronics Corp 半導体装置及び半導体装置の製造方法
JP5238615B2 (ja) * 2009-06-04 2013-07-17 株式会社東芝 半導体装置の製造方法
US8076241B2 (en) * 2009-09-30 2011-12-13 Tokyo Electron Limited Methods for multi-step copper plating on a continuous ruthenium film in recessed features
KR101912947B1 (ko) * 2011-11-09 2018-10-30 에스케이하이닉스 주식회사 반도체 장치 및 그 제조 방법
CN107579038B (zh) * 2011-12-29 2021-02-26 英特尔公司 具有罩层的气隙互连以及形成的方法
US9034664B2 (en) * 2012-05-16 2015-05-19 International Business Machines Corporation Method to resolve hollow metal defects in interconnects
US20130323930A1 (en) * 2012-05-29 2013-12-05 Kaushik Chattopadhyay Selective Capping of Metal Interconnect Lines during Air Gap Formation
KR102003523B1 (ko) * 2012-08-17 2019-07-24 삼성전자주식회사 금속 플러그를 포함하는 반도체 장치 및 그 제조 방법
KR102154112B1 (ko) * 2013-08-01 2020-09-09 삼성전자주식회사 금속 배선들을 포함하는 반도체 장치 및 그 제조 방법
US9312168B2 (en) * 2013-12-16 2016-04-12 Applied Materials, Inc. Air gap structure integration using a processing system
US9263389B2 (en) * 2014-05-14 2016-02-16 International Business Machines Corporation Enhancing barrier in air gap technology
US9496224B2 (en) * 2014-05-15 2016-11-15 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device having air gap structures and method of fabricating thereof
US9496169B2 (en) * 2015-02-12 2016-11-15 Taiwan Semiconductor Manufacturing Company, Ltd. Method of forming an interconnect structure having an air gap and structure thereof

Also Published As

Publication number Publication date
JP6329199B2 (ja) 2018-05-23
US9698050B1 (en) 2017-07-04
KR20170112892A (ko) 2017-10-12
TWI619185B (zh) 2018-03-21
CN107293514A (zh) 2017-10-24
JP2017183489A (ja) 2017-10-05

Similar Documents

Publication Publication Date Title
KR102582496B1 (ko) 반도체 장치의 제조 방법, 기판 처리 장치 및 기록 매체
KR20220079671A (ko) 갭 충전 증착 공정
KR20140143184A (ko) 심리스 코발트 갭-필을 가능하게 하는 방법
KR102637397B1 (ko) 기판 처리 방법, 기판 처리 장치, 프로그램 및 반도체 장치의 제조 방법
TW201702417A (zh) 經由原子層沉積(ald)循環之選擇性沉積金屬矽化物的方法
JPWO2014148551A1 (ja) 半導体装置の製造方法、基板処理装置および記録媒体
TW201530647A (zh) 基板處理裝置及半導體裝置之製造方法
TW201816162A (zh) 釕(Ru)配線及該釕配線的製造方法
TWI619185B (zh) Semiconductor device manufacturing method, substrate processing device, and program
JP2009533846A (ja) 膜緻密化及び改善されたギャップ充填のための薄膜の多段階アニール
US20190304791A1 (en) Method of Manufacturing Semiconductor Device, Substrate Processing Apparatus and Non-transitory Computer-readable Recording Medium
CN113169176A (zh) 用于3d nand应用的存储单元制造
US20200251340A1 (en) Methods and apparatus for filling a feature disposed in a substrate
JPWO2019003662A1 (ja) 半導体装置の製造方法、基板処理装置およびプログラム
WO2004027857A1 (ja) 半導体基板の処理方法
KR101874308B1 (ko) 반도체 장치의 제조 방법, 기판 처리 장치 및 기록 매체
KR101992164B1 (ko) 반도체 장치의 제조 방법, 기판 처리 장치 및 기록 매체
JP2008192835A (ja) 成膜方法,基板処理装置,および半導体装置
JP7047117B2 (ja) 半導体装置の製造方法、基板処理装置及び記録媒体
TW202249058A (zh) 選擇性阻障金屬蝕刻