TW201700548A - 矽氧烷聚合物組成物及製造方法與用途、覆蓋基板的方法 - Google Patents

矽氧烷聚合物組成物及製造方法與用途、覆蓋基板的方法 Download PDF

Info

Publication number
TW201700548A
TW201700548A TW105108225A TW105108225A TW201700548A TW 201700548 A TW201700548 A TW 201700548A TW 105108225 A TW105108225 A TW 105108225A TW 105108225 A TW105108225 A TW 105108225A TW 201700548 A TW201700548 A TW 201700548A
Authority
TW
Taiwan
Prior art keywords
group
decane
bis
siloxane polymer
polymer composition
Prior art date
Application number
TW105108225A
Other languages
English (en)
Other versions
TWI692492B (zh
Inventor
亞里 卡坎南
米傑 漢紐-酷爾
艾默爾 哈吉克
賈古 萊沃
黑娜 加比塔勒
拉娜-利娜 庫巴加
格雷姆 戈登
馬蒂 裴森嫩
Original Assignee
歐提騰股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 歐提騰股份有限公司 filed Critical 歐提騰股份有限公司
Publication of TW201700548A publication Critical patent/TW201700548A/zh
Application granted granted Critical
Publication of TWI692492B publication Critical patent/TWI692492B/zh

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C08ORGANIC MACROMOLECULAR COMPOUNDS; THEIR PREPARATION OR CHEMICAL WORKING-UP; COMPOSITIONS BASED THEREON
    • C08GMACROMOLECULAR COMPOUNDS OBTAINED OTHERWISE THAN BY REACTIONS ONLY INVOLVING UNSATURATED CARBON-TO-CARBON BONDS
    • C08G77/00Macromolecular compounds obtained by reactions forming a linkage containing silicon with or without sulfur, nitrogen, oxygen or carbon in the main chain of the macromolecule
    • C08G77/04Polysiloxanes
    • C08G77/06Preparatory processes
    • CCHEMISTRY; METALLURGY
    • C08ORGANIC MACROMOLECULAR COMPOUNDS; THEIR PREPARATION OR CHEMICAL WORKING-UP; COMPOSITIONS BASED THEREON
    • C08GMACROMOLECULAR COMPOUNDS OBTAINED OTHERWISE THAN BY REACTIONS ONLY INVOLVING UNSATURATED CARBON-TO-CARBON BONDS
    • C08G77/00Macromolecular compounds obtained by reactions forming a linkage containing silicon with or without sulfur, nitrogen, oxygen or carbon in the main chain of the macromolecule
    • C08G77/04Polysiloxanes
    • C08G77/14Polysiloxanes containing silicon bound to oxygen-containing groups
    • CCHEMISTRY; METALLURGY
    • C08ORGANIC MACROMOLECULAR COMPOUNDS; THEIR PREPARATION OR CHEMICAL WORKING-UP; COMPOSITIONS BASED THEREON
    • C08GMACROMOLECULAR COMPOUNDS OBTAINED OTHERWISE THAN BY REACTIONS ONLY INVOLVING UNSATURATED CARBON-TO-CARBON BONDS
    • C08G77/00Macromolecular compounds obtained by reactions forming a linkage containing silicon with or without sulfur, nitrogen, oxygen or carbon in the main chain of the macromolecule
    • C08G77/04Polysiloxanes
    • C08G77/20Polysiloxanes containing silicon bound to unsaturated aliphatic groups
    • CCHEMISTRY; METALLURGY
    • C08ORGANIC MACROMOLECULAR COMPOUNDS; THEIR PREPARATION OR CHEMICAL WORKING-UP; COMPOSITIONS BASED THEREON
    • C08GMACROMOLECULAR COMPOUNDS OBTAINED OTHERWISE THAN BY REACTIONS ONLY INVOLVING UNSATURATED CARBON-TO-CARBON BONDS
    • C08G77/00Macromolecular compounds obtained by reactions forming a linkage containing silicon with or without sulfur, nitrogen, oxygen or carbon in the main chain of the macromolecule
    • C08G77/48Macromolecular compounds obtained by reactions forming a linkage containing silicon with or without sulfur, nitrogen, oxygen or carbon in the main chain of the macromolecule in which at least two but not all the silicon atoms are connected by linkages other than oxygen atoms
    • C08G77/50Macromolecular compounds obtained by reactions forming a linkage containing silicon with or without sulfur, nitrogen, oxygen or carbon in the main chain of the macromolecule in which at least two but not all the silicon atoms are connected by linkages other than oxygen atoms by carbon linkages
    • C08G77/52Macromolecular compounds obtained by reactions forming a linkage containing silicon with or without sulfur, nitrogen, oxygen or carbon in the main chain of the macromolecule in which at least two but not all the silicon atoms are connected by linkages other than oxygen atoms by carbon linkages containing aromatic rings
    • CCHEMISTRY; METALLURGY
    • C09DYES; PAINTS; POLISHES; NATURAL RESINS; ADHESIVES; COMPOSITIONS NOT OTHERWISE PROVIDED FOR; APPLICATIONS OF MATERIALS NOT OTHERWISE PROVIDED FOR
    • C09DCOATING COMPOSITIONS, e.g. PAINTS, VARNISHES OR LACQUERS; FILLING PASTES; CHEMICAL PAINT OR INK REMOVERS; INKS; CORRECTING FLUIDS; WOODSTAINS; PASTES OR SOLIDS FOR COLOURING OR PRINTING; USE OF MATERIALS THEREFOR
    • C09D183/00Coating compositions based on macromolecular compounds obtained by reactions forming in the main chain of the macromolecule a linkage containing silicon, with or without sulfur, nitrogen, oxygen, or carbon only; Coating compositions based on derivatives of such polymers
    • C09D183/14Coating compositions based on macromolecular compounds obtained by reactions forming in the main chain of the macromolecule a linkage containing silicon, with or without sulfur, nitrogen, oxygen, or carbon only; Coating compositions based on derivatives of such polymers in which at least two but not all the silicon atoms are connected by linkages other than oxygen atoms
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/038Macromolecular compounds which are rendered insoluble or differentially wettable
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/075Silicon-containing compounds
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/075Silicon-containing compounds
    • G03F7/0757Macromolecular compounds containing Si-O, Si-C or Si-N bonds
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/20Exposure; Apparatus therefor
    • CCHEMISTRY; METALLURGY
    • C08ORGANIC MACROMOLECULAR COMPOUNDS; THEIR PREPARATION OR CHEMICAL WORKING-UP; COMPOSITIONS BASED THEREON
    • C08GMACROMOLECULAR COMPOUNDS OBTAINED OTHERWISE THAN BY REACTIONS ONLY INVOLVING UNSATURATED CARBON-TO-CARBON BONDS
    • C08G77/00Macromolecular compounds obtained by reactions forming a linkage containing silicon with or without sulfur, nitrogen, oxygen or carbon in the main chain of the macromolecule
    • C08G77/48Macromolecular compounds obtained by reactions forming a linkage containing silicon with or without sulfur, nitrogen, oxygen or carbon in the main chain of the macromolecule in which at least two but not all the silicon atoms are connected by linkages other than oxygen atoms
    • C08G77/50Macromolecular compounds obtained by reactions forming a linkage containing silicon with or without sulfur, nitrogen, oxygen or carbon in the main chain of the macromolecule in which at least two but not all the silicon atoms are connected by linkages other than oxygen atoms by carbon linkages

Landscapes

  • Chemical & Material Sciences (AREA)
  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Organic Chemistry (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Spectroscopy & Molecular Physics (AREA)
  • Polymers & Plastics (AREA)
  • Health & Medical Sciences (AREA)
  • Medicinal Chemistry (AREA)
  • Materials Engineering (AREA)
  • Wood Science & Technology (AREA)
  • Engineering & Computer Science (AREA)
  • Life Sciences & Earth Sciences (AREA)
  • Silicon Polymers (AREA)
  • Photosensitive Polymer And Photoresist Processing (AREA)
  • Materials For Photolithography (AREA)

Abstract

本發明是有關於矽氧烷聚合物組成物。具體而言,本發明是有關於具有適用於微影製造製程的特性的矽氧烷聚合物組成物。本發明亦有關於所述組成物的合成、聚合以及交聯。

Description

新穎矽氧烷聚合物組成物及其用途
本發明是有關於矽氧烷聚合物組成物。具體而言,本發明是有關於具有適用於微影製造製程的特性的矽氧烷聚合物組成物。本發明亦有關於所述組成物的合成、聚合以及交聯。
顯示裝置及半導體裝置由施用在基板上的多個塗層及圖案化層或其他塗層構造,以在裝置中傳遞特定功能。塗層通常藉由濺鍍、化學氣相沈積、電子束及藉由其他物理氣相沈積技術沈積,或塗層是使用多種不同液相塗佈方法由液相沈積。該些層將通常藉由微影及濕式或乾式蝕刻或其他減除或添加製程進行圖案化步驟,以在基板上產生最終所需塗層、圖案及結構。
液相材料通常藉由微影製程或藉由其他添加圖案化技術而直接圖案化。液相沈積塗層通常熱固化或藉由組合的UV及熱處理而固化。雖然進行物理氣相沈積製程,但塗層(及基板)在塗佈製程期間經受高溫。此外,當製造多層堆疊時,基板及塗層在製造製程期間將經歷多個熱循環,且將暴露於各種化學蝕刻溶液。塗層必須具有足以抵抗侵蝕性蝕刻溶液的化學抗性、傳遞良好的熱穩定性及環境穩定性、不變黃特徵以及高光學品質。此外,塗層必須具有在黏著性方面的良好相容性且必須具有足夠硬度。製造商不斷推近裝置水準上的較高整體化且設計愈來愈先進的形狀因數,此對塗層提出了其他挑戰及加工侷限性。有待滿足的一個顯著要求為在低固化溫度下傳遞相同效能。
雖然存在適用於顯示技術及半導體技術的塗層,但仍需要進一步改良塗層及其製造方法。
下文給出簡化發明內容以提供對各種本發明實施例的一些態樣的基本理解。發明內容並非本發明的詳盡概述。其既不旨在鑑定本發明的關鍵或決定性要素亦未描繪本發明的範疇。以下發明內容僅以簡化形式提出本發明的一些概念作為對本發明的例示實施例的更詳細描述的序言。
根據本發明,提供一種用於製造矽氧烷聚合物的新方法,所述方法包括以下步驟: (a)混合第一溶劑、至少四種不同矽烷單體以及至少一種二矽烷以形成混合物, 其限制條件為所述矽烷單體或所述二矽烷中的至少一者包括能夠達成與相鄰矽氧烷聚合物鏈交聯的活性基團; (b)對所述混合物進行酸處理以使得所述矽烷單體至少部分水解,且經水解的矽烷單體、所述矽烷單體以及所述二矽烷至少部分聚合且至少部分交聯; (c)視情況將所述第一溶劑更換成第二溶劑;以及 (d)使所述混合物經受有助於達成所述矽氧烷聚合物的進一步交聯的條件。
根據另一態樣,本發明是有關於一種矽氧烷聚合物組成物,其可藉由以下步驟獲得: (a)混合第一溶劑、至少四種不同矽烷單體以及至少一種雙矽烷以形成混合物, 其限制條件為所述矽烷單體或所述二矽烷中的至少一者包括能夠達成與相鄰矽氧烷聚合物鏈交聯的活性基團; (b)對所述混合物進行酸處理以使得所述矽烷單體至少部分水解,且經水解的矽烷單體、所述矽烷單體以及所述二矽烷至少部分聚合且至少部分交聯; (c)視情況將所述第一溶劑更換成第二溶劑,以及 (d)對所述混合物進行所述矽氧烷聚合物的進一步交聯。
根據另一態樣,本發明是有關於根據本發明的矽氧烷聚合物組成物在用於製造顯示器或半導體裝置的製程中的用途。
根據另一態樣,本發明是有關於一種用於覆蓋基板的方法,所述方法包括 — 提供可藉由本發明方法獲得的矽氧烷聚合物組成物,及將所述矽氧烷聚合物組成物沈積於所述基板上。
根據又一態樣,本發明是有關於一種組成物,包括至少四種不同矽烷單體及至少一種二矽烷以及溶劑,其中所述矽烷單體或所述二矽烷中的至少一者包括能夠在熱起始或輻射起始後達成交聯的活性基團。
本發明的其他態樣揭示於附屬申請專利範圍中。
關於構築及操作方法的本發明的各種例示及非限制性實施例連同額外目標及其優勢將在結合附圖閱讀對以下特定例示實施例的描述時得以最佳理解。
動詞「包括」及「包含」在此文件中用作既不排除亦不需要未列舉特徵的存在的開放性限制。除非另外明確陳述,否則在附屬申請專利範圍中列舉的特徵可互相自由地組合。
根據一個實施例,本揭露內容的發明內容是有關於一種用於製造矽氧烷聚合物的方法,所述方法包括 (a)混合第一溶劑、至少四種不同矽烷單體以及至少一種雙矽烷以形成混合物, 其限制條件為所述矽烷單體或所述雙矽烷中的至少一者包括能夠例如在熱起始或輻射起始後達成與相鄰矽氧烷聚合物鏈交聯的活性基團; (b)對所述混合物進行酸處理以使得所述矽烷單體至少部分水解,且經水解的矽烷單體、所述矽烷單體以及所述雙矽烷至少部分聚合及交聯; (c)視情況將所述第一溶劑更換成第二溶劑;以及 (d)使所述混合物經受有助於所述矽氧烷聚合物進一步交聯的條件。
有助於所述矽氧烷聚合物進一步交聯的條件是例如藉由熱起始或輻射起始或其組合形成。
根據另一態樣,本發明是有關於一種矽氧烷聚合物組成物,其可藉由以下步驟獲得: (a)混合第一溶劑、至少四種不同矽烷單體以及至少一種二矽烷以形成混合物, 其限制條件為所述矽烷單體或所述二矽烷中的至少一者包括能夠例如在熱起始或輻射起始後達成與相鄰矽氧烷聚合物鏈交聯的活性基團; (b)對所述混合物進行酸處理以使得所述矽烷單體至少部分水解,且經水解的矽烷單體、所述矽烷單體以及所述雙矽烷至少部分聚合及交聯; (c)視情況將所述第一溶劑更換成第二溶劑;以及 (d)使所述混合物經受例如熱起始或輻射起始,以便達成所述矽氧烷聚合物的進一步交聯。
所述矽烷單體或所述二矽烷中的至少一者必須包括能夠在熱起始或輻射起始後達成與相鄰矽氧烷聚合物鏈交聯的活性基團。示例性活性基團為環氧基、乙烯基、烯丙基以及甲基丙烯酸酯基。
示例性熱起始為使混合物經受自由基起始劑。示例性自由基起始劑為過氧基苯甲酸第三戊酯、4,4-偶氮雙(4-氰基戊酸)、1,1'-偶氮雙(環己甲腈)、過氧化苯甲醯、2,2-雙(第三丁基過氧基)丁烷、1,1-雙(第三丁基過氧基)環己烷、2,2'-偶氮雙異丁腈(2,2'-azobisisobutyronitrile,AIBN)、2,5-雙(第三丁基過氧基)-2,5-二甲基己烷、2,5-雙(第三丁基過氧基)-2,5-二甲基-3-己炔、雙(1-(第三丁基過氧基)-1-甲基乙基)苯、1,1-雙(第三丁基過氧基)-3,3,5-三甲基環己烷、第三丁基氫過氧化物、過乙酸第三丁酯、第三丁基過氧化物、過氧基苯甲酸第三丁酯、碳酸第三丁基過氧異丙酯(tert-butylperoxy isopropyl carbonate)、氫過氧化異丙苯(cumene hydroperoxide)、過氧化環己酮(cyclohexanone peroxide)、過氧化二異丙苯(dicumyl peroxide)、過氧化月桂醯、2,4-戊二酮過氧化物、過乙酸以及過硫酸鉀。
在一個實施例中,自由基起始劑為AIBN。
通常,交聯溫度在約30℃至200℃的範圍內,交聯通常在溶劑回流條件下進行。
示例性輻射起始為使混合物經受UV光。自由基起始劑及光酸/鹼產生劑(非離子型與離子型及陽離子型與陰離子型)可用作UV起始劑。所述起始劑的實例包括因卡固(Ircacure)819、184、651、907、1173、2022、2100、羅地亞(Rhodorsil)2074以及可拉固(Cyracure)UVI-6976、豔佳固(Irgacure)PAG 103、121、203、250、290及CGI 725、1907以及GSID26-1、OXE-1、OXE-2、TPO、TPS及其類似物。
此外,敏化劑可與起始劑組合使用,以藉由提供有效能轉移至UV聚合起始劑而進一步加快聚合。所述敏化劑的實例包括UVS-1331、UVS-1101、UVS-1221、2,4-二乙基-9H-噻噸-9-酮及其類似物。
在一個實施例中,矽氧烷聚合物的合成分兩步驟進行。
在一個實施例的第一合成步驟(在下文中亦稱為水解步驟)中,單體在觸媒存在下水解於第一溶劑中。所述觸媒藉由酸或其混合物來形成。觸媒的實例為酸(例如硝酸或鹽酸或另一無機酸或有機酸)的水溶液。
在第二步驟(聚合步驟)中,所述材料的分子量藉由縮合聚合而增加。用於水解步驟的水的pH通常小於7、較佳小於6、具體而言小於5。
在水解期間,開始部分縮合且形成相對低分子量的聚合物。
根據一個較佳實施例,對所述混合物進行酸處理包括回流。典型回流時間為2小時。
單體縮合聚合以獲得最終矽氧烷聚合物組成物。一般而言,在三官能、二官能以及單官能分子的情形中,前驅體分子的其他官能基(視可水解基團數目而定)可為有機官能基,例如直鏈、烷基、烯烴、芳基、環狀、脂族基團。作為有機基團的實例,可使用甲基、乙基、丙基、丁基、辛基、癸基及其類似基團。烷基較佳包括1至10個碳原子。烷基可為直鏈或分支鏈的。有機基團中的氫原子可經氟原子或類似物置換。其他實例包括視情況經取代的苯基、萘基、羥基苯基、菲、甲基苯基、乙基苯基、氯苯基、溴苯基、氟苯基、二苯基、噻噸酮。
有機基團中的至少一者含有反應性官能基,例如胺基、環氧基、丙烯醯氧基、烯丙基、甲基丙烯基或乙烯基。此等反應性有機基團可在熱起始或輻射起始的固化步驟期間反應。熱敏感及輻射敏感起始劑可用於由材料組成物達成特定固化特性。當使用輻射敏感起始劑時,材料可充當微影製程中的負型感光材料。
根據一個實施例,本發明方法包括混合至少一種二矽烷及至少四種根據式I、式II、式III以及式IV的矽烷單體: R1 a SiX4-a I R2 b SiX4-b II, R3 c SiX4-c III,以及 R4 d SiX4-d IV 其中R1 、R2 、R3 以及R4 獨立地選自氫及包括直鏈及分支鏈烷基、環烷基、烯基、炔基、(烷基)丙烯酸酯基、環氧基、烯丙基、乙烯基及烷氧基以及具有1至6個環的芳基的基團,且其中所述基團經取代或未經取代;X為可水解基團或烴殘基;a、b、c以及d為1至3的整數。
在以上各式中的任一者中,可水解基團具體而言為烷氧基(參見式V)。
根據一個實施例,本發明提供使用三烷氧基矽烷或四烷氧基矽烷製造有機矽氧烷聚合物。矽烷的烷氧基可為相同或不同的且較佳選自具有下式的自由基的群組 –O-R5 V 其中R5 代表具有1至10個、較佳1至6個碳原子的直鏈或分支鏈烷基,且視情況顯示出一或兩個選自鹵素、羥基、乙烯基、環氧基以及烯丙基的群組的取代基。
特別合適的單體選自以下群組:三乙氧基矽烷、四乙氧基矽烷、甲基三乙氧基矽烷、甲基三甲氧基矽烷、乙基三乙氧基矽烷、正丁基三乙氧基矽烷、甲基二乙氧基乙烯基矽烷、二甲基二乙氧基矽烷、苯基三甲氧基矽烷、菲-9-三乙氧基矽烷、乙烯基三甲氧基矽烷、3-縮水甘油氧基丙基三甲氧基矽烷、胺基丙基三甲氧基矽烷、甲基丙烯醯氧基丙基三甲氧基矽烷、甲基丙烯醯氧基丙基三乙氧基矽烷、丙烯醯氧基丙基-三甲氧基矽烷、烯丙基三甲氧基矽烷、環氧基環己基乙基三甲氧基矽烷、二苯基矽烷二醇、1,2-雙(三甲氧基矽烷基)甲烷、1,2-雙(三甲氧基矽烷基)乙烷、甲基丙烯酸縮水甘油酯、二甲基二甲氧基矽烷、1-(2-(三甲氧基矽烷基)乙基)環己烷-3,4-環氧化物、1,2-雙(三乙氧基矽烷基)乙烷、1H, 1H, 2H, 2H-全氟癸基三甲氧基矽烷、三甲氧基(3,3,3-三氟丙基)矽烷及其組合。
本發明方法包括混合至少一種二矽烷與其他單體,例如以上種類(式I至式IV)的單體。根據一個實施例,二矽烷選自對應於式VI的分子: (R6 )3 Si-Y-Si(R7 )3 ,                    VI 其中 R6 及R7 獨立地選自氫及由以下組成的基團:直鏈或分支鏈烷基、環烷基、烯基、炔基、(烷基)丙烯酸酯基、環氧基、烯丙基、乙烯基、烷氧基以及具有1至6個環的芳基,且其中所述基團經取代或未經取代;以及 Y為選自二價未經取代或經取代的脂族及芳族基團的鍵聯基團,例如伸烷基、伸芳基、-O-伸烷基-O-;-O-伸芳基-O-;伸烷基-O-伸烷基、伸芳基-O-伸芳基;伸烷基-Z1 C(=O)Z2 -伸烷基、伸芳基-Z1 C(=O)Z2 -伸芳基以及-O-伸烷基-Z1 (=O)Z2 -伸烷基-O-;-O-伸芳基-Z1 (=O)Z2 -伸芳基-O-,其中Z1 及Z2 各自選自直接鍵或-O-。
在二價「伸烷基」及其他類似脂族基中,烷基殘基(或衍生自烷基部分的殘基)代表1至10個、較佳1至8個或1至6個或甚至1至4個碳原子,實例包括伸乙基及亞甲基及伸丙基。
「伸芳基」代表通常含有1至3個芳環及6至18個碳原子的芳族二價基團。所述基團由伸苯基(例如1,4-伸苯基及1,3-伸苯基)及伸聯苯基以及伸萘基或伸蒽基例示。
伸烷基及伸芳基可視情況經1至5個選自羥基、鹵代基(halo)、乙烯基、環氧基及烯丙基以及烷基、芳基及芳烷基的取代基取代。
較佳烷氧基含有1至4個碳原子。實例為甲氧基及乙氧基。
術語「苯基」包括經取代的苯基,例如苯基三烷氧基,具體而言苯基三甲氧基或三乙氧基,及全氟苯基。苯基以及其他芳族基或脂環基可直接與矽原子偶合或其可經由亞甲基或伸乙基橋鍵與矽原子偶合。
示例性二矽烷包括1,2-雙(三甲氧基矽烷基)甲烷、1,2-雙(三乙氧基矽烷基)甲烷、1,2-雙(三甲氧基矽烷基)乙烷、1,2-雙(三乙氧基矽烷基)乙烷、1-(二甲氧基甲基矽烷基)-1-(三甲氧基矽烷基)甲烷、1-(二乙氧基甲基矽烷基)-1-(三乙氧基矽烷基)甲烷、1-(二甲氧基甲基矽烷基)-2-(三甲氧基矽烷基)乙烷、1-(二乙氧基甲基矽烷基)-2-(三乙氧基矽烷基)乙烷、雙(二甲氧基甲基矽烷基)甲烷、雙(二乙氧基甲基矽烷基)甲烷、1,2-雙(二甲氧基甲基矽烷基)乙烷、1,2-雙(二乙氧基甲基矽烷基)乙烷、1,2-雙(三甲氧基矽烷基)苯、1,2-雙(三乙氧基矽烷基)苯、1,3-雙(三甲氧基矽烷基)苯、1,3-雙(三乙氧基矽烷基)苯、1,4-雙(三甲氧基矽烷基)苯、1,4-雙(三乙氧基矽烷基)苯。
其他實例包括:4,4′-雙(三乙氧基矽烷基)-1,1′-聯苯;1,4-雙(三乙氧基矽烷基)苯;1,3-雙(三乙氧基矽烷基)苯。
在本發明上下文中,術語「二矽烷」用於表示包括連接至相同原子的兩個有機殘基的化合物。在兩個相同(或甚至對稱)有機殘基的情形中,亦使用術語「雙矽烷」。
根據一個特別實施例,矽烷單體選自甲基三乙氧基矽烷、苯基三甲氧基矽烷、3-甲基丙烯醯氧基丙基三甲氧基矽烷、二苯基矽烷二醇以及縮水甘油氧基丙基三甲氧基矽烷,且雙矽烷選自1,2-雙(三乙氧基矽烷基)乙烷及1,2-雙(三甲氧基矽烷基)甲烷。
根據一個較佳實施例,當使用以上單體時,用於水解及縮合的單體中的至少一者選自具有式I或式II的單體,其中至少一個取代基為能夠在熱起始或輻射起始的固化步驟後達成與相鄰矽氧烷聚合物鏈交聯的活性基團。
為了製備聚合物,衍生自以上種類單體的單元的莫耳分數(或由單體總量計算的含有活性基團的單體的莫耳分數)為約0.1%至70%、較佳約0.5%至50%、具體而言約1%至40%。
在一些實施例中,活性基團將以按單體的莫耳分數計約1%至35%的濃度存在。
較佳地具有在1%-50%之間、較佳在3%-35%之間的二矽烷(或雙矽烷)莫耳量。
根據一個實施例,至少四種不同矽烷單體中的至少一者包括一或多個能夠在藉由光起始劑或熱起始劑化合物起始下達成矽氧烷聚合物的進一步交聯的反應性官能基。
用於製造矽氧烷聚合物的方法是在第一溶劑中進行。適合的溶劑為例如丙酮、四氫呋喃(tetrahydrofuran,THF)、甲苯、2-丙醇、甲醇、乙醇、丙二醇丙基醚、甲基第三丁基醚(methyl-tert-butylether,MTBE)、丙二醇單甲基醚乙酸酯(propylene glycol monomethylether acetate,PGMEA)、甲基乙基酮、甲基異丁基酮、丙二醇單甲基醚(propylene glycol monomethylether,PGME)以及丙二醇丙基醚(propylene glycol propyl ether,PnP)。
根據一個實施例,所述方法更包括將第一溶劑更換成第二溶劑。溶劑更換是在用酸處理之後進行。第一溶劑較佳選自丙酮、2-丙醇、1-丙醇、甲基乙基酮、甲基異丁基酮、1-甲氧基-2-丙醇或PGMEA,且第二溶劑較佳選自1-甲氧基-2-丙醇、甲基乙基酮、PGMEA或PnP或甲基異丁基酮或混合物。
溶劑更換為有利的,因為其輔助移除在矽烷單體水解期間所形成的水及醇。此外,其改良最終矽氧烷聚合物溶液在用作基板上的塗層時的特性。
根據本發明方法製備的矽氧烷聚合物為部分交聯的。在本發明上下文中,術語「部分交聯」意指聚合物能夠在有助於交聯的條件下進一步交聯。實際上,聚合物在第一聚合步驟之後仍含有至少一些反應性交聯基團。進一步交聯將如下所述。
部分交聯的矽氧烷聚合物的分子量範圍在1500至35,000、較佳約2,000至30,000、具體而言約4,000至25,000公克/莫耳的範圍內。聚合物分子量可經調整以使其適於與特定顯影劑一起用於微影圖案化製程中。
根據一個實施例,所述方法更包括將奈米粒子(或類似奈米或微米級棒、晶體、球體、點、芽等)混合於聚合物組成物。奈米粒子具體而言選自光散射顏料、有機及無機磷光體、氧化物、量子點或金屬的群組。上述摻雜劑可改良塗佈材料機械、化學或物理特性或為層提供添加的功能。
當應用於光微影時,為了改良材料解析度,矽氧烷聚合物在聚合期間、具體而言在縮合聚合期間或在縮合聚合之後即刻部分交聯。可使用各種方法達成交聯。舉例而言,可使用經由反應性基團接合兩個鏈而不影響任何旨在用於UV光微影的活性基團的交聯方法。舉例而言,例如使用一條鏈上的一個質子與另一條鏈上的雙鍵反應的氫化矽烷化(hydrosilylation)將達成所需種類的交聯。另一實例為經由雙鍵交聯。交聯及光微影較佳使用不同活性基團。因此,矽氧烷聚合物的交聯可使用自由基起始劑藉由具有雙鍵的活性基團(例如乙烯基或烯丙基或甲基丙烯酸酯基)達成。
環氧基可用於UV微影。且反之亦然。交聯所需的活性基團的比例一般小於UV微影所需的活性基團的比例,例如以單體計,約0.1至10莫耳%用於交聯,且以單體計,約5至35莫耳%用於UV微影。
添加至反應混合物/溶液的起始劑的量由矽氧烷聚合物的質量計算,一般為約0.1至10%、較佳約0.5至5%。作為部分交聯的結果,分子量將通常為2倍至10倍。因此,對於在約500至2500公克/莫耳範圍內的分子量而言,交聯將使其增加至4,000或大於4,000、較佳4,000或高於4,000(4,000-30,000公克/莫耳)。
在進一步縮合之前,較佳自材料移除過量水,且若需要,在此階段下可將溶劑更換成另一合成溶劑。此其他合成溶劑可充當矽氧烷聚合物的最終加工溶劑或最終加工溶劑中的一者。殘餘水及醇以及其他副產物可在進一步縮合步驟結束之後移除。可在調配步驟期間添加額外加工溶劑以形成最終加工溶劑組合。可在最終過濾矽氧烷聚合物之前添加例如熱起始劑、輻射敏感起始劑、敏化劑、界面活性劑以及其他添加劑等添加劑。在組成物調配之後,聚合物可直接用於在例如微影製程中進行加工。
藉由調節水解及縮合條件,可控制來自矽氧烷聚合物組成物的矽烷前驅體(例如烷氧基)的能夠去質子化的基團(例如OH-基團)的濃度/含量及任何殘餘離去基(leaving group)的濃度/含量,且亦可控制矽氧烷聚合物的最終分子量。此極大地影響矽氧烷聚合物材料在水性顯影劑溶液中的溶解。此外,聚合物的分子量亦極大地影響矽氧烷聚合物在顯影劑溶液中的溶解特性。
因此,舉例而言,已發現當最終矽氧烷聚合物保有高含量羥基及低含量烷氧基(例如乙氧基)時,最終矽氧烷聚合物可溶解於鹼性水顯影劑溶液(例如氫氧化四甲銨(tetra methyl ammonium hydroxide,TMAH)、或氫氧化鉀(KOH))中。
另一方面,若最終矽氧烷聚合物所保有的烷氧基含量高且其幾乎不含OH-基團,則最終矽氧烷聚合物在以上種類的鹼性水顯影劑中具有極低溶解度。導致溶解於鹼性顯影劑系統中的OH-基團或其他官能基(例如胺基(NH2 )、硫醇(SH)、羧基、苯酚或類似基團)可直接連接至矽氧烷聚合物主鏈的矽原子,或視情況連接至矽氧烷聚合物主鏈中所連接之有機官能基,以進一步促進及控制鹼性顯影劑溶解度。
在合成之後,可使用適當溶劑或溶劑組合稀釋矽氧烷聚合物組成物,以得到在膜沈積中將產生預先選擇的膜厚度的固體含量。
通常,在合成之後,將另一量的起始劑分子化合物添加至矽氧烷組成物。可視情況將與在聚合期間添加的起始劑類似的起始劑用於產生可在UV固化步驟中起始「活性」官能基之聚合的物質。因此,就環氧基而言,可使用陽離子或陰離子起始劑。在具有雙鍵的基團作為合成材料中的「活性」官能基的情形中,可使用自由基起始劑。熱起始劑(根據自由基、陽離子或陰離子機制起作用)亦可用於促進「活性」官能基的交聯。光起始劑與敏化劑的適當組合的選擇亦視所用曝光源(波長)而定。此外,所用敏化劑的選擇視所選起始劑類型而定。
由矽氧烷聚合物的質量計算,熱起始劑或輻射起始劑及敏化劑在組成物中的濃度一般為約0.1至10%、較佳約0.5至5%。
如上所述的組成物可包括所述組成物的1重量%與50重量%之間的量的固體奈米粒子。奈米粒子(或類似奈米或微米級棒、晶體、球體、點、芽等)具體而言選自光散射顏料、有機及無機磷光體、氧化物、量子點或金屬的群組。
根據另一實施例,本發明是有關於一種用於覆蓋基板的方法,所述方法包括 — 提供可藉由上述方法獲得的矽氧烷聚合物組成物,以及 — 將所述矽氧烷聚合物組成物沈積在所述基板上。
經沈積的矽氧烷聚合物組成物在基板(具體而言基板表面)上形成膜(具體而言薄膜)。通常,在沈積之後或在沈積步驟期間,蒸發溶劑且較佳藉由熱乾燥來乾燥膜。此步驟亦稱為預固化。
在隨後的第二步驟中,藉由升高溫度而將膜固化至最終硬度。在一個實施例中,預固化及最終固化步驟藉由使用不斷升高的加熱梯度進行加熱來組合。
根據一個特別實施例,所述方法更包括使經沈積的膜顯影。在一個實施例中,顯影包括使經沈積的矽氧烷聚合物組成物曝露於UV光(使用光遮罩或倍縮光罩(reticle)或雷射直接成像進行完整區域或選擇性曝光)。顯影步驟通常在任何預固化步驟之後且在最終固化步驟之前進行。
因此,在一個實施例中,所述方法包括 — 預固化或乾燥沈積於基板上的矽氧烷聚合物膜(或結構); — 視情況曝光由此獲得的膜(或結構); — 視情況使由此獲得的膜顯影;以及 — 固化所述膜或結構。
示例性含有環氧官能基的單體包括(3-縮水甘油氧基丙基)三甲氧基矽烷、1-(2-(三甲氧基矽烷基)乙基)環己烷-3,4-環氧化物、(3-縮水甘油氧基丙基)三乙氧基矽烷、(3-縮水甘油氧基丙基)三丙氧基矽烷、3-縮水甘油氧基丙基三(2-甲氧基乙氧基)矽烷、2,3-環氧基丙基三乙氧基矽烷、3,4-環氧基丁基三乙氧基矽烷、4,5-環氧基戊基三乙氧基矽烷、5,6-環氧基己基三乙氧基矽烷、5,6-環氧基己基三甲氧基矽烷、2-(3,4-環氧基環己基)乙基三乙氧基矽烷、2-(3,4-環氧基環己基)乙基三甲氧基矽烷、4-(三甲氧基矽烷基)丁烷-1,2-環氧化物。
根據一個特別實施例,所述方法更包括固化矽氧烷聚合物組成物。
基板上的矽氧烷聚合物組成物的厚度(即,膜厚度)可介於例如5奈米至30微米或大於30微米的範圍內。
薄膜可藉由使用狹縫塗佈、組合的狹縫塗佈+旋塗、旋塗、噴塗、噴墨印刷、簾塗、輥塗、卷對卷、印刷(此處僅舉幾種典型液相沈積方法為例)沈積於基板上。此外,矽氧烷聚合物組成物可藉由微影製程(或其他圖案化方法,例如凹版印刷、印刷、3D/4D印刷、雷射直接成像)直接圖案化來沈積。
根據本發明製造的膜在100 kHz的頻率下的介電常數通常為3.0-5.0或低於3.0。在633奈米波長下的折射率位於1.2至1.9之間。
根據一個實施例,在汞UV光源的I線或g線、h線、i線或寬頻波長下(或業界所用的類似物),膜在50-200毫焦耳/平方公分的UV劑量下顯示出70%或大於70%的交聯程度。
最終塗佈膜厚度必須根據各裝置及結構製造製程而經最佳化。
當例如使用PGME作為合成溶劑時,在上述合成步驟中的一者或兩者中,不必為了最終材料而更換溶劑,因為PGME亦經常在半導體行業中用作加工溶劑。此使得材料的合成程序更容易且費時更少。
藉助於本發明,提供適用於製造膜及結構的材料。層可沈積於各種基板表面上,例如玻璃、石英、矽、氮化矽、聚合物、金屬以及塑膠。此外,材料可沈積於多種不同表面上,例如不同氧化物、摻雜氧化物、半金屬及其類似物。
微影製程中的解析度改良至使其優於5微米、較佳優於3微米的程度(對於厚度小於約5微米或甚至4微米的薄膜而言)。亦改良縱橫比:可使用根據本發明的矽氧烷聚合物組成物製得縱橫比小於1:1或甚至較佳小於1:2的圖案。
可藉由傳統及有成本效益的加工由液相獲得層。所述加工方法包括旋塗、浸塗、噴塗、噴墨、卷對卷、凹版印刷、柔版印刷、簾塗、網版印刷塗佈法、擠壓塗佈以及狹縫塗佈,但並非僅限於此。
熱敏感及/或輻射敏感材料組成物的圖案化可經由直接微影圖案化、傳統微影遮蔽及蝕刻程序、壓印及壓花來進行,但並非僅限於此。
所述組成物可用於製造在相對低的加工溫度下(例如在最大300℃的溫度下或甚至在80℃的溫度下以及在該些限值之間的範圍內)固化的層。
然而,由所述組成物形成的層亦可在較高溫度(即,超過230℃且高至400℃的溫度)下固化。在所述情形中,由所述組成物製造的膜或結構可與後續高溫沈積步驟組合,例如濺鍍、燃燒、熱蒸發及/或化學氣相沉積(Chemical Vapor Deposition,CVD)製程。
視所用基板而定,材料一般在對流烘箱中固化(藉由紅外線(Infrared,IR)燈固化或在80℃與300℃之間強制空氣固化(forced air cure))。
亦具體而言,在材料層直接沈積於已附接至顯示基板(或其他可承受低固化溫度的基板)的基板上的情形中,加工溫度侷限於最大150℃或甚至低於120℃的溫度或甚至低於80℃的溫度。
在溫度下的典型固化時間可為例如30分鐘。材料層組成物應傳遞以下特性,例如足以抵抗侵蝕性蝕刻溶液的化學抗性、良好的熱穩定性及環境穩定性、不變黃特徵以及高光學品質、在黏著性方面的良好相容性、在低最終固化溫度(最終固化溫度可低於150℃或低於120℃或甚至低於80℃)下的足夠硬度。所述材料當然可在較高最終固化溫度(例如200℃、230℃或高於230℃)下使用,但其經特定設計以亦在低溫下傳遞效能。
由所述組成物沈積且如所闡明固化的層可充當基板或電子裝置上的平坦化層,在所述基板或電子裝置的頂部可具有空穴/通孔及/或突出結構。此基板可為顯示裝置(例如液晶顯示器或有機發光二極體(organic light-emitting diode,OLED)顯示器或感測器或彩色濾光片或蓋板基板)的一部分。
一般而言,所述材料組成物可充當顯示裝置(例如液晶顯示器(liquid-crystal display,LCD)或OLED顯示器)、太陽能電池、太陽能模組、LED、半導體裝置中或照明裝置、可撓性/印刷/可摺疊/可穿戴電子裝置的基板部分上的光學塗層及/或硬塗層。
亦可使用所述組成物製得基板上或電子組件中的絕緣層。此絕緣層亦可同時充當基板上或電子裝置中的平坦化層。此基板及/或電子裝置(例如薄膜電晶體或感測器或彩色濾光片或蓋板基板)可為顯示裝置(例如液晶顯示器或OLED顯示器)的一部分。
圖1表示一種示例性顯示裝置截面結構,其中由本發明的矽氧烷聚合物組成物製成的多個材料層用於傳遞特定功能。LCD/OLED基板(100)例如作為單獨的玻璃基板構成視情況在上面整合彩色濾光片陣列的實際顯示器(LCD或OLED)。
基板材料一般可為各種類型的玻璃(視情況化學回火或熱回火)、石英、塑膠、聚合物或金屬。基板通常具有沈積且結構化於其表面上的一種或兩種或更多種導電(或半導電)材料。導電(或半導電)層可例如由一或多個透明導電氧化物(或摻雜氧化物)層及/或一或多個金屬層製成。透明導電氧化物可為例如氧化銦錫(indium-tin-oxide,ITO)且通常為基板表面上的圖案化層。透明導電氧化物或摻雜氧化物層可藉由濺鍍、CVD或物理氣相沉積(Physical Vapor Deposition,PVD)製程來形成。金屬層可為濺鍍或PVD沈積金屬(例如鋁及鉬)。導電層亦可使用以下材料及方法形成,例如絲網(例如銅)、金屬網格(例如銅、鋁以及銀)、銀(或類似物)「奈米線」、碳奈米管/奈米芽、導電聚合物(例如PEDOT(poly(3,4-ethylenedioxythiophene),聚(3,4-二氧乙基噻吩))、石墨烯以及ITO或類似的導電墨水(例如分散於溶劑或其他基質中的奈米粒子)。
存在不同的方式構建顯示裝置的感測器。示例性途徑如下: — 在基板1(300)上構建感測器,在此情形中,感測器結構與此蓋板基板整合,且裝置結構中無需基板2(200)。[根據此實施例,假定彩色濾光片與LCD/OLED基板(100)整合]。 — 在基板2(200)上構建感測器,在此情形中,感測器層[即,材料塗層3(310)、材料塗層4(330)以及材料塗層5(350)及導電層2(320)及導電層3(340)]將沈積且圖案化在基板2(200)上,且基板1(300)將僅攜帶選用的導電層1(301)及選用的個別材料塗層1(302)及材料塗層2(303)(導電層1亦可在頂部或下面具有藉由物理氣相沈積或液相塗佈製程沈積的額外氧化物層或其他層)。 — 在彩色濾光玻璃的頂面[即,LCD/OLED基板(100)的頂部基板]上構建感測器層,在此情形中,裝置結構中將無需基板2(200),且基板1(300)將僅攜帶選用的導電層1(301)及選用的個別材料塗層1(302)及材料塗層2(303)。 — 將感測器層整合在LCD/OLED基板(100)內,即在彩色濾光玻璃的內表面上或在LCD/OLED陣列玻璃上,在此情形中,裝置結構中將無需基板2(200),且基板1(300)將僅攜帶選用的導電層1(301)及選用的個別材料塗層1(302)及材料塗層2(303)。
圖2說明一種示例性感測器結構的截面(各層未以厚度或相對於彼此的比例展示)。以下實例中的基板可為(300)、(200)或(100)或一或多者的組合,視經選擇以構築裝置的途徑而定。
根據一個示例性實施例,圖2的感測器用以下方式裝配在一起: 1.   在基板(300)上濺鍍導電層(320),例如氧化銦錫(ITO) 2.   使用微影及濕式蝕刻將導電層(320)圖案化 3.   在基板(或首先材料層4(330))上沈積且圖案化黑色基質(black matrix,BM)(或視情況選用的白色基質)材料(305) 4.   在基板上沈積且使用微影圖案化材料層4(330) 5.   在基板上沈積金屬層(Mo/Al/Mo,340) 6.   使用微影及濕式蝕刻將金屬層(340)圖案化 7.   在基板上沈積且使用微影圖案化材料層5(350)
圖3說明一種示例性感測器結構的截面,其中選用的材料層3(310)用於感測器結構中的基板(300)上(各層未以厚度或相對於彼此的比例展示)。以下實例中的基板可為(300)、(200)或(100)或一或多者的組合,視經選擇以構築裝置的途徑而定。
根據一個示例性實施例,圖3的感測器用以下方式裝配在一起: 1.   在基板(300)[或首先黑色基質層(305)]上沈積材料層3(310)且視情況使用微影圖案化 2.   在基板(300)上濺鍍導電層(320;ITO) 3.   使用微影及濕式蝕刻將導電層(320)圖案化 4.   在基板(300)上沈積且圖案化黑色基質(BM)(或視情況選用的白色基質)材料(305) 5.   在基板(300)上沈積材料層4(330)且使用微影圖案化 6.   在基板(300)上沈積金屬層(Mo/Al/Mo,340) 7.   使用微影及濕式蝕刻將金屬層(340)圖案化 8.   在基板(300)上沈積材料層5(350)且使用微影圖案化
圖4說明一種示例性感測器的截面,其中選用的材料層3(310)用於感測器結構中的基板(300)上。此外,所述圖片說明選用的個別層301、302以及303在基板300相對側上的使用(各層未以厚度或相對於彼此的比例展示)。以下實例中的基板可為(300)、(200)或(100)或一或多者的組合,視經選擇以構築裝置的途徑而定。
根據一個示例性實施例,圖4的感測器用以下方式裝配在一起: 1.   在基板(300)上沈積選用的導電層1(301)(導電層視情況圖案化且視情況沈積其他功能層並視情況圖案化) 2.   在基板(300)上沈積選用的材料層2(302)(且視情況微影圖案化) 3.   在基板(300)上沈積選用的材料層1(303)(且視情況微影圖案化) 4.   保護前面各層,隨後在基板(300)的相對側上沈積其餘各層(順序亦可自相反方向進行,意指首先進行以下步驟5-12,隨後進行以上步驟1-3) 5.   在基板(300)[或首先黑色基質層(305)]上沈積選用的材料層3(310)且視情況使用微影圖案化 6.   在基板(300)上濺鍍導電層(320;ITO) 7.   使用微影及濕式蝕刻將導電層(320)圖案化 8.   在基板(300)上沈積黑色基質(BM)材料(305)且圖案化 9.   在基板(300)上沈積材料層4(330)且使用微影圖案化 10. 在基板(300)上沈積金屬層(Mo/Al/Mo,340) 11. 使用微影及濕式蝕刻將金屬層(340)圖案化 12. 在基板(300)上沈積材料層5(350)且使用微影圖案化
材料層滿足若干要求。第一,材料層在多個表面上,例如在基板(例如玻璃或塑膠)上、在任何其他聚合物材料(例如黑色基質材料或白色基質材料)上以及在例如ITO及金屬(鉬/鋁/鉬)的導電層上具有良好黏著性(要求4B-5B;ASTM D3359-09,百格(Cross-Hatch)測試儀)。
第二,材料層具有抵抗濕式蝕刻化學試劑、顯影劑、溶劑以及剝離劑的良好化學抗性。
化學抗性通常在化學抗性測試之後再次藉由黏著性測試來驗證(要求4B-5B;之前及之後;ASTM D3359-09,百格測試儀)。在導電層或其他聚合物層的微影圖案化製程步驟期間使用濕式蝕刻劑。該些蝕刻劑及剝離劑包括KOH,氫氧化鉀(0.04%-7%);王水(HNO3 :HCl,通常1:3比率;3.0N-9.0N);NaOH(3%-6%);TMAH(0.2% 3%);金屬蝕刻劑[典型用於Mo/Al/Mo;H3 PO4 :HNO3 :CH3 COOH,例如55-70:5-9:4-8(v/v/v)];FeCl3 :HCl(分別20-25%:12-1614.5%);草酸(0.2%-2.5%);N-甲基-2-吡咯烷酮;或通常用於微影製程的其他濕式蝕刻劑、顯影劑、溶劑或剝離劑。
濕式蝕刻溶液是在各種溫度(20℃-80℃)下及在不同濃度下使用,視待蝕刻的實際層及已沈積於基板上的其他層而定。
第三,材料層具有良好硬度(較佳超過4H或甚至超過6H;ASTM D3363-00,易高(Elcometer)測試儀)。
在材料層直接沈積於已附接至顯示基板的基板上的情形,加工溫度侷限於最大150℃或甚至低於120℃的溫度。在溫度下的典型固化時間可為例如30分鐘。材料層組成物必須在低最終固化溫度下傳遞全部上述特性。材料當然可在較高最終固化溫度(例如200℃、230℃或高於230℃)下使用,但其經特定設計以亦在低溫下傳遞效能。 實例 塗佈材料的合成 實例1
將甲基三乙氧基矽烷(203.4公克)、苯基三甲氧基矽烷(19.4公克)、3-甲基丙烯醯氧基丙基三甲氧基矽烷(13.5公克)、縮水甘油氧基丙基三甲氧基矽烷(138.9公克)、1,2-雙(三乙氧基矽烷基)乙烷(77.1公克)以及丙酮(405公克)置於圓底燒瓶中。添加0.1莫耳濃度HNO3 水溶液(115.8公克),且所得混合物在95℃下回流2小時。將溶劑自丙酮更換成PGME。添加AIBN(3.6公克),且混合物在105℃下回流75分鐘。添加PGME(215公克)且真空移除全部揮發物。藉由添加PGME將固體含量調節至25%。添加PAG290(2.6公克)、BYK3700(2.6公克)以及UVS 1331(0.64公克)。最後,使用頗爾(PALL)過濾器(0.1微米)過濾混合物。所述溶液可直接用於加工。 實例2
將苯基三甲氧基矽烷(8.08公克)、甲基三乙氧基矽烷(77公克)、甲基丙烯醯氧基丙基三甲氧基矽烷(5.61公克)、3-縮水甘油氧基丙基三甲氧基矽烷(57.83公克)以及1,2-雙(三乙氧基矽烷基)乙烷(48.2公克)秤重至圓底燒瓶中。將2,4-二乙基-9H-噻噸-9-酮(1.96公克)秤重至圓底燒瓶中。將196公克丙酮添加至圓底燒瓶中。將53.51公克水(0.1莫耳濃度HNO3 )添加至反應燒瓶且反應混合物在95℃下回流2小時。將溶劑自丙酮更換成PGME(添加102公克)。在溶劑更換之後,將AIBN(1.63公克)添加至材料中且材料溶液在油浴中在105℃下回流50分鐘。在回流之後,藉由添加PGMEA將固體含量調節至25%。將PAG290(1%固體聚合物質量)、BYK3700(1%固體聚合物質量)以及UVS1331(0.25%固體聚合物質量)添加至材料中。在最終過濾之後,所述溶液可直接用於加工。所述材料的分子量(molecular weight,Mw)為7500-8500。 實例3
將苯基三甲氧基矽烷(11.31公克)、甲基三乙氧基矽烷(107.8公克)、甲基丙烯醯氧基丙基三甲氧基矽烷(7.85公克)、3-縮水甘油氧基丙基三甲氧基矽烷(80.96公克)以及1,2-雙(三乙氧基矽烷基)乙烷(67.48公克)秤重至圓底燒瓶中。將2-異丙基-9H-噻噸-9-酮(2-及4-異構體的混合物(2.74公克))秤重至圓底燒瓶中。將278公克丙酮添加至圓底燒瓶中。將74.91公克水(0.1莫耳濃度HNO3 )添加至反應燒瓶且反應混合物在95℃下回流2小時。將溶劑自丙酮更換成PGME(添加138公克)。在溶劑更換之後,將AIBN(2.28公克)添加至材料中且材料溶液在油浴中在105℃下回流60分鐘。在回流之後,藉由添加PGMEA將固體含量調節至25%。將PAG290(1%固體聚合物質量)、BYK3700(1%固體聚合物質量)以及UVS1331(0.25%固體聚合物質量)添加至材料中。在最終過濾之後,所述溶液可直接用於加工。所述材料的分子量(Mw)為9300。 實例4
將苯基三甲氧基矽烷(11.31公克)、甲基三乙氧基矽烷(107.8公克)、甲基丙烯醯氧基丙基三甲氧基矽烷(7.85公克)、3-縮水甘油氧基丙基-三甲氧基矽烷(80.96公克)以及1,2-雙(三乙氧基矽烷基)乙烷(67.48公克)秤重至圓底燒瓶中。將1-氯-4-丙氧基-9H-噻噸-9-酮(2.74公克)秤重至圓底燒瓶中。將278公克丙酮添加至圓底燒瓶中。將74.91公克水(0.1莫耳濃度HNO3 )添加至反應燒瓶且反應混合物在油浴中在95℃下回流2小時。將溶劑自丙酮更換成PGME(添加138公克)。在溶劑更換之後,將AIBN(2.19公克)添加至材料中且材料溶液在油浴中在105℃下回流60分鐘。在回流之後,藉由添加PGMEA將固體含量調節至25%。將PAG290(1%固體聚合物質量)、BYK3700(1%固體聚合物質量)以及UVS1331(0.25%固體聚合物質量)添加至材料中。在最終過濾之後,所述溶液可直接用於加工。所述材料的分子量(Mw)為8000。 實例5
將二苯基矽烷二醇(13.72公克)、苯基三甲氧基矽烷(11.31公克)、甲基三乙氧基矽烷(107.8公克)、甲基丙烯醯氧基丙基三甲氧基矽烷(7.85公克)、3-縮水甘油氧基丙基-三甲氧基矽烷(80.96公克)以及1,2-雙(三乙氧基矽烷基)乙烷(67.48公克)秤重至圓底燒瓶中。將274公克丙酮添加至圓底燒瓶中。將74.91公克水(0.1莫耳濃度HNO3 )添加至反應燒瓶且反應混合物在油浴中在95℃下回流2小時。將溶劑自丙酮更換成PGME(添加141公克)。在溶劑更換之後,將AIBN(2.31公克)添加至材料中且材料溶液在油浴中在105℃下回流80分鐘。在回流之後,藉由添加PGMEA將固體含量調節至25%。將PAG290(1%固體聚合物質量)、BYK3700(1%固體聚合物質量)以及UVS1331(0.25%固體聚合物質量)添加至材料中。在最終過濾之後,所述溶液可直接用於加工。所述材料的分子量(Mw)為9600。 實例6
將苯基三甲氧基矽烷(11.31公克)、甲基三乙氧基矽烷(107.8公克)、甲基丙烯醯氧基丙基三甲氧基矽烷(7.86公克)、3-縮水甘油氧基丙基-三甲氧基矽烷(80.96公克)、1,2-雙(三乙氧基矽烷基)乙烷(44.98公克)以及1,2-雙(三甲氧基矽烷基)乙烷(17.15公克)秤重至圓底燒瓶中。將268.8公克丙酮添加至圓底燒瓶中。將74.92公克水(0.1莫耳濃度HNO3 )添加至反應燒瓶且反應混合物在油浴中在95℃下回流2小時。將溶劑自丙酮更換成PGME(添加143公克)。在溶劑更換之後,將AIBN(2.12公克)添加至材料中且材料溶液在油浴中在105℃下回流45分鐘。在回流之後,藉由添加PGMEA將固體含量調節至25%。將PAG290(1%固體聚合物質量)、BYK3700(1%固體聚合物質量)以及UVS1331(0.25%固體聚合物質量)添加至材料中。在最終過濾之後,所述溶液可直接用於加工。所述材料的分子量(Mw)為9300。 實例7
將苯基三甲氧基矽烷(8.08公克)、甲基三乙氧基矽烷(72.45公克)、甲基丙烯醯氧基丙基三甲氧基矽烷(5.62公克)、3-縮水甘油氧基丙基-三甲氧基矽烷(57.83公克)、1,2-雙(三乙氧基矽烷基)乙烷(48.2公克)以及1,2-雙(三甲氧基矽烷基)乙烷(7.35公克)秤重至圓底燒瓶中。將199.5公克丙酮添加至圓底燒瓶中。將54.9公克水(0.1莫耳濃度HNO3 )添加至反應燒瓶且反應混合物在油浴中在95℃下回流2小時。將溶劑自丙酮更換成PGME(添加109公克)。在溶劑更換之後,將AIBN(1.52公克)添加至材料中且材料溶液在油浴中在105℃下回流50分鐘。在回流之後,藉由添加PGMEA將固體含量調節至25%。將PAG290(1%固體聚合物質量)、BYK3700(1%固體聚合物質量)以及UVS1331(0.25%固體聚合物質量)添加至材料中。在最終過濾之後,所述溶液可直接用於加工。所述材料的分子量(Mw)為8100。 實例8
將苯基三甲氧基矽烷(8.08公克)、甲基三乙氧基矽烷(72.45公克)、甲基丙烯醯氧基丙基三甲氧基矽烷(5.62公克)、3-縮水甘油氧基丙基-三甲氧基矽烷(57.83公克)、1,2-雙(三乙氧基矽烷基)乙烷(48.2公克)以及1,2-雙(三甲氧基矽烷基)乙烷(9.35公克)秤重至圓底燒瓶中。將199.5公克丙酮添加至圓底燒瓶中。將54.9公克水(0.1莫耳濃度HNO3 )添加至反應燒瓶且反應混合物在油浴中在95℃下回流2小時。將溶劑自丙酮更換成甲基異丁基酮(methyl isobutyl ketone MIBK)(添加109公克)。在溶劑更換之後,將AIBN(1.52公克)添加至材料中且材料溶液在油浴中在105℃下回流60分鐘。在回流之後,藉由添加PGMEA將固體含量調節至25%。將PAG290(1%固體聚合物質量)、BYK3700(1%固體聚合物質量)以及UVS1331(0.25%固體聚合物質量)添加至材料中。在最終過濾之後,所述溶液可直接用於加工。所述材料的分子量(Mw)為8000-10 000。 實例9
將苯基三甲氧基矽烷(8.08公克)、甲基三乙氧基矽烷(77.02公克)、甲基丙烯醯氧基丙基三甲氧基矽烷(5.62公克)、3-縮水甘油氧基丙基-三甲氧基矽烷(57.83公克)、1,2-雙(三乙氧基矽烷基)乙烷(35.98公克)以及1,2-雙(三甲氧基矽烷基)乙烷(9.3公克)秤重至圓底燒瓶中。將193.8公克丙酮添加至圓底燒瓶中。將51.73公克水(0.1莫耳濃度HNO3 )添加至反應燒瓶且反應混合物在油浴中在95℃下回流2小時。將溶劑自丙酮更換成PGME(添加104公克)。在溶劑更換之後,將AIBN(1.52公克)添加至材料中且材料溶液在油浴中在105℃下回流50分鐘。在回流之後,藉由添加PGMEA將固體含量調節至25%。將PAG290(1%固體聚合物質量)、BYK3700(1%固體聚合物質量)以及UVS1331(0.25%固體聚合物質量)添加至材料中。在最終過濾之後,所述溶液可直接用於加工。所述材料的分子量(Mw)為8500。 實例10
將苯基三甲氧基矽烷(8.08公克)、甲基三乙氧基矽烷(77.02公克)、甲基丙烯醯氧基丙基三甲氧基矽烷(5.62公克)、3-縮水甘油氧基丙基-三甲氧基矽烷(57.83公克)、1,2-雙(三乙氧基矽烷基)乙烷(35.98公克)以及1,2-雙(三甲氧基矽烷基)乙烷(9.3公克)秤重至圓底燒瓶中。將193.8公克丙酮添加至圓底燒瓶中。將51.73公克水(0.1莫耳濃度HNO3 )添加至反應燒瓶且反應混合物在油浴中在95℃下回流2小時。將溶劑自丙酮更換成MIBK(添加104公克)。在溶劑更換之後,將AIBN(1.77公克)添加至材料中且材料溶液在油浴中在105℃下回流45分鐘。在回流之後,藉由添加PGMEA將固體含量調節至25%。將PAG290(1%固體聚合物質量)、BYK3700(1%固體聚合物質量)以及UVS1331(0.25%固體聚合物質量)添加至材料中。在最終過濾之後,所述溶液可直接用於加工。所述材料的分子量(Mw)為6000-8000。 實例11
將苯基三甲氧基矽烷(8.08公克)、甲基三乙氧基矽烷(77公克)、甲基丙烯醯氧基丙基三乙氧基矽烷(9.19公克)、3-縮水甘油氧基丙基-三甲氧基矽烷(57.83公克)以及1,2-雙(三乙氧基矽烷基)乙烷(48.18公克)秤重至圓底燒瓶中。將196.7公克丙酮添加至圓底燒瓶中。將53.49公克水(0.1莫耳濃度HNO3 )添加至反應燒瓶且反應混合物在95℃下回流2小時。將溶劑自丙酮更換成PGME(添加104公克)。在溶劑更換之後,將AIBN(1.65公克)添加至材料中且材料溶液在油浴中在105℃下回流75分鐘。在回流之後,藉由添加PGMEA將固體含量調節至25%。將PAG290(1%固體聚合物質量)、BYK3700(1%固體聚合物質量)以及UVS1331(0.25%固體聚合物質量)添加至材料中。在最終過濾之後,所述溶液可直接用於加工。所述材料的分子量(Mw)為9500。 實例12
將苯基三甲氧基矽烷(11.31公克)、甲基三乙氧基矽烷(107.83公克)、甲基丙烯醯氧基丙基三甲氧基矽烷(7.85公克)、3-縮水甘油氧基丙基-三甲氧基矽烷(80.96公克)以及1,2-雙(三乙氧基矽烷基)乙烷(67.48公克)秤重至圓底燒瓶中。將268.8公克丙酮添加至圓底燒瓶中。將74.92公克水(0.1莫耳濃度HNO3 )添加至反應燒瓶且反應混合物在95℃下回流2小時。將溶劑自丙酮更換成甲基異丁基酮(MIBK)(添加143公克)。在溶劑更換之後,將AIBN(2.26公克)添加至材料中且材料溶液在105℃下回流50分鐘。在回流之後,藉由添加PGMEA將固體含量調節至25%。將PAG290(1%固體聚合物質量)、BYK3700(1%固體聚合物質量)以及UVS1331(0.25%固體聚合物質量)添加至材料中。在最終過濾之後,所述溶液可直接用於加工。所述材料的分子量(Mw)為10000。 實例13
將苯基三甲氧基矽烷(28.3公克)、甲基三乙氧基矽烷(296.6公克)、甲基丙烯醯氧基丙基三甲氧基矽烷(19.7公克)、3-縮水甘油氧基丙基-三甲氧基矽烷(202.6公克)以及1,2-雙(三乙氧基矽烷基)乙烷(112.4公克)秤重至圓底燒瓶中。將659公克丙酮添加至反應器中。將179公克水(0.1莫耳濃度HNO3 )添加至反應燒瓶且反應混合物在油浴中在95℃下回流2小時。將溶劑自丙酮更換成PGME(添加379公克)。在溶劑更換之後,將AIBN(4.21公克,矽氧烷聚合物固體的1.4質量%)添加至材料中且材料溶液在油浴中在105℃下回流60分鐘。在回流之後,藉由添加PGMEA將固體含量調節至25%。將PAG290(1%固體聚合物質量)、BYK3700(1%固體聚合物質量)以及UVS1101(0.25%固體聚合物質量)添加至材料中。在最終過濾之後,所述溶液可直接用於加工。所述材料的分子量(Mw)為17000。 實例14
將苯基三甲氧基矽烷(28.3公克)、甲基三乙氧基矽烷(296.6公克)、甲基丙烯醯氧基丙基三甲氧基矽烷(19.7公克)、3-縮水甘油氧基丙基-三甲氧基矽烷(202.6公克)以及1,2-雙(三乙氧基矽烷基)乙烷(112.4公克)秤重至圓底燒瓶中。將659公克丙酮添加至反應器中。將179公克水(0.1莫耳濃度HNO3 )添加至反應燒瓶且反應混合物在油浴中在95℃下回流2小時。將溶劑自丙酮更換成PGME(添加379公克)。在溶劑更換之後,將AIBN(4.02公克,矽氧烷聚合物固體的1.4質量%)添加至材料中且材料溶液在油浴中在105℃下回流40分鐘。在回流之後,藉由添加PGMEA將固體含量調節至25%。將PAG290(1%固體聚合物質量)、BYK3700(1%固體聚合物質量)以及UVS1101(0.25%固體聚合物質量)添加至材料中。在最終過濾之後,所述溶液可直接用於加工。所述材料的分子量(Mw)為13000。 實例15
將苯基三甲氧基矽烷(28.3公克)、甲基三乙氧基矽烷(296.6公克)、甲基丙烯醯氧基丙基三甲氧基矽烷(19.7公克)、3-縮水甘油氧基丙基-三甲氧基矽烷(202.6公克)以及1,2-雙(三乙氧基矽烷基)乙烷(112.4公克)秤重至圓底燒瓶中。將659公克丙酮添加至反應器中。將179公克水(0.1莫耳濃度HNO3 )添加至反應燒瓶且反應混合物在油浴中在95℃下回流2小時。將溶劑自丙酮更換成PGME(添加379公克)。在溶劑更換之後,將AIBN(4.17公克,矽氧烷聚合物固體的1.4質量%)添加至材料中且材料溶液在油浴中在105℃下回流25分鐘。在回流之後,藉由添加PGMEA將固體含量調節至25%。將PAG290(1%固體聚合物質量)、BYK3700(1%固體聚合物質量)以及UVS1101(0.25%固體聚合物質量)添加至材料中。在最終過濾之後,所述溶液可直接用於加工。所述材料的分子量(Mw)為8500。 實例16
將苯基三甲氧基矽烷(8.08公克)、甲基三乙氧基矽烷(72.45公克)、甲基丙烯醯氧基丙基三甲氧基矽烷(5.62公克)、3-縮水甘油氧基丙基-三甲氧基矽烷(57.83公克)、1,2-雙(三乙氧基矽烷基)乙烷(48.21公克)以及1-(2-(三甲氧基矽烷基)乙基)環己烷-3,4-環氧化物(6.69公克)秤重至圓底燒瓶中。將198.88公克丙酮添加至圓底燒瓶中。將46.53公克水(0.1莫耳濃度HNO3 )添加至反應燒瓶且反應混合物在油浴中在95℃下回流2小時。將溶劑自丙酮更換成PGME(添加104公克)。在溶劑更換之後,將AIBN(1.59公克)添加至材料中且材料溶液在油浴中在105℃下回流55分鐘。在回流之後,藉由添加PGMEA將固體含量調節至25%。將PAG290(1%固體聚合物質量)、BYK3700(1%固體聚合物質量)以及UVS1331(0.25%固體聚合物質量)添加至材料中。在最終過濾之後,所述溶液可直接用於加工。所述材料的分子量(Mw)為8500。 實例17
將苯基三甲氧基矽烷(8.08公克)、甲基三乙氧基矽烷(77.0公克)、甲基丙烯醯氧基丙基三甲氧基矽烷(5.62公克)、3-縮水甘油氧基丙基-三甲氧基矽烷(57.83公克)以及1,2-雙(三甲氧基矽烷基)乙烷(36.75公克)秤重至圓底燒瓶中。將185.0公克丙酮添加至圓底燒瓶中。將53.49公克水(0.1莫耳濃度HNO3 )添加至反應燒瓶且反應混合物在油浴中在95℃下回流2小時。將溶劑自丙酮更換成PGME(添加104公克)。在溶劑更換之後,將AIBN(1.54公克)添加至材料中且材料溶液在油浴中在105℃下回流55分鐘。在回流之後,藉由添加PGMEA將固體含量調節至25%。將PAG290(1%固體聚合物質量)、BYK3700(1%固體聚合物質量)以及UVS1331(0.25%固體聚合物質量)添加至材料中。在最終過濾之後,所述溶液可直接用於加工。所述材料的分子量(Mw)為6600。 實例18
將苯基三甲氧基矽烷(8.08公克)、甲基三乙氧基矽烷(75.5公克)、甲基丙烯醯氧基丙基三甲氧基矽烷(5.62公克)、3-縮水甘油氧基丙基-三甲氧基矽烷(57.83公克)、1,2-雙(三乙氧基矽烷基)乙烷(48.2公克)以及1H, 1H, 2H, 2H-全氟癸基三甲氧基矽烷(5.15公克)秤重至圓底燒瓶中。將200.38公克丙酮添加至圓底燒瓶中。將41.57公克水(0.1莫耳濃度HNO3 )添加至反應燒瓶且反應混合物在油浴中在95℃下回流2小時。將溶劑自丙酮更換成MIBK(添加103公克)。在溶劑更換之後,將AIBN(1.84公克)添加至材料中且材料溶液在油浴中在105℃下回流55分鐘。在回流之後,藉由添加PGMEA將固體含量調節至25%。將PAG290(1%固體聚合物質量)、BYK3700(1%固體聚合物質量)以及UVS1331(0.25%固體聚合物質量)添加至材料中。在最終過濾之後,所述溶液可直接用於加工。所述材料的分子量(Mw)為8800。 實例19
將苯基三甲氧基矽烷(4.48公克)、四乙氧基矽烷(17.43公克)、二甲基二甲氧基矽烷(5.03公克)、甲基三乙氧基矽烷(50.0公克)、甲基丙烯醯氧基丙基三甲氧基矽烷(5.19公克)、3-縮水甘油氧基丙基-三甲氧基矽烷(53.4公克)以及1,2-雙(三乙氧基矽烷基)乙烷(80.13公克)秤重至圓底燒瓶中。將215.66公克丙酮添加至圓底燒瓶中。將59.59公克水(0.1莫耳濃度HNO3 )添加至反應燒瓶且反應混合物在油浴中在95℃下回流2小時。將溶劑自丙酮更換成MIBK(添加103公克)。在溶劑更換之後,將AIBN(1.71公克)添加至材料中且材料溶液在油浴中在105℃下回流35分鐘。在回流之後,藉由添加PGMEA將固體含量調節至25%。將PAG290(1%固體聚合物質量)、BYK3700(1%固體聚合物質量)以及UVS1331(0.25%固體聚合物質量)添加至材料中。在最終過濾之後,所述溶液可直接用於加工。所述材料的分子量(Mw)為23400。 實例20
將苯基三甲氧基矽烷(4.41公克)、甲基三乙氧基矽烷(70公克)、甲基丙烯酸縮水甘油酯(2.93公克)、3-縮水甘油氧基丙基三甲氧基矽烷(52.56公克)以及1,2-雙(三乙氧基矽烷基)乙烷(49.65公克)秤重至圓底燒瓶中。將179.55公克丙酮添加至圓底燒瓶中。將46.21公克水(0.1莫耳濃度HNO3 )添加至反應燒瓶且反應混合物在油浴中在95℃下回流2小時。將溶劑自丙酮更換成PGME(添加102公克)。在溶劑更換之後,將AIBN(1.68公克)添加至材料中且材料溶液在油浴中在105℃下回流120分鐘。在回流之後,藉由添加PGMEA將固體含量調節至25%。將PAG290(1%固體聚合物質量)、BYK3700(1%固體聚合物質量)以及UVS1331(0.25%固體聚合物質量)添加至材料中。在最終過濾之後,所述溶液可直接用於加工。所述材料的分子量(Mw)為6100。 實例21
將苯基三甲氧基矽烷(32.26公克)、甲基三乙氧基矽烷(54.05公克)、甲基丙烯醯氧基丙基三甲氧基矽烷(5.61公克)、3-縮水甘油氧基丙基-三甲氧基矽烷(57.74公克)以及1,2-雙(三乙氧基矽烷基)乙烷(32.08公克)秤重至圓底燒瓶中。將192公克丙酮添加至圓底燒瓶中。將48.77公克水(0.1莫耳濃度HNO3 )添加至反應燒瓶且反應混合物在油浴中在95℃下回流2小時。將溶劑自丙酮更換成PGME(添加94公克)。在溶劑更換之後,將AIBN(1.72公克)添加至材料中且材料溶液在油浴中在105℃下回流85分鐘。在回流之後,藉由添加PGME : MEK(methyl ethyl ketone,甲基乙基酮)以使得溶劑比分別為90:10而將固體含量調節至18%。將PAG290(1%固體聚合物質量)、BYK3700(1%固體聚合物質量)以及UVS1331(0.25%固體聚合物質量)添加至材料中。在最終過濾之後,所述溶液可直接用於加工。所述材料的分子量(Mw)為7000。 在基板上塗佈沈積且圖案化的示例性製程:
1. 基板預清潔 (基板可含有暴露於塗層的ITO、金屬、玻璃、黑色基質或白色基質或聚合物表面):氫氧化鉀(KOH)預清潔(KOH溶液可處於室溫下或溫度可在20℃-55℃之間變化;典型濃度可自0.04%變化至1%),接著為去離子水沖洗,隨後為乾燥過程。作為另一選擇,可使用黏著促進劑、底塗劑(primer)或其他化學或物理表面改質方法改良濕潤性及黏著性。
2. 材料沈積 :藉由使用狹縫塗佈、組合的狹縫塗佈+旋塗、旋塗、噴塗、噴墨印刷、簾塗、輥塗、卷對卷、印刷(此處僅舉幾種典型液相沈積方法為例)將塗佈材料沈積於基板上。按達成適當塗層厚度、塗層的均一性及平坦化/共形性(此處僅舉幾種典型要求為例)的方式調節調配物(溶劑+添加劑)。
3. 真空乾燥及 / 或預烘烤 :在沈積之後,將基板轉移至真空乾燥台及/或在加熱板(或烘箱)上通常在70℃-120℃下預烘烤1-3分鐘。在此步驟中,移除大部分調配物溶劑且基板保留有準備進一步加工的預固化(乾燥或略微發黏)膜。
4. 曝光:在標準光微影製程中,在寬頻、g線、h線、i線或i線曝光下使用光遮罩或倍縮光罩。藉由使用g線、h線、i線曝光所需的典型UV曝光劑量為50-200毫焦耳(或大於200毫焦耳)。在沈積層無需圖案化或圖案化藉由其他方式進行的情形中,可使用完整基板區域曝光(而不使用任何光遮罩或倍縮光罩)。亦可使用所謂的等待步驟或後曝光烘烤步驟,以改良曝光區固化。本發明中所述的材料充當負型抗蝕劑,此意味著所曝光的區域在UV光下聚合(使得曝光區域較不可溶於顯影劑)。可使用特殊添加劑將所述材料逆轉成正型(使得曝光區域較可溶於顯影劑溶液)。在無需圖案化的情形中,亦可使用所述材料作為熱固化調配物,而不使用UV曝光。在此情形中,可施用熱起始劑。
5. 顯影 :在顯影步驟中,膜的較可溶區域(參見上文)由顯影劑溶液溶解。較不可溶區域(在負型材料的情形中,曝光區域)在顯影之後保留於基板上。可使用所謂的噴霧顯影或淘汰盤顯影方法。顯影劑溶劑可處於室溫下或溫度可在20-55℃之間變化。典型顯影劑包括氫氧化鉀(KOH)及氫氧化四甲銨(TMAH),但並非僅限於此。典型濃度為例如0.04%-0.7% KOH及0.2%-2.38% TMAH。顯影劑溶液施用後進行去離子或標準水沖洗噴塗或淘汰盤。作為最終步驟,藉由空氣刮刀/吹氣及/或加熱(吹氣或IR固化、加熱板或烘箱)乾燥去除水。
6. 最終固化 :視所用基板及其他塗佈材料層而定,材料在對流烘箱中,藉由IR燈固化、在80-300℃下的強制空氣固化而固化。亦特定言之,在材料層直接沈積於已附接至顯示基板的基板上的情形中,加工溫度侷限於最大150℃或甚至低於120℃的溫度。在溫度下的典型固化時間可為例如30分鐘。材料層組成物必須在低最終固化溫度下傳遞全部上述特性。材料可在較高最終固化溫度(例如200℃或230℃或高於230℃)下使用,但其經特定設計以亦在低溫下傳遞效能。
結果展示在表1中;縮寫「BTSE」代表雙(三乙氧基矽烷基)乙烷(bis(triethoxysilyl)ethane)。 表1 量測: 膜厚度:橢圓計 鉛筆硬度:ASTM D3363-00,易高測試儀 透射率:光譜儀 黏著性:ASTM D3359-09,百格測試儀
如將出現,使用本發明的矽氧烷聚合物組成物製備的塗層相較於藉由使用先前技術的矽氧烷聚合物製備的塗層而言顯著較硬(高達8H,對WO2009/068755的4H)。材料對不同表面的黏著性亦較佳,且顯著改良化學抗性。表中突出的一個額外顯著改良為本發明的聚合物組成物在微影製程中無需所謂的後曝光烘烤步驟。
100‧‧‧LCD/OLED基板
200、300‧‧‧基板
301、320、340‧‧‧導電層
302、303、310、330、350‧‧‧材料塗層
305:黑色基質層
圖1說明一個使用多個塗層來傳遞特定功能的示例性顯示裝置結構的截面。 圖2至圖4說明示例性感測器結構的截面。
100‧‧‧LCD/OLED基板
200、300‧‧‧基板
301、320、340‧‧‧導電層
302、303、310、330、350‧‧‧材料塗層

Claims (28)

  1. 一種製造矽氧烷聚合物組成物的方法,所述製造矽氧烷聚合物組成物的方法包括以下步驟: (a)將至少四種不同矽烷單體及至少一種二矽烷混合至第一溶劑以形成混合物, 其條件為所述矽烷單體或所述二矽烷中的至少一者包括能夠達成與所述矽氧烷聚合物組成物的相鄰矽氧烷聚合物鏈交聯的活性基團; (b)對所述混合物進行酸處理以使得所述矽烷單體至少部分水解,且經水解的矽烷單體、所述矽烷單體以及所述二矽烷至少部分聚合且交聯; (c)視情況將所述第一溶劑更換成第二溶劑;以及 (d)對所述混合物進行所述矽氧烷聚合物的進一步交聯以達成預定程度的交聯。
  2. 如申請專利範圍第1項所述的製造矽氧烷聚合物組成物的方法,其中所述活性基團是選自環氧基、乙烯基、烯丙基以及甲基丙烯酸酯基。
  3. 如申請專利範圍第1項或第2項所述的製造矽氧烷聚合物組成物的方法,其中以單體的莫耳分數計,0.1%至70%、較佳0.5%至50%、具體而言1%至40%的所述矽烷單體包括所述活性基團。
  4. 如申請專利範圍第1項至第3項中任一項所述的製造矽氧烷聚合物組成物的方法,其中所述第一溶劑是選自丙酮、甲基乙基酮、甲基異丁基酮或1-甲氧基-2-丙醇以及其混合物。
  5. 如申請專利範圍第1項至第4項中任一項所述的製造矽氧烷聚合物組成物的方法,其中所述第二溶劑是選自1-甲氧基-2-丙醇、丙二醇單甲基醚乙酸酯、甲基乙基酮或丙二醇丙基醚或甲基異丁基酮以及其混合物。
  6. 如申請專利範圍第1項至第5項中任一項所述的製造矽氧烷聚合物組成物的方法,其中所述至少四種矽烷單體是選自分子式 R1 a SiX4-a I R2 b SiX4-b II, R3 c SiX4-c III,以及 R4 d SiX4-d IV 其中 R1 、R2 、R3 以及R4 獨立地選自氫及包括直鏈及分支鏈烷基、環烷基、烯基、炔基、(烷基)丙烯酸酯基、環氧基、烯丙基、乙烯基及烷氧基以及具有1至6個環的芳基的基團,且其中所述基團經取代或未經取代;X為可水解基團或烴殘基;以及 a、b、c以及d獨立地為1至3的整數。
  7. 如申請專利範圍第6項所述的製造矽氧烷聚合物組成物的方法,其中所述烷氧基是選自具有下式的自由基的群組 –O-R5 V 其中R5 為具有1至10個、較佳1至6個碳原子的直鏈或分支鏈烷基,且顯示出一或兩個選自鹵素、羥基、乙烯基、環氧基以及烯丙基的群組的取代基。
  8. 如申請專利範圍第1項至第7項中任一項所述的製造矽氧烷聚合物組成物的方法,其中所述至少一種二矽烷是選自下式的分子 (R6 )3 Si-Y-Si(R7 )3 ,         VI 其中 R6 及R7 獨立地選自氫及由以下組成的基團:直鏈或分支鏈烷基、環烷基、烯基、炔基、(烷基)丙烯酸酯基、環氧基、烯丙基、乙烯基、烷氧基以及具有1至6個環的芳基,且其中所述基團經取代或未經取代;以及 Y 為選自二價未經取代及經取代的脂族及芳族基團的鍵聯基團,例如伸烷基、伸芳基、-O-伸烷基-O-;-O-伸芳基-O-;伸烷基-O-伸烷基、伸芳基-O-伸芳基;伸烷基-Z1 C(=O)Z2 -伸烷基、伸芳基-Z1 C(=O)Z2 -伸芳基以及-O-伸烷基-Z1 (=O)Z2 -伸烷基-O-;或-O-伸芳基-Z1 (=O)Z2 -伸芳基-O-,其中Z1 及Z2 各自選自直接鍵或-O-。
  9. 如申請專利範圍第1項至第8項中任一項所述的製造矽氧烷聚合物組成物的方法,其中所述至少四種矽烷單體是選自三乙氧基矽烷、四乙氧基矽烷、甲基三乙氧基矽烷、甲基三甲氧基矽烷、乙基三乙氧基矽烷、正丁基三乙氧基矽烷、甲基二乙氧基乙烯基矽烷、二甲基二乙氧基矽烷、苯基三甲氧基矽烷、菲-9-三乙氧基矽烷、乙烯基三甲氧基矽烷、3-縮水甘油氧基丙基三甲氧基矽烷、胺基丙基三甲氧基矽烷、甲基丙烯醯氧基丙基三甲氧基矽烷、甲基丙烯醯氧基丙基三乙氧基矽烷、丙烯醯氧基丙基-三甲氧基矽烷、烯丙基三甲氧基矽烷、環氧基環己基乙基三甲氧基矽烷、二苯基矽烷二醇、1,2-雙(三甲氧基矽烷基)甲烷、1,2-雙(三甲氧基矽烷基)乙烷、甲基丙烯酸縮水甘油酯、二甲基二甲氧基矽烷、1-(2-(三甲氧基矽烷基)乙基)環己烷-3,4-環氧化物、1,2-雙(三乙氧基矽烷基)乙烷、1H, 1H, 2H, 2H-全氟癸基三甲氧基矽烷、三甲氧基(3,3,3-三氟丙基)矽烷、1,2-雙(三甲氧基矽烷基)甲烷、1,2-雙(三乙氧基矽烷基)甲烷、1,2-雙(三甲氧基矽烷基)乙烷、1,2-雙(三乙氧基矽烷基)乙烷、1-(二甲氧基甲基矽烷基)-1-(三甲氧基矽烷基)甲烷、1-(二乙氧基甲基矽烷基)-1-(三乙氧基矽烷基)甲烷、1-(二甲氧基甲基矽烷基)-2-(三甲氧基矽烷基)乙烷、1-(二乙氧基甲基矽烷基)-2-(三乙氧基矽烷基)乙烷、雙(二甲氧基甲基矽烷基)甲烷、雙(二乙氧基甲基矽烷基)甲烷、1,2-雙(二甲氧基甲基矽烷基)乙烷、1,2-雙(二乙氧基甲基矽烷基)乙烷、1,2-雙(三甲氧基矽烷基)苯、1,2-雙(三乙氧基矽烷基)苯、1,3-雙(三甲氧基矽烷基)苯、1,3-雙(三乙氧基矽烷基)苯、1,4-雙(三甲氧基矽烷基)苯、1,4-雙(三乙氧基矽烷基)苯以及其組合,具體而言甲基三乙氧基矽烷、苯基三甲氧基矽烷、3-甲基丙烯醯氧基丙基三甲氧基矽烷、二苯基矽烷二醇以及縮水甘油氧基丙基三甲氧基矽烷以及其組合。
  10. 如申請專利範圍第1項至第9項中任一項所述的製造矽氧烷聚合物組成物的方法,其中所述至少一種二矽烷是選自1,2-雙(三乙氧基矽烷基)乙烷及雙(三甲氧基矽烷基)甲烷, 4,4′-雙(三乙氧基矽烷基)-1,1′-聯苯;1,4-雙(三乙氧基矽烷基)苯;及1,3-雙(三乙氧基矽烷基)苯。
  11. 如申請專利範圍第1項至第10項所述的製造矽氧烷聚合物組成物的方法,所述方法更包括: (e)與選自光酸起始劑及UV敏化劑的群組的一多種物質混合。
  12. 如申請專利範圍第1項至第11項中任一項所述的製造矽氧烷聚合物組成物的方法,更包括添加足以起始所述矽氧烷聚合物的交聯的量的UV起始劑以提供固化聚合物。
  13. 如申請專利範圍第1項至第12項中任一項所述的製造矽氧烷聚合物組成物的方法,所述方法更包括與奈米及/或微米粒子混合。
  14. 如申請專利範圍第13項所述的製造矽氧烷聚合物組成物的方法,其中所述奈米及/或微米粒子是選自光散射顏料、有機及無機磷光體、氧化物、量子點以及金屬。
  15. 一種矽氧烷聚合物組成物,可藉由申請專利範圍第1項至第14項中任一項獲得。
  16. 一種如申請專利範圍第15項所述的矽氧烷聚合物組成物的用途,用於製造顯示器或半導體裝置的製程。
  17. 一種用於覆蓋基板的方法,所述方法包括: 提供如申請專利範圍第14項所述的矽氧烷聚合物組成物,及將所述矽氧烷聚合物組成物沈積在所述基板上;以及 視情況固化經沈積的矽氧烷聚合物組成物。
  18. 如申請專利範圍第17項所述的用於覆蓋基板的方法,其中所述經沈積的矽氧烷聚合物組成物在所述基板上形成膜、具體而言薄膜,且其中蒸發所述溶劑並乾燥所述膜。
  19. 如申請專利範圍第17項或第18項所述的用於覆蓋基板的方法,所述用於覆蓋基板的方法更包括將所述矽氧烷聚合物組成物曝露於UV光。
  20. 如申請專利範圍第17項至第19項中任一項所述的用於覆蓋基板的方法,所述用於覆蓋基板的方法更包括例如藉由使所述經沈積的矽氧烷聚合物組成物的至少一部分區域曝露於UV光,及藉由移除所述膜的未曝光區域而使所述矽氧烷聚合物組成物顯影。
  21. 如申請專利範圍第20項所述的用於覆蓋基板的方法,其中顯影包括用鹼性溶液處理。
  22. 如申請專利範圍第17項至第21項中任一項所述的用於覆蓋基板的方法,所述用於覆蓋基板的方法更包括固化所述矽氧烷聚合物膜。
  23. 如申請專利範圍第19項至第22項中任一項所述的用於覆蓋基板的方法,其中所述顯影的步驟在任何預固化步驟之後且在最終固化步驟之前進行。
  24. 如申請專利範圍第17項至第23項中任一項所述的用於覆蓋基板的方法,其中所述固化是藉由較佳在80℃與300℃之間、例如100℃至150℃的溫度下加熱來進行。
  25. 如申請專利範圍第17項至第24項中任一項所述的用於覆蓋基板的方法,其中所述基板是選自包括玻璃、石英、矽、氮化矽、聚合物、金屬以及塑膠的其混合物的材料。
  26. 一種組成物,包括至少四種不同矽烷單體、至少一種二矽烷以及溶劑,其中所述矽烷單體或所述二矽烷中的至少一者包括能夠在熱起始或輻射起始後達成交聯的活性基團。
  27. 如申請專利範圍第26項所述的組成物,更包括UV敏化劑。
  28. 如申請專利範圍第26項或第27項所述的組成物,其中所述至少四種矽烷單體是選自甲基三乙氧基矽烷、苯基三甲氧基矽烷、3-甲基丙烯醯氧基丙基三甲氧基矽烷、二苯基矽烷二醇以及縮水甘油氧基丙基三甲氧基矽烷,且所述至少一種雙矽烷是選自1,2-雙(三乙氧基矽烷基)乙烷及雙(三甲氧基矽烷基)甲烷。
TW105108225A 2015-03-17 2016-03-17 矽氧烷聚合物組成物及製造方法與用途、覆蓋基板的方法 TWI692492B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
FI20155186 2015-03-17
FI20155186 2015-03-17

Publications (2)

Publication Number Publication Date
TW201700548A true TW201700548A (zh) 2017-01-01
TWI692492B TWI692492B (zh) 2020-05-01

Family

ID=56918410

Family Applications (1)

Application Number Title Priority Date Filing Date
TW105108225A TWI692492B (zh) 2015-03-17 2016-03-17 矽氧烷聚合物組成物及製造方法與用途、覆蓋基板的方法

Country Status (10)

Country Link
US (2) US20180066159A1 (zh)
EP (1) EP3271433A1 (zh)
JP (1) JP6756729B2 (zh)
KR (2) KR20170128438A (zh)
CN (1) CN107636097B (zh)
AU (1) AU2016232011B2 (zh)
PH (1) PH12017501675A1 (zh)
SG (1) SG11201707505QA (zh)
TW (1) TWI692492B (zh)
WO (1) WO2016146896A1 (zh)

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI688620B (zh) * 2017-08-24 2020-03-21 南韓商可隆股份有限公司 塗覆用樹脂組成物及包括其硬化物作為塗覆層的塗覆膜
TWI733252B (zh) * 2018-11-23 2021-07-11 南韓商Lg化學股份有限公司 光學積層物
TWI794370B (zh) * 2017-12-19 2023-03-01 美商哈尼威爾國際公司 抗裂的基於矽之平坦化組成物、方法及膜
TWI795478B (zh) * 2017-12-01 2023-03-11 德商默克專利有限公司 聚矽氧烷、包含其而成之組成物、及使用其之硬化膜、以及硬化膜之製造方法

Families Citing this family (19)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR102590498B1 (ko) 2016-02-19 2023-10-19 삼성디스플레이 주식회사 플렉서블 표시장치, 윈도우 부재의 제조방법 및 하드 코팅 조성물
KR102092800B1 (ko) * 2016-10-24 2020-04-23 삼성에스디아이 주식회사 감광성 수지 조성물, 그로부터 형성된 경화막, 및 상기 경화막을 갖는 전자 장치
KR102072209B1 (ko) * 2016-10-31 2020-01-31 삼성에스디아이 주식회사 감광성 수지 조성물, 그로부터 형성된 경화막, 및 상기 경화막을 갖는 전자 장치
KR101968223B1 (ko) * 2016-12-15 2019-04-11 삼성에스디아이 주식회사 감광성 수지 조성물, 그로부터 형성된 경화막, 및 경화막을 갖는 소자
KR102393376B1 (ko) * 2017-04-10 2022-05-03 삼성디스플레이 주식회사 감광성 수지 조성물 및 이를 포함한 패턴 형성용 조성물의 경화물을 포함하는 전자 장치
WO2019039881A1 (ko) * 2017-08-24 2019-02-28 코오롱인더스트리 주식회사 코팅용 수지 조성물 및 이의 경화물을 코팅층으로 포함하는 코팅필름
KR102145656B1 (ko) * 2017-11-24 2020-08-18 주식회사 엘지화학 컬러필터 평탄화막용 열경화성 수지 조성물 및 이를 이용한 평탄화막
WO2019124514A1 (ja) * 2017-12-20 2019-06-27 日産化学株式会社 光硬化性シリコン含有被覆膜形成組成物
JP2019120750A (ja) * 2017-12-28 2019-07-22 メルク、パテント、ゲゼルシャフト、ミット、ベシュレンクテル、ハフツングMerck Patent GmbH 感光性シロキサン組成物およびこれを用いたパターン形成方法
JP6470860B1 (ja) * 2018-03-15 2019-02-13 マクセルホールディングス株式会社 コーティング組成物、導電性膜及び液晶表示パネル
EP3775075A1 (en) * 2018-04-06 2021-02-17 Optitune Oy Flexible and foldable abrasion resistant photopatternable siloxane hard coat
FI128435B (en) * 2018-05-09 2020-05-15 Canatu Oy Electrically conductive multilayer film
KR101930729B1 (ko) * 2018-07-12 2018-12-19 (주)켐텍인터내셔날 유기 금속을 가지는 실록산 올리고머, 실록산 올리고머의 제조 방법, 실록산 올리고머를 포함하는 하드 코팅 조성물, 하드 코팅 필름 및 디스플레이 장치
CA3146489A1 (en) * 2019-07-10 2021-01-14 Brightplus Oy Method for forming a biodegradable or recyclable hybrid material composition
JP7227890B2 (ja) * 2019-12-03 2023-02-22 信越化学工業株式会社 感光性樹脂組成物、感光性樹脂皮膜、感光性ドライフィルム、パターン形成方法及び発光素子
US11378886B2 (en) * 2020-09-29 2022-07-05 Taiwan Semiconductor Manufacturing Company, Ltd. Method for removing resist layer, and method of manufacturing semiconductor
EP4098630A1 (en) 2021-05-31 2022-12-07 Optitune Oy Method for coating glass containers
US20240059961A1 (en) * 2022-08-11 2024-02-22 Promega Corporation Biocompatible oxygen-sensitive materials
CN115785527B (zh) * 2022-12-28 2023-09-12 佛山市普力达科技有限公司 一种加速深度固化偶联剂及制备方法及应用的透明rtv

Family Cites Families (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH11217519A (ja) * 1998-02-04 1999-08-10 Shin Etsu Chem Co Ltd プライマー組成物及びそれを用いて得られる物品
US6908624B2 (en) * 1999-12-23 2005-06-21 Advanced Cardiovascular Systems, Inc. Coating for implantable devices and a method of forming the same
US6277535B1 (en) * 2000-04-14 2001-08-21 Xerox Corporation Undercoating layer for imaging member
US6706403B1 (en) * 2000-05-12 2004-03-16 3M Innovative Properties Company Rigid substrate lamination adhesive
JP4887784B2 (ja) * 2003-12-19 2012-02-29 日産化学工業株式会社 低屈折率及び大きい水接触角を有する被膜
DE102005007615B3 (de) * 2005-02-18 2006-11-02 Kodak Polychrome Graphics Gmbh Verfahren zur Herstellung von Lithographie-Druckplatten
CN101353479A (zh) * 2007-07-27 2009-01-28 德古萨有限责任公司 硅氧烷低聚物,其的制备方法及其应用
JP5631738B2 (ja) * 2007-11-30 2014-11-26 オプティチューン オサケ ユキチュア 新規シロキサンポリマー組成物
JP5365809B2 (ja) * 2008-02-18 2013-12-11 日産化学工業株式会社 環状アミノ基を有するシリコン含有レジスト下層膜形成組成物
JP6065497B2 (ja) * 2011-09-29 2017-01-25 Jsr株式会社 パターン形成方法及びポリシロキサン組成物
JP6097593B2 (ja) * 2012-09-25 2017-03-15 積水化学工業株式会社 硬化性樹脂組成物、封止材、上下導通材料、光電変換素子、色素増感太陽電池及び色素増感太陽電池モジュール
JP2015201103A (ja) 2014-04-09 2015-11-12 富士通株式会社 業務記述の管理プログラム、業務記述の管理方法、及び業務記述の管理装置

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI688620B (zh) * 2017-08-24 2020-03-21 南韓商可隆股份有限公司 塗覆用樹脂組成物及包括其硬化物作為塗覆層的塗覆膜
TWI795478B (zh) * 2017-12-01 2023-03-11 德商默克專利有限公司 聚矽氧烷、包含其而成之組成物、及使用其之硬化膜、以及硬化膜之製造方法
TWI794370B (zh) * 2017-12-19 2023-03-01 美商哈尼威爾國際公司 抗裂的基於矽之平坦化組成物、方法及膜
TWI733252B (zh) * 2018-11-23 2021-07-11 南韓商Lg化學股份有限公司 光學積層物

Also Published As

Publication number Publication date
AU2016232011B2 (en) 2020-07-09
CN107636097A (zh) 2018-01-26
EP3271433A1 (en) 2018-01-24
JP2018509510A (ja) 2018-04-05
PH12017501675A1 (en) 2018-03-12
KR20170128438A (ko) 2017-11-22
US11634610B2 (en) 2023-04-25
WO2016146896A1 (en) 2016-09-22
US20220010172A1 (en) 2022-01-13
AU2016232011A1 (en) 2017-10-05
TWI692492B (zh) 2020-05-01
SG11201707505QA (en) 2017-10-30
KR20230131955A (ko) 2023-09-14
US20180066159A1 (en) 2018-03-08
JP6756729B2 (ja) 2020-09-16
CN107636097B (zh) 2024-03-15

Similar Documents

Publication Publication Date Title
US11634610B2 (en) Siloxane polymer compositions and their use
TWI458779B (zh) 矽氧烷聚合物組成物及其使用方法
TWI612384B (zh) 感光性樹脂組成物、導電性配線保護膜及觸控板構件
KR102157030B1 (ko) 네거티브형 감광성 실록산 조성물
JP5929679B2 (ja) シラン系組成物およびその硬化膜、並びにそれを用いたネガ型レジストパターンの形成方法
TWI646118B (zh) 樹脂組成物
US20160252814A1 (en) Novel siloxane polymer compositions
TW201510656A (zh) 負型感光性樹脂組成物、電子裝置及聚合物
TWI769334B (zh) 矽氧烷樹脂組成物、硬化膜及顯示裝置
JP2019137762A (ja) ポリマー、感光性樹脂組成物、感光性樹脂膜、パターン、有機エレクトロルミネッセンス素子、パターンを備えた基板の製造方法およびポリマーの製造方法
JP7180189B2 (ja) 感光性樹脂組成物、構造体、光学部品および光学部品の製造方法
WO2012157543A1 (ja) 縮合物、感光性組成物およびその製造方法、およびそれを用いたネガ型レジストパターンの形成方法
JP6710903B2 (ja) 感光性樹脂組成物