TW201315836A - 光激發可用於原子層沉積之介電層的化學物之方法與設備 - Google Patents

光激發可用於原子層沉積之介電層的化學物之方法與設備 Download PDF

Info

Publication number
TW201315836A
TW201315836A TW102100477A TW102100477A TW201315836A TW 201315836 A TW201315836 A TW 201315836A TW 102100477 A TW102100477 A TW 102100477A TW 102100477 A TW102100477 A TW 102100477A TW 201315836 A TW201315836 A TW 201315836A
Authority
TW
Taiwan
Prior art keywords
substrate
gas
chamber
precursor
deposition
Prior art date
Application number
TW102100477A
Other languages
English (en)
Inventor
Kaushalk Singh
Maitreyee Mahajani
Steve G Ghanayem
Joseph Yudovsky
Brendan Mcdougall
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from US11/381,970 external-priority patent/US7798096B2/en
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of TW201315836A publication Critical patent/TW201315836A/zh

Links

Classifications

    • HELECTRICITY
    • H05ELECTRIC TECHNIQUES NOT OTHERWISE PROVIDED FOR
    • H05HPLASMA TECHNIQUE; PRODUCTION OF ACCELERATED ELECTRICALLY-CHARGED PARTICLES OR OF NEUTRONS; PRODUCTION OR ACCELERATION OF NEUTRAL MOLECULAR OR ATOMIC BEAMS
    • H05H1/00Generating plasma; Handling plasma
    • H05H1/24Generating plasma
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/02Pretreatment of the material to be coated
    • C23C16/0209Pretreatment of the material to be coated by heating
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/02Pretreatment of the material to be coated
    • C23C16/0227Pretreatment of the material to be coated by cleaning or etching
    • C23C16/0245Pretreatment of the material to be coated by cleaning or etching by etching with a plasma
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/04Coating on selected surface areas, e.g. using masks
    • C23C16/045Coating cavities or hollow spaces, e.g. interior of tubes; Infiltration of porous substrates
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/34Nitrides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/403Oxides of aluminium, magnesium or beryllium
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/405Oxides of refractory metals or yttrium
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4401Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber
    • C23C16/4405Cleaning of reactor or parts inside the reactor by using reactive gases
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45502Flow conditions in reaction chamber
    • C23C16/45504Laminar flow
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45502Flow conditions in reaction chamber
    • C23C16/45508Radial flow
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45574Nozzles for more than one gas
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45587Mechanical means for changing the gas flow
    • C23C16/45591Fixed means, e.g. wings, baffles
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/458Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for supporting substrates in the reaction chamber
    • C23C16/4582Rigid and flat substrates, e.g. plates or discs
    • C23C16/4583Rigid and flat substrates, e.g. plates or discs the substrate being supported substantially horizontally
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/458Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for supporting substrates in the reaction chamber
    • C23C16/4582Rigid and flat substrates, e.g. plates or discs
    • C23C16/4583Rigid and flat substrates, e.g. plates or discs the substrate being supported substantially horizontally
    • C23C16/4584Rigid and flat substrates, e.g. plates or discs the substrate being supported substantially horizontally the substrate being rotated
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/46Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for heating the substrate
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/48Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating by irradiation, e.g. photolysis, radiolysis, particle radiation
    • C23C16/482Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating by irradiation, e.g. photolysis, radiolysis, particle radiation using incoherent light, UV to IR, e.g. lamps
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/505Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges
    • C23C16/509Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges using internal electrodes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources

Abstract

本發明大體來說提供一種沉積材料的方法,並且更明確地說,本發明之實施例係有關於使用光激發技術來沉積阻障層、種層、導電材料、以及介電材料的化學氣相沉積製程以及原子層沉積製程。本發明之實施例大體來說提供輔助製程方法及設備,其中可執行該輔助製程以提供均勻沉積的材料。

Description

光激發可用於原子層沉積之介電層的化學物之方法與設備
本發明之實施例大體來說提供一種沉積材料的方法,並且更明確地說,本發明之實施例係有關於使用光激發技術來沉積阻障層、種層、導電材料、以及介電材料的化學氣相沉積製程以及原子層沉積製程。
一基材生產製程通常係由兩個相關且重要的因素來評估,也就是元件良率和持有成本(COO)。持有成本,雖然受許多因素影響,但大幅度受到每次處理的基材數量,即,生產製程的產量,以及製程材料的成本影響。已發現批次處理對增加產能的嘗試而言是大有可為的。但是,在增加的基材數量上提供均勻的製程條件是一個具挑戰性的課題。
除此之外,已顯示出電漿輔助ALD或CVD製程、UV輔助(光輔助)ALD或CVD製程、以及直接擁有提供至處理區的離子輔助的ALD或CVD製程對於某些沉積製程是有利的。例如,UV和電漿輔助製程已顯示出可提供高k介電材料良好的薄膜品質,這在元件規格驅近次65奈米應用時更加需要。電漿輔助ALD或CVD也顯示出可降低熱預算及製程時間要求,與類似的熱輔助製程相比。
若在如上所述之ALD或CVD製程、UV輔助(光輔助)ALD或CVD製程、以及直接擁有提供至處理區的離子 輔助的電漿輔助ALD或CVD製程之該等製程加入其他輔助處理,則在增加的基材數量上提供均勻的製程條件是更具挑戰性的。
電漿輔助ALD製程使用遠端電漿產生,以嘗試在批次腔室內將基材暴露在均勻的電漿條件下。電漿係經導入通過一傳送系統,例如批次設備的氣體傳送系統。但是,此製程可能受到電漿在進入該處理區域之前即回複(relaxation)的困擾。
因此,需要一種在擁有UV輔助的ALD或CVD製程期間在批次設備內均勻且有效地沉積材料之方法。
本發明大體來說提供一種沉積材料的方法,並且更明確地說,本發明之實施例係有關於使用光激發技術來沉積阻障層、種層、導電材料、以及介電材料的化學氣相沉積製程以及原子層沉積製程。本發明之實施例大體來說提供輔助製程方法及設備,其中可執行該輔助製程以提供均勻沉積的材料。
根據一實施例,提供在基材上形成金屬氮化物的方法。該方法包含在一處理腔室內設置一基材,使該基材暴露在擁有一含金屬前驅物以及一含氮前驅物的沉積氣體中,在該處理腔室內使該沉積氣體暴露在從UV來源衍生出的能量束中,以及在該基材上沉積一金屬氮化物。在一實施例中,該基材係在沉積該金屬氮化物之前的前處理期 間暴露在該能量束中,或者該基材係在沉積該金屬氮化物之後的後處理期間暴露在該能量束中。
根據另一實施例,提供一種在基材上形成金屬氧化物的方法,該方法包含在一處理腔室內設置一基材,使該基材暴露在擁有一含金屬前驅物以及一含氧前驅物的沉積氣體中,使該沉積氣體暴露在從該處理腔室內之UV來源衍生出的能量束中,以及在該基材上沉積一金屬氧化物。在一實施例中,該基材係在沉積該金屬氧化物之前的前處理期間暴露在該能量束中。在一實施例中,該基材係在沉積該金屬氧化物之後的後處理期間暴露在該能量束中。
根據另一實施例,提供一種在基材上形成金屬層的方法,該方法包含在一處理腔室內設置一基材,使該基材暴露在擁有一含金屬前驅物以及一還原氣體的沉積氣體中,使該沉積氣體暴露在從該處理腔室內之UV來源衍生出的能量束中,以及在該基材上沉積一金屬層。在一實施例中,該基材係在沉積該金屬層之前的前處理期間暴露在該能量束中。在一實施例中,該基材係在沉積該金屬層之後的後處理期間暴露在該能量束中。
本發明大體來說提供利用組件批次處理半導體基材的設備及方法,以藉由所產生的離子來輔助該等製程。在本發明之一實施例中,提供擁有一激發組件之批次處理腔室,其係設置在該批次處理腔室外罩內。可用於在此所述 之一實施例之批次處理腔室的範例係可由加州聖塔克拉拉的應用材料公司取得之FLEXSTAR®系統。
一般而言,可產生製程氣體之激發物種以如在此所述般輔助ALD或CVD製程。這些物種可利用電漿輔助、UV輔助(光輔助)、離子輔助(例如,離子源產生的離子)、或其組合物來激發。該等物種係在該腔室外罩中該處理區域內或鄰近處激發,以避免該受激發態在該等離子抵達該批次處理腔室的處理區域之前回復。
在此所提及之「基材」包含,但不限於,半導體晶圓、半導體工作件、及其他工作件,例如光學板(optical plank)、記憶體磁碟和諸如此類者。本發明之實施例可應用在任何其上藉由在此所述之方法沉積材料之一般的平坦工作件上。
應將「垂直方向」和「水平方向」理解為表示相對方向。因此,應將水平方向理解為與該垂直方向實質上垂直的方向,且反之亦然。但是,所述實施例和態樣可以全體旋轉,而使指稱為垂直方向的維度定向為水平並且,同時,使指稱為水平方向的維度定向為垂直,此亦落在本發明範圍內。
可用於在此所述實施例之ALD或CVD製程的批次處理腔室在2005年10月13號提出申請之共同讓渡之標題為「擁有注入及排出氣體用之相對氣室的腔室」之美國專利申請案第11/249,555號中描述,其在此藉由引用的方式併入本文中,以提供對於腔室、加熱系統、氣體傳送系統、 以及排放系統更進一步的描述。
硬體
第1圖示出擁有內腔室101(例如,石英腔室),以及受控制的注入及排出的批次處理腔室之一實施例。通常,該注入組件150和該排出組件170的溫度是受到控制的,以避免製程氣體凝結。第1圖係批次處理腔室100的剖面側視圖。該批次處理腔室100一般含有界定出處理區域117的內腔室101,其係經配置以容納堆疊在一基材舟120內的一批基材121。在該處理區域內提供欲利用各種沉積製程處理之該等基材,例如ALD製程或CVD製程。一般來說,在該內腔室101周圍設置一或多個加熱器區塊(未示出),並且係經配置以加熱提供在該處理區域117內的基材121。在一實施例中,該內腔室101可以是例如石英腔室。一外腔室113通常係配置在該內腔室101周圍。可在該外腔室113和任何加熱器之間提供一或多個絕熱體(未示出),以使該外腔室保持冷卻。
該等加熱器區塊和該等絕熱體之範例,其可用於第1圖所示實施例中,在第2圖之實施例中示出。第2圖示出一或多個加熱器區塊211,其係設置在該內腔室201周圍,並且係經配置來加熱提供在該處理區域內的該等基材。一外腔室213通常係設置在該內腔室201周圍。在一實施例中,該內腔室201可以是,例如,石英腔室。
第1圖示出該內腔室101,例如石英腔室,通常包含 一腔室主體,其擁有位於底部的開口、形成在該腔室主體一側上的注入氣室(injector pocket)、形成在該腔室主體該注入氣室相反側上的排出氣室(exhaust pocket)。該內腔室101擁有與該基材舟120者類似的圓筒形狀。因此,可將該處理區域117保持得很小。縮小的處理區域減少每一批次的製程氣體量,並縮短批次處理期間的停留時間。
在一實施例中,該排出氣室103和該注入氣室104可利用銑在內腔室101之腔室主體上的狹縫焊接在適當位置上。根據一實施例,該注入氣室和該排出氣室是平坦的石英管,其一端焊接在該腔室主體上,並且一端是開放的。該注入氣室104和該排出氣室103係經配置以容納注入組件150和排出組件170。如在2005年10月13號提出申請之標題為「擁有注入及排出氣體用之相對氣室的腔室」之美國專利申請案第11/249,555號中更詳細描述者,藉由如上引用而併入,注入組件150和排出組件170的溫度通常可以是受到控制的。此外,一支撐該內(石英)腔室的支撐板更與設置在內腔室101底部開口下方的負載鎖定室連接。該基材舟120可透過該負載鎖定室載入及載出。該基材舟120可在該處理區域117和該負載鎖定室之間透過該內腔室底部處的開口垂直移動。
可在此間所述處理期間用於批次處理腔室之基材舟的範例在2005年8月31號提出申請之標題為「批次沉積設備及壓縮基材舟」之美國專利申請案第11/216,969號中進一步描述,其在此藉由引用的方式併入本文中。載入及 載出用於批次處理之基材舟的方法及設備之範例在2005年9月30號提出申請之標題為「批次晶圓處理系統」之美國專利申請案第11/242,301號中進一步描述,其在此藉由引用的方式併入本文中。
該等加熱器區塊通常係包圍該內腔室101的外圍,除了該注入氣室104和該排出氣室103附近之外。根據另一實施例(未示出),該等加熱器區塊211也可包圍該注入氣室104及/或該排出氣室103。利用該等加熱器區塊透過該內腔室101加熱該等基材121至適當溫度。該等加熱器係經控制以均勻加熱該等基材。在一實施例中,一批次處理中之該等基材121上的若干點達到相同設定點溫度的正負1℃。該批次處理腔室100之配置改善批次處理的溫度均勻性。例如,一圓筒狀的內腔室101使該等基材121的邊緣與該內腔室之間的距離一樣遠。此外,該等加熱器可有多個可控制區,以調整各區之間的溫度差異。該等加熱器區塊可由設置在多個垂直區內的電阻加熱器構成。在一範例中,該等加熱器區塊可以是陶瓷電阻加熱器。
第1圖示出該注入氣室104可焊接在該腔室主體之一側上,界定出與該處理區域117交流的注入空間。當該基材舟位於處理位置時,該注入空間通常沿著該基材舟120的整個高度延伸。因此,配置在該注入氣室內的注入組件150可提供水平的製程氣體流至每一個基材121。
形成一凹槽以支持該注入氣室104的側壁。該注入組件係隔熱的,例如,藉由密封件154。密封件154,其可以 是O型環或其他適合元件,也提供真空密封,以控制該內腔室101內的壓力。可能希求該注入組件的熱隔離,以獨立控制該注入器的溫度。
因為該處理區域117和該注入空間在製程期間通常保持在真空狀態,故也可排空內腔室101和外腔室113之間的外部空間。將該外部空間保持在降低的壓力下可降低壓力在內腔室101上產生的應力。也可在腔室100的適當部件之間設置其他真空密封件,例如O型環,以控制該處理區域117的壓力、施加在內腔室101上的真空/壓力應力,以使通入的製程氣體之氣流僅朝向該處理區域流動。此外,可直接或透過其他排氣室(未示出)將一或多個真空幫浦與該內腔室連接,以控制該內腔室101內的壓力。
批次處理腔室內各個零組件的溫度可以是可獨立控制的,特別是欲在該批次處理腔室內執行沉積製程時。若該注入組件的溫度太低,注入的氣體可能會凝結而停留在該注入組件的表面上,其可產生微粒並影響該腔室製程。若該注入組件的溫度高到足以引起氣相分解及/或表面分解,其可「阻塞」該注入組件內的路徑。一批次處理腔室的注入組件係經加熱至比所注入氣體之分解溫度低並且比該氣體的凝結溫度高之溫度。該注入組件的溫度通常與該處理區域內的製程溫度不同。在一範例中,可將基材加熱至約600℃,而原子層沉積處理期間該注入組件的溫度約為80℃。因此,該注入組件的溫度是獨立控制的。
第1圖示出該排出氣室103可焊接在該腔室主體之一 側上,界定出與該處理區域117交流的排出空間。當該基材舟位於處理位置時,該排出空間通常覆蓋該基材舟120的整個高度,而使配置在該排出氣室內的排出組件可提供水平的製程氣體流至每一個基材121。
形成一凹槽以支持該排出氣室103的側壁。該排出組件係隔熱的,例如,藉由密封件174。密封件174,其可以是O型環或其他適合元件,也提供真空密封,以便控制該內腔室101內的壓力。可能希求該排出組件的熱隔離,以獨立控制該排出器的溫度。
因為該處理區域117和該排出空間在製程期間通常保持在真空狀態,故也可排空內腔室101和外腔室113之間的外部空間。將該外部空間保持真空可降低壓力在內腔室101上產生的應力。也可在腔室100的適當部件之間設置其他真空密封件,例如O型環,以控制該處理區域117的壓力、施加在內腔室101上的真空/壓力應力,以使通入的製程氣體之氣流僅朝向該處理區域流動。此外,可直接或透過其他排氣室(未示出)將一或多個真空幫浦與該內腔室連接,以控制該內腔室101內的壓力。
批次處理腔室內各個零組件的溫度可獨立控制,特別是欲在該批次處理腔室內執行沉積製程時。一方面,希望將該排出組件內的溫度保持得比該處理腔室的溫度低,而使沉積反應不會在該排出組件內發生。另一方面,希望加熱排出組件,而使通過該排出組件的製程氣體不會凝結而停留在該表面上,造成微粒污染。若真的造成反應副產物 在該排出組建件的沉積,則該排出組件的高溫可確保該沉積擁有良好的附著力。因此,該排出組件可獨立於該處理區域加熱。
第1圖示出另外提供一氣體來源159。該氣體來源159透過閥門158提供製程氣體,例如前驅物氣體或沉積氣體、處理氣體、載氣、以及清潔氣體,並且透過進氣通道156進入該注入組件的垂直通道155。該垂直通道155也可稱之為氣室(plenum)155或空腔155。該製程氣體經由該注入組件的開口153進入該處理區域117。該平板及開口形成一面板152,以使該氣體在該基材舟120內的基材121上平均分佈。
一般來說,載氣及清潔氣體,其可用來做為製程氣體,包含氮氣、氫氣、氬氣、氦氣、其組合物、及諸如此類者。在預處理階段期間,可用氫氣、氨氣、二硼烷(B2H6)、二矽烯(Si2H4)、二矽烷(Si2H6)、水、氟化氫、氯化氫、氧氣、臭氧、過氧化氫或其他已知氣體來做為製程氣體。在一實施例中,沉積氣體或前驅物氣體可含有鉿前驅物、矽前驅物或其組合物。
例示鉿前驅物包含含有配位基的鉿化合物,例如鹵化物、烷胺基(alkylamino)、環戊二烯基(cyclopentadienyl)、烷基、烷氧基、其衍生物或其組合物。可用來沉積含鉿材料的鉿前驅物包含四氯化鉿、四(二乙胺基)鉿((Et2N)4Hf)、四(二甲胺基)鉿((Me2N)4Hf)、四(甲基乙基胺基)鉿((MeEtN)4Hf)、二(第三丁基環戊二烯)二氯化鉿 ((tBuC5H4)2HfCl2)、二(環戊二烯)二氯化鉿((C5H5)2HfCl2)、二(乙基環戊二烯)二氯化鉿(EtC5H4)2HfCl2、二(五甲基環戊二烯)二氯化鉿((Me5C5)2HfCl2)、(五甲基環戊二烯)三氯化鉿((Me5C5)HfCl3)、二(異丙基環戊二烯)二氯化鉿((iPrC5H4)2HfCl2)、(異丙基環戊二烯)三氯化鉿((iPrC5H4)HfCl3)、二(第三丁基環戊二烯)二甲基鉿((tBuC5H4)2HfMe2)、四(乙醯丙酮)鉿((acac)4Hf)、四(六氟戊二酮)鉿((hfac)4Hf)、四(三氟戊二酮)鉿((tfac)4Hf)、四(四甲基庚二酮)鉿((thd)4Hf)、四硝酸鉿((NO3)4Hf)、四(第三丁氧基)鉿((tBuO)4Hf)、四(異丙氧基)鉿((iPrO)4Hf)、四(乙氧基)鉿((EtO)4Hf)、四(甲氧基)鉿((MeO)4Hf)、或其衍生物。例示矽前驅物包含矽烷、二矽烷、四(二甲胺基)矽烷(TDMAS)、三(二甲胺基)矽烷(tris-DMAS)、三乙醇胺(TEOA)、二氯矽烷(DCS)、六氯二矽烷(Si2Cl6)、二(第三丁胺)矽烷(BTBAS)或其衍生物。
在此所述之氣相沉積製程期間使用的其他金屬前驅物包含四氯化鋯、雙(環戊二烯)鋯(Cp2Zr)、四(二甲胺基)鋯((Me2N)4Zr)、四(二乙胺基)鋯((Et2N)4Zr)、五氟化鉭、五氯化鉭、五(第三丁氧基)鉭((tBuO)5Ta)、五(二甲胺基)鉭((Me2N)5Ta)、五(二乙胺基)鉭((Et2N)5Ta)、三(二甲胺基)鉭(第三丁胺基)((Me2N)3Ta(NtBu))、三(二乙胺基)鉭(第三丁胺基)((Et2N)3Ta(NtBu))、四氯化鈦、四碘化鈦、四(異丙氧基)鈦((iPrO)4Ti)、四(二甲胺基)鈦((Me2N)4Ti)、四(二乙 胺基)鈦((Et2N)4Ti)、三氯化鋁、三甲基鋁、二甲基鋁烷(Me2AlH)、((AMD)3La)、三((三甲基矽基)(第三丁基)胺基)鑭(((Me3Si)(tBu)N)3La)、三(二(三甲基矽基)胺基)鑭(((Me3Si)2N)3La)、三(二第三丁胺基)鑭((tBu2N)3La)、三(二異丙胺基)鑭((iPr2N)3La)、其衍生物或其組合物。
雖然第1圖僅示出一個氣體來源,但熟知技藝者會了解可連接複數個氣體來源,例如,一第一前驅物氣體來源、一第二前驅物氣體來源、以及一載氣及清潔氣體之氣體來源,至該批次處理腔室100。來自不同氣體之氣流可根據製程需要開啟或關閉。因此,可使用3或4向閥來提供該等不同氣體至該進氣通道156。或者,兩個、三個、或多個進氣通道156可水平銑在該注入組件150上,並且可提供數個垂直通道155,以通入不同製程氣體至該處理區域內。
做為一範例,注入組件250擁有多於一個進氣通道,例如,三個進氣通道256,如第2圖所示般。在一實施例中,三個進氣通道256的每一個皆經配置,以彼此獨立地供應製程氣體至該處理區域217。每一個進氣通道256皆與一垂直通道255連接。該等垂直通道255也可稱為空腔255或氣室255。該等垂直通道255更與複數個平均分佈的水平孔洞連接,並在該注入組件250中央部分上形成一垂直面板。
在內腔室101與注入組件150的相反端上,在腔室101內提供一排出氣室103。排出氣室容納排出組件170。一排 出埠176水平形成在該排出組件170上接近中央部分處。該排出埠176開放至形成在該中央部分內的垂直腔室175。該垂直腔室175更與複數個水平狹縫173連接,其係開放至該處理區域117。當透過閥門178以真空幫浦179排空該處理區域117時,製程氣體首先從該處理區域117經過該複數個水平狹縫173流至該垂直腔室175。該等製程氣體然後透過該排出埠176流入排出系統。在一態樣中,該等水平狹縫173的尺寸可改變,取決於一特定水平狹縫173和該排出埠176之間的距離,以提供該基材舟120從上至下的均勻排出。
製程氣體,例如前驅物氣體、沉積氣體、處理氣體、清潔或載氣,如下面更詳細描述般,係藉由注入組件及排出組件傳送進出處理區域117。預期每一個基材121上有均勻的氣流,並且該基材舟120內垂直排列的所有基材上皆有均勻氣流。但是,晶圓邊緣處氣流的不規則可導致不均勻。這些不規則可藉由在該注入器和該基材舟之間提供分散器160來避免。該分散器160可避免氣流直接衝撞基材邊緣。分散器160可擁有V形形狀,並且可引導來自該進氣口的氣體切線地沿著該等基材流動。
可提供各種形狀及位置的分散器。一般來說,可在該注入組件的面板和該基材舟之間提供分散器。因此,該分散器可以整合在該基材組件內及/或可設置在該內腔室101的注入氣室內。可用於本應用之腔室及方法中的分散器之各種實施例在與本案同日提出申請之標題為「擁有分 散板和注入組件之批次處理腔室」之美國專利申請案(美國專利申請案第11/381,966號)中更詳細描述,其在此藉由引用的方式併入本文中。
擁有改善的均勻性之氣流攜帶該等製程氣體的離子化物種,例如前驅物氣體或載氣或清潔氣體。氣流的均勻性也改善離子化物種的均勻性,其係用來提供電漿輔助、UV輔助、或離子輔助製程。一般來說,利用電漿、UV、離子產生的製程輔助之特徵可在於激發所通入的氣體或離子化所通入的氣體。提供製程氣流至該處理區域117的零組件係經配置以形成在每一個基材上以及在該基材舟內的該等基材上均勻沉積的材料。
以前已利用遠端電漿源執行過電漿輔助批次處理。但是,遠端電漿係在相對於該處理區域較遠的距離處產生。因此,電漿內激發物種的數量在電漿進入該處理區域時已經減少許多。遠端電漿源在電漿進入該處理區域前造成電漿的回復。
本發明大體來說提供在一批次設備內處理半導體基材的設備及方法,其中,例如基材的電漿輔助製程之電漿係在該處理區域內或接近或毗鄰該處理區域處提供。應將接近或毗鄰該處理區域理解為使電漿產生直接在該處理區域鄰近處發生,或至少在該內腔室、該注入氣室、或該注入組件中。
第1圖所示之實施例包含一電源供應器180以產生電漿,其係與該分散器160和該注入組件150的面板152連 接。電漿係產生在該分散器160和該注入組件150的面板152之間。該注入器面板係用來做為陽極,而該分散器係用來做為陰極,以在其間產生電漿。供應來產生電漿的功率可根據預期應用調整,並且可取決於離子化通入該處理區域的製程氣體內之特定物種所需的能量。因此,電漿功率可根據當時執行的製程步驟來改變。例如,就電漿輔助ALD製程而言,可在第一前驅物氣流期間、清潔或泵吸以除去該第一前驅物期間、第二前驅物氣流期間和清潔或泵吸以除去該第二前驅物期間施加不同功率。或者,可在相似的電漿功率或無電漿輔助下執行某些製程步驟。例如,可以相同功率或無功率執行清潔步驟,而在提供前驅物至該處理區域時,施加分別適用於該第一及第二前驅物的電漿功率。
如前面已提及者,阻障密封件154係設置在該注入氣室104和該注入組件150之間,並且阻障密封件174係設置在該排出氣室103和該排出組件170之間。藉此可避免製程化學品進入該批次處理腔室內任何不預期區域。此外,可利用密封件154、174提供石英腔室之真空密封。或者,該等密封件,其可以O形環或諸如此類之形式提供,可使該腔室內的不同零組件彼此電氣隔離。這在電源供應器180提供的功率增加時更加適切。施加至電極,例如該注入組件,的較高電壓可能需要該注入組件有強化的電氣隔離。
在第1圖所示實施例中,可將電漿限制在該注入組件 150的面板和該分散器160之間。藉此可避免基材直接暴露在電漿中。這可能是所欲的,以避免電漿傷害該等基材之表面。據此,該分散器提供該等基材與該電漿隔離的屏障。
在參考第1圖所述之實施例中,電漿係以水平方向產生。該電漿沿著該分散器160和該注入組件150的垂直方向延伸。因此,水平的電漿沿著該處理區域117的垂直方向延伸。該基材舟120內的該等基材係沿著整個基材堆疊暴露在該電漿下。先前描述的均勻氣流提供該電漿的離子化物種在該等晶圓上的均勻分佈。
第2圖示出擁有內腔室201,以及受控制的注入及排出之批次處理腔室的進一步實施例。通常,該注入組件250和該排出組件270的溫度是受到控制的,以避免製程氣體凝結。第2圖係批次處理腔室200的剖面上視圖。該批次處理腔室200一般含有界定出處理區域217的內腔室201,其係經配置以容納堆疊在一基材舟220內的一批基材。在該處理區域內提供欲利用各種沉積製程處理之該等基材,例如ALD製程或CVD製程。一般來說,一或多個加熱器區塊211,其係設置在該內腔室201周圍,並且係經配置以加熱提供在該處理區域內的基材。一外腔室213通常係配置在該內腔室201周圍。在第2圖中,絕熱體212係經提供在該外腔室213和任何加熱器之間,以使該外腔室保持冷卻。
該內腔室201,例如石英腔室,通常包含一腔室主體, 其擁有位於底部的開口、形成在該腔室主體一側上的注入氣室、形成在該腔室主體該注入氣室相反側上的排出氣室。該內腔室201擁有與該基材舟220者類似的圓筒形狀。因此,可將該處理區域217保持得很小。縮小的處理區域減少每一批次的製程氣體量,並縮短批次處理期間的停留時間。
該排出氣室203和該注入氣室204可利用銑在腔室主體上的狹縫焊接在適當位置上。根據另一實施例,可以連接該處理區域和該垂直腔室275的垂直排列管之形式提供該排出氣室。根據一實施例,該注入氣室204和該排出氣室203是平坦的石英管,其一端焊接在該腔室主體上,並且一端是開放的。該注入氣室204和該排出氣室203係經配置以容納注入組件250和排出組件270。注入組件250和排出組件270的溫度通常是受到控制的。
第2圖所示之實施例包含一電源供應器280以產生電漿,其係與該分散器260和該注入組件250的面板252連接。電漿係產生在該分散器260和該注入組件的面板之間。該注入器面板係用來做為陽極,而該分散器係用來做為陰極,以在其間產生電漿。供應來產生電漿的功率可根據預期應用調整,並且可取決於離子化通入該處理區域的製程氣體內之特定物種所需的能量。因此,電漿功率可根據當時執行的製程步驟來改變。例如,就電漿輔助ALD製程而言,可在第一前驅物氣流期間、清潔或泵吸以除去該第一前驅物期間、第二前驅物氣流期間和清潔或泵吸以除 去該第二前驅物期間施加不同功率。
或者,可在相似的電漿功率或無電漿輔助下執行某些製程步驟。例如,可以相同功率或無功率執行清潔步驟,而在注入個別前驅物氣體期間施加分別適用於該第一及第二前驅物的電漿功率。
在一實施例中,如第2圖所示者,可將電漿限制在該注入組件250的面板和該分散器260之間。藉此可避免基材直接暴露在電漿中。這可能是所欲的,以避免電漿傷害該等基材之表面。據此,該分散器提供該等基材與該電漿隔離的屏障。
在參考第2圖所述之實施例中,產生水平方向的電漿。該電漿沿著該分散器和該注入組件的垂直方向延伸。因此,水平的電漿沿著該處理區域217的垂直方向延伸。該基材舟220內的該等基材係沿著整個基材堆疊暴露在該電漿下。先前描述的均勻氣流提供該電漿的離子化物種在該等晶圓上的均勻分佈。
該批次處理腔室200包含一外腔室213,藉由絕熱體212與該外腔室隔離的加熱器區塊211。一內腔室201包含注入氣室204和排出氣室203,或環繞位於該處理區域內的基材舟220之排出管。該注入組件250擁有三個進氣通道256。可透過該等通道提供製程氣體至垂直通道255,並通過注入組件250之面板內的開口253進入該處理位置。該排出組件270包含排出埠276、垂直腔室275及水平狹縫273。
此外,示出一V形分散器260。與第1圖類似,一電源供應器係透過該注入組件與該注入器面板和該分散器連結,以在該注入器面板和該分散器之間產生電漿。第2圖更示出一導電篩261,其進一步將電漿限制在該分散器和該注入器面板之間的狹縫中。另外可將該分散器製作為可穿透的,以限制該電漿並加強保護該等基材不受能量微粒傷害。可穿透的分散器可改善氣流在該晶圓上的均勻性。在可穿透分散器的情況中,可以篩網形式提供該分散器。根據另一實施例(未示出),可將篩網261和可穿透篩網分散器260提供為一個單元,以提供陰極並且將該電漿限制在此陰極和作用為陽極的注入組件面板之間。電漿的限制一若要求的話一可藉由最小化或省略該注入組件和該篩網或分散器之間的縫隙來強化。但是,應了解可在形成陽極和陰極的事件相鄰元件中提供絕緣,以利電漿點火及維護。
該導電及可穿透篩網、該分散器及該注入組件的面板沿著基材在基材舟內彼此堆疊的方向延伸。在此間所述實施例中,此方向是垂直方向。該等基材是垂直堆疊的。當電漿毗鄰處理區域沿著該處理區域的整個高度產生時,一方面,可能在該處理區域內提供均勻的電漿輔助製程條件。另一方面,因為電漿係毗鄰該處理區域產生,幾乎不會產生任何激發的回復,直到該等激發物種與該處理區域內的基材接觸為止。
第3圖示出批次處理腔室300的另一實施例,在其中可執行電漿輔助ALD製程、電漿輔助CVD製程或其他電 漿輔助製程。在第3圖中,與第1圖實施例相同的元件以相同的元件符號表示。或者,這些元件可與第2圖所示實施例中者相同。為了簡潔,省略這些元件和相關目的或用法的重複描述。
一電源供應器380與該注入組件350和該排出組件370連結,以在該注入器面板和相對的排出埠之間產生電漿。
電漿係水平形成,其係與該等基材的表面平行。電漿沿著該內腔室101的處理區域117延伸。該排出埠可用來做為陰極,而該注入組件面板可用來做為陽極。鑒於陽極和陰極之間增加的距離,該電源供應器供應至該陰極和該陽極之間的電壓必須增加,以提供相同的作用在該製程氣體物種上的電場。由於增加的電位差,帶電零組件可能需要與周圍零組件之間有更進一步的電氣隔離。在第3圖中,這是由該注入組件350和該內腔室101之注入氣室之間加大的縫隙來表示。此外,該排出組件370的縫隙加大。密封件354和374的尺寸也增加,以表示進一步的電氣隔離。雖然,在石英腔室的情況中,該注入組件面板和該排出組件埠的絕緣可部分由該非導電的內腔室提供,但足夠高而可在該處理區域上產生電漿的電位可能需要該批次處理腔室300內的零組件之額外的絕緣。
第4圖示出提供執行電漿輔助製程之選擇的批次處理腔室400的進一步實施例。在第4圖中,以相同的元件符號表示與第1圖實施例或其他先前實施例相同的元件。或 者,這些元件可與第2圖所示實施例中者相同。為了簡潔,省略這些元件和相關目的或用法的重複描述。
在第4圖中,與第3圖之腔室300比較,一電極470係設置在該內腔室101內。可以配置在該腔室腔體內毗鄰該排出組件的桿狀物形式提供該電極470或該等電極470。電源供應器480與電極470和該注入組件350連結。該注入組件的面板作用為一電極。在第4圖所示實施例中,電漿係水平產生,與該基材舟內的基材之基材表面平行。所產生的電漿在該處理區域上延伸,並且接觸該等基材。
第4圖示出三個桿狀物470,做為電漿產生的電極。或者,也可用一或兩個垂直的桿狀物來做為電極。此外,也可用四或多個桿狀物來做為電極。應調整電極的數目及配置,以在該等基材上提供均勻的電漿,並且不干擾該等製程氣體之氣流的均勻性。
根據另一實施例(未示出),該等桿狀物也可設置在該注入組件面板和該基材舟之間。藉此,可發生能夠與第1圖比擬的電漿產生。該電漿係在內腔室101內,例如一石英腔室,毗鄰該基材舟產生。該電漿係在該注入組件垂直延伸的面板和垂直延伸的桿狀物組之間水平產生。藉此,可減少該等基材直接暴露在該電漿中。但是,該製程氣體物種,其已經由該電漿激發,在與該基材表面接觸之前沒有太多時間回複。做為另一種選擇(未示出),電極也可設置在該內腔室101中的其他位置。
第5和6圖示出進一步實施例。以相同的元件符號表示與第1圖實施例或其他先前實施例相同的元件。或者,這些元件可與第2圖所示實施例中者相同。為了簡潔,省略這些元件和相關目的或用法的重複描述。
就第5和6圖之實施例而言,電漿可在該注入組件內產生。在一實施例中,電漿可在該注入組件內部的垂直通道中產生。此外,該垂直通道可表示為氣室或空腔。
第5圖示出一批次處理腔室500。該注入組件550包含利用絕緣部件559彼此隔離的垂直桿狀物553。或者,該注入器550可由絕緣材料形成。一電漿電源供應器580與該上桿狀物553和該下桿狀物553連接。根據一實施例,該上桿狀物可以是陽極,並且該下桿狀物可以是陰極,而在另一實施例中,該上桿狀物可以是陰極,而該下桿狀物則是陽極。該等桿狀物形成電極以產生電漿。所產生的電漿被限制在垂直延伸的通道555中。電漿係垂直產生,並且該製程氣體之激發物種透過該注入組件面板內的開口水平進入該處理區域。
根據另一實施例,該注入器的面板可由導電材料構成,以強化對於電漿在該垂直通道內的限制。關於第5圖所述之實施例可選擇性地包含一分散器160,如第5圖所示,並且關於第1和2圖更詳細描述。
第6圖所示之實施例也包含電漿產生元件,其在該注入組件650的垂直通道內提供電漿。該電漿係在該垂直通道的側壁之間產生。一側壁是包含該等開口153的面板 152。另一側壁是提供在注入組件650主體651內的電極652。電極652形成該垂直通道相對於該面板152的側壁。與該電源供應器680連接之該兩電極係利用絕緣元件659隔離。
根據另一實施例(未示出),該注入組件的主體651可構成該等電極之一,以產生電漿。該注入器係由導電材料形成,並且不需要獨立的電極652。根據此實施例,形成該相對電極的面板也會利用絕緣元件659與該主體651連接。關於第6圖所述之實施例可選擇性地包含一分散器160,如第5圖所示,並且關於第1和2圖更詳細描述。
在此關於第1至6圖所述的實施例示出可在電漿輔助製程期間,例如ALD或CVD製程,使用的批次處理腔室。其中,該電漿輔助在該腔室內並且在該處理區域內或鄰近處提供該等製程氣體的離子化物種。電漿在該處理區域內或在該處理區域鄰近處的存在減少激發態的回復。因為電漿輔助提供該等製程氣體的離子化物種至該等基材表面,故可將電漿輔助製程歸類為一種基於該等製程氣體之激發物種的製程。
下面將描述擁有激發物種的輔助之另一種類型的製程及個別的腔室實施例。該等製程,例如ALD製程或CVD製程,係由UV輻射輔助。可用UV光來激發及/或離子化該等製程氣體的物種或,例如,以維持臭氧濃度在預期水準。從製程氣體物種的激發之觀點而言,即電子被激發至較高的激發能階,也可將批次處理期間的UV輔助歸類 為受到激發物種輔助的製程。
在以UV光照射該等製程氣體時,該等製程氣體物種被激發至高於基態。該激發取決於UV光的波長。波長可在126奈米至400奈米範圍內。該等激發物種藉由初始或加強該等前驅物或反應物的表面反應來輔助ALD或CVD製程。該加強可致使暴露時間縮短,因此而增加產量。另外,薄膜品質會因為更完整的前驅物反應而改善。
就UV輔助之薄膜成長而言,激發物種的回復時間可在製程氣體抵達該處理區域時,一遠端激發的製程氣體即已回複的程度。例如,若在遠端激發,臭氧濃度在其抵達該沉積腔室的處理區域時可能已降低。可藉由活化該腔室內的臭氧來維持較高的臭氧濃度。
第7圖示出擁有UV輔助的批次處理腔室700之一實施例。在第7圖中,以相同的元件符號表示與第1圖實施例或其他先前實施例相同的元件。或者,這些元件可與第2圖所示實施例中者相同。為了簡潔,省略這些元件和相關目的或用法的重複描述。
第7圖示出在該注入組件750之垂直通道755內垂直照射UV光之一實施例。在該垂直通道755上端提供UV光源790,並且在該垂直通道下端提供UV光源。每一個光源皆包含一燈源792以及面向該垂直通道的窗口793。該窗口材料可取決於UV波長來選擇。例如,一石英窗口可用在高至約180奈米至220奈米的波長。藍寶石、氟化鎂或氟化鈣窗口可在較短波長時用來做為窗口793。
該UV光沿著該垂直通道755垂直延伸,並在進入該處理區域之前在該注入組件內激發該等製程氣體物種。在第7圖所示實施例中,可使用UV燈,諸如填充汞或氙的氘燈或電弧燈。在該垂直通道內激發的製程氣體物種係利用該注入組件、該排出組件以及選擇性地該分散器產生的均勻氣流均勻地提供,該氣流關於第1圖更詳細地描述。
第8圖示出擁有注入組件850之批次處理腔室800之另一實施例。該實施例可用來進行UV輔助製程。在第8圖中,以相同的元件符號表示與第1圖實施例或其他先前實施例相同的元件。或者,這些元件可與第2圖所示實施例中者相同。為了簡潔,省略這些元件和相關目的或用法的重複描述。
第8圖示出該注入組件水平地照射UV光通過該面板的開口153,並且與堆疊在基材舟內的基材之基材表面平行。該UV光係藉由在垂直通道855內以惰性氣體擊出輝光放電在該垂直通道855內產生。該面板的注入面852係經配置為陽極。該注入器的主體851利用絕緣體859與該陽極電氣隔離。該垂直通道855作用為一中空陰極。
如先前關於第2圖所描述般,該注入組件可有複數個垂直通道。可用單一個垂直通道或複數個垂直通道來做為中空陰極,以在該腔室內提供UV光。
當該注入器內的電場可能太小而無法擊出輝光放電時,可在該注入器內裝設尖端854。藉此,該等尖端附近的電場強度增加,而可以較小的施加電壓來點燃輝光放 電。根據另一實施例(未示出),可省略該等尖端854,若電源供應器880提供足夠的功率來在該垂直通道內擊出該輝光放電。
第9圖示出注入組件之另一實施例。與第8圖所示實施例相比,在該垂直通道955的後端提供一獨立的導電元件950做為陰極。該陰極950係經提供有複數個小空腔。這些空腔係圓柱形狀,擁有1毫米至12毫米範圍內的微小直徑,並且係提供為附加的中空陰極陣列。藉此,提供波長對應於該垂直通道955及/或該陰極材料內的氣體之UV光的中空陰極效應可倍增。因此,該垂直通道955內以及處理基材之處理區域內的光子密度可增加。中空陰極和該等面板孔洞之間的對準確保最佳化進入該處理區域的傳輸。
可在該等中空陰極內提供尖端954。該等尖端可用來增加電場強度,因為該尖端的小彎曲度,並改善在較低電壓水準時放電之輝光的擊出。
根據另一實施例(未示出),也可在該分散器和該注入器表面,其係該面板的一側,之間產生輝光放電,藉此,該分散器係經提供做為陽極,而該注入器表面係陰極。
就輝光放電係包含在該注入器之一空間內以產生UV的所有實施例而言,可使用壓差泵吸(未示出)。在某些例子中,該等基材處的製程壓力可比用來產生UV的輝光放電所需的壓力低。在此情況中,輝光放電所使用的氣體可從該處理腔室轉移出。
就輝光放電係包含在該注入器之一空間內以產生UV的所有實施例而言,可在該注入器面板的反應器側固接上一可穿透UV薄膜(未示出)。在某些例子中,該等基材處的製程壓力可比用來產生UV的輝光放電所需的壓力高。在此情況中,來自該製程的氣體係利用一阻隔物與輝光放電用的氣體隔離。因為該阻隔物可穿透UV,故UV被傳送至該等基材。該阻隔物是薄的,以增強UV傳輸,但足夠厚以支撐高至約10托耳的製程壓力。
一般來說,就UV輔助批次處理腔室而言,UV輻射的波長,也就是光子能量,可基於在該中空陰極內使用的氣體來選擇。典型的惰性氣體和相應的基於該等激發態之再結合的放射光子能量是氦氣(例如,21.22 eV、40.82 eV、40.38 eV)、氖企(例如,16.85 eV、16.67 eV、26.9 eV)或氬氣(例如,11.83 eV、11.63 eV、13.48 eV、13.30 eV)。也可應用來自氘燈,或其他UV來源(例如汞燈),以及較柔和的UV輻射之寬譜UV。
就UV輔助批次處理腔室而言,由碳化矽(SiC)形成的承載該等基材用之晶座可適於反射UV光。該晶座輪廓及粗糙度可適於將UV光反射聚焦在該等基材表面上。藉此,利用UV輻射激發製程氣體物種的位置甚至可更接近該等基材表面。該內腔室101的圓筒狀構形有助於UV反射率相對於垂直入射增強的掠射角(glancing angle)。利用在該注入器垂直通道內的輝光放電,可在擁有適當的輝光放電條件之任何製程步驟期間提供UV輻射。如上所提及 者,該注入器空間和該處理區域內的條件可不同,若提供氣體轉移、阻隔物或其他措施。藉此,可在該腔室部件內提供適於輝光放電的條件。適當的製程條件可包含注入希望用來進行輝光放電的氣體。就來自氬氣之11.63 eV和11.83 eV的光子而言,輝光放電的最佳壓力是0.45托耳,並且碳化矽的反射率在垂直入射以及π/4時是0.4。
就需要UV輔助的CVD製程而言,預期的工作週期是連續的。就ALD製程而言,有可能因為薄膜特性及/或產能而需要UV輔助的若干情況。在可能需要光子能量來起始前驅物分子和表面鍵結位置之間的反應時,一或所有的前驅物暴露可能需要UV輔助。ALD循環尾聲時的循環清潔步驟期間可能需要UV輔助,以完成例如該表面反應,而使反應副產物的併入最小化。
如下實施例會參考第8及9圖描述。如上所述,可利用垂直延伸的陽極和垂直延伸的中空陰極來提供UV輔助製程,其中陽極和陰極係經設置而使陽極更接近容納該晶圓堆疊的基材舟。
上面關於電漿輔助製程和中空陰極效應所述之實施例也可用於離子輔助ALD或CVD批次處理腔室。因此,根據一實施例,一分散器會是陰極,而該注入器表面會是陽極。根據另一實施例,該垂直通道的注入器表面側(該垂直通道的面板側)會是陰極,而朝向該注入組件主體設置的注入器之相反側會是陽極。一般來說,電源供應器980係以一極性與先前實施例之個別零組件連接,而使離子可被提 供至該處理區域。鑒於製程氣體物種的離子化,批次處理期間的離子產生輔助也可歸類為一種受到激發物種輔助的製程。此外,也可調整該分散器以提供中空陰極效應。
然後將輝光放電所產生的離子加速朝向該處理區域。離子和中子可穿過該陰極,透過提供在其中的開口。因此,離子和中子進入該處理區域並且可利用該等離子的能量或動量來輔助製程。該等離子和中子的動能可以是約600 eV。選擇性地,可用減速柵極來降低離子能量。可以擁有施加至其上的電位之篩網的形式來提供減速柵極。該電位使該等離子減速。減速的離子可通過該柵極中的開口。因此,裝設在該注入器和該基材舟之間的帶電柵極可降低能量及動量至預期水準。
就與電漿輔助製程、UV輔助製程或離子輔助製程相關的實施例而言,可將該注入器和該排出之元件形成的電極接地,並偏壓另一個電極。該注入器或排出組件之元件可以是陽極或陰極,以產生電漿、產生UV或產生離子。一般來說,應了解可將陽極或陰極的任一者接地。
沉積材料之製程
第10-13圖示出以UV輔助之光激發沉積材料的製程1000、1100、1200、和1300之流程圖,如此間實施例所述者。可以處理腔室600執行製程1000、1100、1200、和1300,例如此間範例、或其他適合腔室和設備所描述者。此類適合腔室之一是在2005年6月21號提出申請之標題為「利 用光激發處理基材及薄膜的方法」之共案審查中的美國專利申請案第11/157,567號中描述,其在此藉由引用至不與本說明書相違背的程度下併入本文中。在此所述製程可用來沉積阻障材料(第10圖),例如鉭和氮化鉭,介電材料(第11圖),例如二氧化釕、二氧化銥、三氧化二銥、二氧化鋯、二氧化鉿、三氧化二鋁、五氧化二鉭、二氧化鈦、二氧化銠、氧化鈀、氧化鋨、氧化鉑、氧化釩、五氧化二釩、三氧化二釩、十一氧化六釩、鈦酸鍶鋇(BST)、鋯鈦酸鉛(PZT)、鈦酸鋇鍶(SBT)、稀土氧化物(Ln2O3)、及其矽酸鹽,導電材料(第12圖),例如氮化鎢、氮化鈦、和銅,以及種層材料(第13圖),例如釕、銥、鎢、鉭、氮化鉭、銠、以及鉑。可利用在此所述前驅物和製程沉積之其他材料包含氮化物,例如氮化硼、氮化鉿、氮化鋁、以及氮化鋯,和金屬硼化物,例如硼化鎂、硼化釩、硼化鉿、硼化鈦、硼化鎢、以及硼化鉭。該等材料可在基材上沉積為層,以形成例如積體電路的電子特徵。
阻障材料
第10圖示出沉積阻障材料之製程1000的流程圖,如此間實施例所述者。該基材可設置在處理腔室內(步驟1010),選擇性地暴露在預處理製程(步驟1020)中,並且加熱至一預定溫度(步驟1030)。隨後,可在該基材上沉積一阻障材料(步驟1040)。該基材可選擇性地暴露在一後沉積處理製程中(步驟1050),並且該處理腔室可選擇性地暴露 在一腔室清潔製程中(步驟1060)。
在步驟1010期間,可將該基材設置在一處理腔室內。該處理腔室可以是單晶圓腔室或含有多個晶圓或基材(例如,25、50、100個或更多)的批次腔室。該基材可保持在一固定位置上,但較佳地,藉由一支撐台座旋轉。選擇性地,可在製程1000的一或多個步驟期間將基材作索引。
可在製程1000期間使用處理腔室600,在第7圖中示出,以如此間範例所述般在基材121上沉積阻障材料。在一範例中,可在處理腔室600內之基材支撐台座上以高至約120 rpm(每分鐘轉數)的速率旋轉基材121。或者,可將基材121設置在基材支撐台座上,並且在該沉積製程期間不加以旋轉。
在一實施例中,在步驟1020期間,該基材121係選擇性地暴露在至少一種預處理製程中。該基材表面可能含有原氧化物,其在預處理期間被除去。可利用一直接光激發系統所產生的能量束來預處理該基材,以在步驟1040沉積阻障材料之前從該基材表面上除去該等原氧化物。可在該預處理製程期間使一製程氣體接觸該基材。該製程氣體可含有氬氣、氮氣、氦氣、氫氣、形成氣體、或其組合物。該預處理製程可持續一段約2分鐘至約10分鐘範圍內的時間,以促進光激發製程期間原氧化物的移除。此外,可在步驟1020期間將該基材121加熱至約100℃至約800℃範圍內的溫度,較佳地,約200℃至約600℃,並且更佳地,約300℃至約500℃,以促進製程1000期間原氧化物的移 除。
範例提供在步驟1020期間,基材121可暴露在燈源792所產生的能量束中。燈源792可提供擁有約2 eV至約10 eV範圍內的光子能量之能量束,例如約3.0 eV至約9.84 eV。在另一範例中,燈源792提供波長在約123奈米至約500奈米範圍內的UV輻射能量束。可能量化燈源792一段足以除去氧化物的時間。該能量化時間係基於窗口793的尺寸及形狀和該基材旋轉速度來選擇。在一實施例中,燈源792係經能量化一段約2分鐘至約10分鐘範圍內的時間,以促進光激發製程期間原氧化物的移除。在一範例中,可在步驟1020期間將基材121加熱至約100℃至約800℃範圍內的溫度。在另一範例中,可在步驟1020期間將該基材121加熱至約300℃至約500℃範圍內的溫度,而燈源792可提供擁有約2 eV至約10 eV範圍內的光子能量之能量束一段約2分鐘至約5分鐘範圍內的時間,以促進原氧化物的移除。在一範例中,該能量束擁有約3.2 eV至約4.5eV範圍內的光子能量約3分鐘。
在另一實施例中,可在步驟1020之預處理製程期間,藉由含有能量傳輸氣體之製程氣體的存在之光激發製程來提升原氧化物的移除。該能量傳輸氣體可以是氖氣、氬氣、氪氣、氙氣、溴化氬、氯化氬、溴化氪、氯化氪、氟化氪、氟化氙(例如,二氟化氙)、氯化氙、溴化氙、氟氣、氯氣、溴氣、其準分子、其自由基、其衍生物、或其組合物。在某些實施例中,除了至少一種能量傳輸氣體之外,該製程 氣體也可包含氮氣(N2)、氫氣(H2)、形成氣體(例如氮氣/氫氣或氬氣/氫氣)。
在一範例中,可藉由在步驟1020期間提供該製程氣體至處理腔室600的內腔室101來使基材121暴露在含有能量傳輸氣體的製程氣體中。可從氣體來源159通過面板152提供該能量傳輸氣體。與和基材121的距離相比,該製程氣體和燈源792間的鄰近性能夠輕易激發其中的能量傳輸氣體。當該能量傳輸氣體去激發(de-excite)並移動接近基材121時,該能量係經有效率地傳輸至基材121表面,因此促進原氧化物的移除。
在另一實施例中,可在步驟1020之預處理製程期間,藉由含有有機蒸氣之製程氣體的存在之光激發製程來提升原氧化物的移除。在一範例中,可使該基材暴露在含有環芳碳氫化合物的製程氣體中。該環芳碳氫化合物可在UV輻射存在的環境下。可在預處理製程期間使用的單環芳香碳氫化合物和多環芳香碳氫化合物包含醌(quinone)、羥基醌(hydroxyquinone)(對苯二酚(hydroquinone))、蒽(anthracene)、萘(naphthalene)、菲(phenanthracene)、其衍生物、或其組合物。在另一範例中,可使該基材暴露在含有其他碳氫化合物之製程氣體中,例如不飽和碳氫化合物,包含乙烯、乙炔、丙烯、烷基衍生物、鹵化衍生物、或其組合物。在另一範例中,在步驟1020之預處理製程期間,該有機蒸氣可含有烷類化合物。
在一範例中,在步驟1020期間,可由燈源產生波長在 123奈米至約500奈米範圍內之UV輻射。在另一實施例中,多環芳香碳氫化合物可在UV存在下除去原氧化物,藉由與該等原氧化物內之氧原子反應。在另一實施例中,可藉由使基材暴露在醌或羥基醌中同時形成衍生產物來除去原生氧化物。可利用真空幫浦製程將該衍生產物從該處理腔室除去。
在步驟1030,可在預處理製程期間或之後將該基材121加熱至預定溫度。該基材121係在步驟1040沉積該阻障材料之前加熱。該基材可利用該基材支撐內的嵌入式加熱元件、該能量束(例如,UV源)、或其組合來加熱。一般來說,該基材係經加熱足夠久以得到預期溫度,例如一段約15秒至約30分鐘範圍內的時間,較佳地,約30秒至約20分鐘,並且更佳地,從約1分鐘至約10分鐘。在一實施例中,可將該基材加熱至約200℃至約1000℃範圍內的溫度,較佳地,約400℃至約850℃,並且更佳地,約550℃至約800℃。在另一實施例中,可將該基材加熱至低於約550℃,較佳地,低於約450℃。
在一範例中,可在處理腔室600內將基材121加熱至該預定溫度。該預定溫度可在約300℃至約500℃範圍內。可藉由從電源供應器施加功率至加熱元件,例如加熱器區塊211,來加熱該基材121。
在一實施例中,在步驟1040之沉積製程期間在該基材上沉積阻障材料。該阻障材料可包含,例如,一或多層鈦(Ti)、氮化鈦(TiN)、鉭(Ta)、氮化鉭(TaNx)、鎢(W)、或氮 化鎢(WNx)、除了其他的之外,在該基材上。可藉由在該沉積製程期間將該基材暴露在至少一種沉積氣體中來形成該阻障層材料。在一範例中,該沉積製程係擁有沉積氣體的CVD製程,該沉積氣體可包含鉭前驅物、鈦前驅物、或鎢前驅物和氮前驅物或含有兩種來源的前驅物。使用CVD技術,可藉由熱分解前述前驅物來形成該一或多個阻障層。或者,該沉積製程可以是擁有至少兩種沉積氣體的ALD製程,因此,該基材係相繼暴露在鉭前驅物、鈦前驅物、或鎢前驅物和氮前驅物中。該沉積製程可以是熱製程、自由基製程、或其組合。例如,該基材可在藉由直接光激發系統所產生的能量束的存在下暴露在製程氣體中。
當欲形成氮化物基底的阻障層時,例如氮化鈦(TiNx)、氮化鉭(TaNx)或氮化鎢(WNx),提供氮氣(N2)至該處理腔室。氮氣流速可在約100 sccm至約2000 sccm範圍內。在步驟1040形成阻障材料的適合氮前驅物之範例包含氨氣(NH3)、聯氨(N2H4)、有機胺、有機聯氨、有機二氮雜苯(diazines)(例如,甲基二氮雜苯((H3C)NNH))、矽烷基疊氮化物(silylazides)、矽烷基聯氨(silyhydrazines)、氫疊氮酸(HN3)、氰化氫(HCN)、原子氮、氮氣(N2)、其衍生物、或其組合物。做為氮前驅物之有機胺包含RxNH3-x,其中R是獨立的烷基或芳烴基(aryl group),並且x是1、2或3。有機胺的範例包含三甲胺((CH3)3N)、二甲胺((CH3)2NH)、甲胺((CH3)NH2)、三乙胺((CH3CH2)3N)、二乙胺((CH3CH2)2NH)、乙胺((CH3CH2)NH2)、第三丁胺 (((CH3)3C)NH2)、其衍生物、或其組合物。做為氮前驅物之有機聯氨包含RxN2H4-x,其中每一個R是獨立的烷基或芳烴基,並且x是1、2、3、或4。有機聯氨的範例包含甲基聯氨((CH3)N2H3)、二甲基聯氨((CH3)2N2H2)、乙基聯氨((CH3CH2)N2H3)、二乙基聯氨((CH3CH2)2N2H2)、第三丁基聯氨(((CH3)3C)N2H3)、二第三丁基聯氨(((CH3)3C)2N2H2)、其自由基、其電漿、其衍生物、或其組合物。
該鎢前驅物可選自六氟化鎢(WF6)和六羰鎢(W(CO)6)。該含鉭前驅物可選自,例如,五氯化鉭、五(二乙胺基)鉭(PDEAT)(Ta(Net2)5)、五((乙基)(甲基)胺基)鉭(PEMAT)(Ta(N(Et)(Me))5)、以及五(二甲胺基)鉭(PDMAT)(Ta(Nme2)5),除了其他的之外。該含鈦前驅物可選自,例如,四氯化鈦(TiCl4)、四(二乙胺基)鈦(TDEAT)(Ti(Net2)4)、四((乙基)(甲基)胺基)鈦(TEMAT)(Ti(N(Et)(Me))4)、以及四(二甲胺基)鈦(TDMAT)(Ti(NMe2)4),除了其他的之外。
適合的還原氣體包含傳統還原劑,例如,氫(例如,氫氣或原子氫)、氨氣(NH3)、矽烷(SiH4)、二矽烷(Si2H6)、三矽烷(Si3H8)、四矽烷(Si4H10)、二甲基矽烷(SiC2H8)、甲基矽烷(SiCH6)、乙基矽烷(SiC2H8)、一氯矽烷(ClSiH3)、二氯矽烷(Cl2SiH2)、六氯二矽烷(Si2Cl6)、硼烷(BH3)、二硼烷(B2H6)、三硼烷、四硼烷、五硼烷、烷基硼烷,例如三乙基硼烷(Et3B)、其衍生物及其組合物。
在一範例中,可在步驟1040之沉積製程期間於處理腔 室600內的基材121上沉積阻障材料。在一實施例中,可在CVD製程期間將基材121暴露在含有鎢前驅物、含鈦前驅物、或含鉭前驅物及氮前驅物的製程氣體中。該等前驅物通常係從氣體來源159透過面板152提供至內腔室101。
在一實施例中,可在步驟1040藉由進氣通道156同步,如在傳統CVD製程期間或相繼,如在ALD製程期間,將該等前驅物通入該處理腔室600或接觸基材121。該ALD製程可將該基材121暴露在至少兩種製程氣體中,因此,該基材121係相繼暴露在一第一前驅物,例如含鎢前驅物、含鈦前驅物、或含鉭前驅物,以及一第二前驅物,例如氮前驅物中。在沉積鎢層時,預期到該第一前驅物係含鎢前驅物,例如六氟化鎢,而該第二前驅物係還原氣體,例如二硼烷。雖然示出一進氣通道156,但預期到該第一前驅物和該第二前驅物係以不同的氣線提供至製程腔室600。可控制每一條氣線的溫度。
對於CVD和ALD製程及可調整之設備(例如,併入UV輻射源)的描述和可用來沉積阻障材料之化學前驅物在2004年12月21號核准之共同讓渡之標題為「用於金屬氧化物閘極電極之氮化鎢之週期沉積」之美國專利第6,833,161號、2005年10月4號核准之標題為「氮化鉭層的形成」之美國專利第6,951,804號、2006年5月23號核准之標題為「銅金屬化之ALD氮化鉭的整合」之美國專利第7,049,226號、2003年8月19號核准之標題為「銅內連線組障層結構及其形成」之美國專利第6,607,976號、2005 年6月28號核准之標題為「鈦及氮化鈦層之整合」之美國專利第6,911,391號、以及2003年6月12號公開之標題為「耐火金屬氮化矽之週期沉積」之美國專利申請公開案第2003-0108674號、2006年1月12號公開之標題為「使用原子層沉積技術沉積鎢層之方法」之美國專利公開案第2006-0009034號中進一步揭示,所有皆在此藉由引用其整體的方式併入本文中。
例如,當含鈦前驅物和氮前驅物在該處理腔室內合併時,一含鈦材料,例如氮化鈦,係經形成在該基材表面上。沉積之氮化鈦材料展現出良好的薄膜性質,例如折射率和濕蝕刻速率。在一實施例中,可以約10埃/分鐘至約500埃/分鐘範圍內的速率來沉積該氮化鈦材料,並且沉積至約10埃至約1,000埃範圍內的厚度。
可在步驟1040期間提供載氣,以控制氮前驅物和鈦前驅物的分壓。單一晶圓處理腔室的總內壓可在約100毫托耳至約740毫托耳範圍內的壓力下,較佳地,約250毫托耳至約100托耳,並且更佳地,約500毫托耳至約50托耳。在一範例中,該處理腔室的內壓係維持在約10托耳或更低的壓力下,較佳地,約5托耳或更低,並且更佳地,約1托耳或更低。在某些實施例中,可提供載氣以將批次處理系統之氮前驅物或矽前驅物的分壓控制在約100毫托耳至約1托耳範圍內。適合的載氣之範例包含氮氣、氫氣、氬氣、氦氣、形成氣體、或其組合物。
該基材、該第一前驅物、及/或該第二前驅物可在步 驟1040之沉積製程期間暴露在該光激發系統產生的能量束或能量通量中。能量束的使用有利地增加沉積速率,並且改善表面擴散或該阻障材料內的原子遷移率,以為接踵而來的物種產生活化位置。在一實施例中,該能量束擁有約3.0 eV至約9.84 eV範圍內的能量。此外,該能量束可擁有約123奈米至約500奈米範圍內的波長。
在一範例中,燈源792提供一能量束以供應該第一前驅物或氮前驅物之至少一種的激發能量。高沉積速率和低沉積溫度產生擁有可調整特性之薄膜,其具有最小的寄生副反應。在一實施例中,該能量束或通量可擁有約4.5 eV至約9.84 eV範圍內的光子能量。
在另一實施例中,含有該阻障材料(在步驟1040中形成)的基材在步驟1050期間暴露在一後沉積處理製程中。該後沉積處理製程在沉積之後增加基材表面能量,這有利地除去揮發物及/或其他薄膜污染物(例如藉由降低氫含量)及/或退火該沉積膜。來自該沉積材料之較低的氫含量有利地增加薄膜的張應力。或者可使用至少一個燈源(例如,燈源790)來能量化一能量傳輸氣體,其接觸該基材以在沉積後增加該基材的表面能量,並除去揮發物及/或其他薄膜。
選擇性地,在步驟1050,可提供一能量傳輸氣體至處理腔室600的內腔室101。適合的能量傳輸氣體之範例包含氮氣、氫氣、氦氣、氬氣、及其組合物。範例提供在步驟1050期間,該基材121係以能量束或能量通量來處理。 在一範例中,燈源792提供一能量束以在步驟1050期間供應基材121的表面能量。在退火該阻障材料的另一範例中,該能量束或通量可擁有約3.53 eV至約9.84 eV範圍內的光子能量。此外,燈源790可產生波長約123奈米至約500奈米範圍內的能量束。一般來說,可能量化燈源790一段約1分鐘至約10分鐘範圍內的時間,以促進利用光激發的後沉積處理。
在一範例中,可藉由將該基材暴露在燈源790產生的擁有約3.2 eV至約4.5 eV範圍內的光子能量之能量束中從沉積膜表面除去揮發性化合物或污染物,該能量束係用來分解處理腔室600內的自由基。因此,準分子燈,例如溴化氙*(283奈米/4.41 eV)、溴氣*(289奈米/4.29 eV)、氯化氙*(308奈米/4.03 eV)、碘氣*(342奈米/3.63 eV)、氟化氙*(351奈米/3.53 eV)可經選擇來分解氮-氫鍵,以從氮化鈦、氮化鉭、和氮化鎢網絡除去氫。預期到可改變該基材的旋轉速度,例如,藉由增加步驟1050相對於之前的沉積步驟之旋轉速度。
在另一實施例中,可從該處理腔室600移出該基材121,隨後在步驟1060將該處理腔室600暴露在一腔室清潔製程中。可利用一光激發清潔劑來清潔該處理腔室。在一實施例中,該清潔劑包含氟。範例提供可利用燈源790在處理腔室600內光激發該清潔劑。
可在腔室清潔製程期間清潔處理腔室600,以強化沉積效能。例如,可用該腔室清潔製程來除去包含在處理腔 室600表面上的污染物或包含在窗口793上的污染物,藉此最小化通過窗口793的能量束或通量之傳輸損失,並最大化傳輸至該等氣體及表面的能量。可以比處理腔室600高的頻率清潔窗口793,例如,可在處理一些基材之後清潔處理腔室600,但窗口793則在處理每一個基材之後清潔。適合的清潔劑包含,例如,氫氣、HX(其中X=氟、氯、溴、或碘)、NX3(其中X=氟或氯)、鹵間化合物,例如XFn(其中X=氯、溴、碘而n=1、3、5、7),以及其氫化的鹵間化合物,和惰性氣體鹵化物,例如二氟化氙、四氟化氙、六氟化氙、和二氟化氪。
步驟1040期間沉積的阻障材料之元素成分可藉由控制該等化學前驅物的濃度或流速來預先決定。可藉由控制該阻障材料內鉭、鈦、鎢、氫、和氮氣的相對濃度來為特定應用調整薄膜性質。在一實施例中,可藉由改變沉積製程期間或之後UV能量的範圍來調整鉭、鈦、鎢、氫、和氮氣的元素濃度。薄膜性質包含濕蝕刻速率、乾蝕刻速率、應力、介電常數、以及諸如此類者。例如,藉由降低氫含量,所沉積的材料可擁有較高的張應力。在另一範例中,藉由降低碳含量,所沉積的材料可擁有較低的電阻。
如在此所述之製程1000期間所沉積的阻障材料因為若干物理性質而可在整個電子特徵/元件上使用。該等阻障特性抑制不同材料或元素之間的離子擴散,當阻障材料設置在其間時,例如閘極材料和電極,或低介電常數多孔材料和銅之間。在一實施例中,可在製程1000期間在基材 上將阻障材料沉積成層以形成電子特徵,例如積體電路(第14圖)。
介電材料
第11圖示出沉積介電材料之製程1100的流程圖,如此間實施例所述者。該基材可設置在處理腔室內(步驟1110),選擇性地暴露在預處理製程(步驟1120)中,並且加熱至一預定溫度(步驟1130)。隨後,可在該基材上沉積一介電材料(步驟1140)。該基材可選擇性地暴露在一後沉積處理製程中(步驟1150),並且該處理腔室可選擇性地暴露在一腔室清潔製程中(步驟1160)。
在步驟1110期間,可將該基材設置在一處理腔室內。該處理腔室可以是單晶圓腔室或含有多個晶圓或基材(例如,25、50、100個或更多)的批次腔室。該基材可保持在一固定位置上,但較佳地,藉由一支撐台座旋轉。選擇性地,可在製程1100的一或多個步驟期間將基材作索引。
可在製程1100期間使用處理腔室600,在第7圖中示出,以如此間範例所述般在基材121上沉積介電材料。在一範例中,可在處理腔室600內之基材支撐台座上以高至約120 rpm(每分鐘轉數)的速率旋轉基材121。或者,可將基材121設置在基材支撐台座上,並且在該沉積製程期間不加以旋轉。
在一實施例中,在步驟1120期間,該基材121係選擇性地暴露在至少一種預處理製程中。該基材表面可能含有 原氧化物,其在預處理期間被除去。可利用一直接光激發系統所產生的能量束來預處理該基材121,以在步驟1140沉積介電材料之前從該基材表面上除去該等原氧化物。可在該預處理製程期間使一製程氣體接觸該基材。該製程氣體可含有氬氣、氮氣、氦氣、氫氣、形成氣體、或其組合物。該預處理製程可持續一段約2分鐘至約10分鐘範圍內的時間,以促進光激發製程期間原氧化物的移除。此外,可在步驟1120期間將該基材121加熱至約100℃至約800℃範圍內的溫度,較佳地,約200℃至約600℃,並且更佳地,約300℃至約500℃,以促進製程1100期間原氧化物的移除。
範例提供在步驟1120期間,基材121可暴露在燈源792所產生的能量束中。燈源792可提供擁有約2 eV至約10 eV範圍內的光子能量之能量束,例如約3.0 eV至約9.84 eV。在另一範例中,燈源792提供波長在約123奈米至約500奈米範圍內的UV輻射能量束。可能量化燈源792一段足以除去氧化物的時間。該能量化時間係基於窗口793的尺寸及形狀和該基材旋轉速度來選擇。在一實施例中,燈源792係經能量化一段約2分鐘至約10分鐘範圍內的時間,以促進光激發製程期間原氧化物的移除。在一範例中,可在步驟1120期間將基材121加熱至約100℃至約800℃範圍內的溫度。在另一範例中,可在步驟1120期間將該基材121加熱至約300℃至約500℃範圍內的溫度,而燈源792可提供擁有約2 eV至約10 eV範圍內的光子能量 之能量束一段約2分鐘至約5分鐘範圍內的時間,以促進原氧化物的移除。在一範例中,該能量束擁有約3.2 eV至約4.5eV範圍內的光子能量約3分鐘。
在另一實施例中,可在步驟1120之預處理製程期間,藉由含有能量傳輸氣體之製程氣體的存在之光激發製程來提升原氧化物的移除。該能量傳輸氣體可以是氖氣、氬氣、氪氣、氙氣、溴化氬、氯化氬、溴化氪、氯化氪、氟化氪、氟化氙(例如,二氟化氙)、氯化氙、溴化氙、氟氣、氯氣、溴氣、其準分子、其自由基、其衍生物、或其組合物。在某些實施例中,除了至少一種能量傳輸氣體之外,該製程氣體也可包含氮氣(N2)、氫氣(H2)、形成氣體(例如氮氣/氫氣或氬氣/氫氣)。
在一範例中,可藉由在步驟1120期間提供該製程氣體至處理腔室600的內腔室101來使基材121暴露在含有能量傳輸氣體的製程氣體中。可從氣體來源159通過面板152提供該能量傳輸氣體。與和基材121的距離相比,該製程氣體和燈源792間的鄰近性能夠輕易激發其中的能量傳輸氣體。當該能量傳輸氣體去激發(de-excite)並移動接近基材121時,該能量係經有效率地傳輸至基材121表面,因此促進原氧化物的移除。
在另一實施例中,可在步驟1120之預處理製程期間,藉由含有有機蒸氣之製程氣體的存在之光激發製程來提升原氧化物的移除。在一範例中,可使該基材暴露在含有環芳碳氫化合物的製程氣體中。該環芳碳氫化合物可在UV 輻射存在的環境下。可在預處理製程期間使用的單環芳香碳氫化合物和多環芳香碳氫化合物包含醌(quinone)、羥基醌(dydroxyquinone)(對苯二酚(hydroquinone))、二氮菲蒽(anthracene)、萘(naphthalene)、菲(phenanthracene)、其衍生物、或其組合物。在另一範例中,可使該基材暴露在含有其他碳氫化合物之製程氣體中,例如不飽和碳氫化合物,包含乙烯、乙炔、丙烯、烷基衍生物、鹵化衍生物、或其組合物。在另一範例中,在步驟1120之預處理製程期間,該有機蒸氣可含有烷類化合物。
在一範例中,在步驟1120期間,可由燈源產生波長在123奈米至約500奈米範圍內之UV輻射。在另一實施例中,多環芳香碳氫化合物可在UV存在下除去原氧化物,藉由與該等原氧化物內之氧原子反應。在另一實施例中,可藉由使基材暴露在醌或羥基醌中同時形成衍生產物來除去原生氧化物。可利用真空幫浦製程將該衍生產物從該處理腔室除去。
在步驟1130,可在預處理製程期間或之後將該基材121加熱至預定溫度。該基材121係在步驟1140沉積該介電材料之前加熱。該基材可利用該基材支撐內的嵌入式加熱元件、該能量束(例如,UV源)、或其組合來加熱。一般來說,該基材係經加熱足夠久以得到預期溫度,例如一段約15秒至約30分鐘範圍內的時間,較佳地,約30秒至約20分鐘,並且更佳地,從約1分鐘至約10分鐘。在一實施例中,可將該基材加熱至約200℃至約1000℃範圍內的 溫度,較佳地,約400℃至約850℃,並且更佳地,約550℃至約800℃。在另一實施例中,可將該基材加熱至低於約550℃,較佳地,低於約450℃。
在一範例中,可在處理腔室600內將基材121加熱至該預定溫度。該預定溫度可在約300℃至約500℃範圍內。可藉由從電源供應器施加功率至加熱元件,例如加熱器區塊211,來加熱該基材121。
在一實施例中,在步驟1140之沉積製程期間在該基材上沉積介電材料。可藉由在該沉積製程期間將該基材暴露在至少一種沉積氣體中來形成該介電材料。在一範例中,該沉積製程係擁有沉積氣體的CVD製程,該沉積氣體可包含第一前驅物和氧前驅物,或含有該第一前驅物和氧前驅物兩者之前驅物。或者,該沉積製程可以是擁有至少兩種沉積氣體的ALD製程,因此,該基材係相繼暴露在第一前驅物和氧前驅物中。該沉積製程可以是熱製程、自由基製程、或其組合。例如,該基材可在藉由直接光激發系統所產生的能量束的存在下暴露在製程氣體中。
該介電材料含有氧及至少一種金屬,例如鉿、鋯、鈦、鉭、鑭、釕、鋁或其組合物。該介電材料之組成可包含含鉿材料,例如氧化鉿(HfOx或二氧化鉿)、氮氧化鉿(HfOxNy)、鋁酸鉿(HfAlxOy)、鑭化鉿(HfLaxOy),含鋯材料,例如氧化鋯(ZrOx或二氧化鉿)、氮氧化鋯(ZrOxNy)、鋁酸鋯(ZrAlxOy)、鑭化鉿(ZrLaxOy),其他含鋁材料或含鑭材料,例如氧化鋁(三氧化二鋁或AlOx)、氮氧化鋁(AlOxNy)、 氧化鋁鑭(LaAlxOy)、氧化鑭(LaOx或三氧化二鑭),其衍生物或其組合物。其它介電材料可包含氧化鈦(TiOx或二氧化鈦)、氮氧化鈦(TiOxNy)、氧化鉭(TaOx或五氧化二鉭)及氮氧化鉭(TaOxNy)。可用的介電材料層積膜包含二氧化鉿/三氧化二鋁、三氧化二鑭/三氧化二鋁以及二氧化鉿/三氧化二鑭/三氧化二鋁。該介電材料也可包含,例如,二氧化釕、二氧化銥、三氧化二銥、二氧化鋯、二氧化鉿、三氧化二鋁、五氧化二鉭、二氧化鈦、鈦酸鋇鍶(SBT)、鋯鈦酸鉛(PZT)、鈦酸鍶鋇(BST)、二氧化銠、氧化鈀、氧化鋨、氧化鉑、氧化釩、五氧化二釩、三氧化二釩、十一氧化六釩,除了其他的之外。
在步驟1140期間形成介電材料之適合氧前驅物的範例包含原子氧(O)、氧氣(O2)、臭氧(O3)、水(H2O)、過氧化氫(H2O2)、有機過氧化物、酒精、一氧化二氮(N2O)、一氧化氮(NO)、二氧化氮(NO2)、五氧化二氮(N2O5)、其電漿、其自由基、其衍生物、或其組合物。在一實施例中,可藉由合併臭氧和水來形成氧前驅物,以提供強氧化劑。該氧前驅物通常含有氫氧自由基(OH),其擁有強氧化力。該臭氧濃度可相對於水濃度改變。臭氧對水的莫耳比可在約0.01至約30範圍內,較佳地,約0.03至約3,並且更佳地,約0.1至約1。在一範例中,從UV源衍生出的能量束可接觸氧或臭氧/水混合物,以形成含氧前驅物的臭氧。在另一實施例中,該光激發步驟期間該腔室內的能量傳輸氣體及/或環境包含氧及/或臭氧。
例示鉿前驅物包含含有配位基的鉿化合物,例如鹵化物、烷胺基(alkylamino)、環戊二烯基(cyclopentadienyl)、烷基、烷氧基、其衍生物或其組合物。可用來做為鉿前驅物的鹵化鉿化合物可包含四氯化鉿、四碘化鉿、和四溴化鉿。可用來做為鉿前驅物之烷胺鉿化合物包含(RR’N)4Hf,其中R或R’是獨立的氫、甲基、乙基、丙基或丁基。可用來沉積含鉿材料的鉿前驅物包含四(二乙胺基)鉿((Et2N)4Hf)、四(二甲胺基)鉿((Me2N)4Hf)、四(甲基乙基胺基)鉿((MeEtN)4Hf)、二(第三丁基環戊二烯)二氯化鉿((tBuC5H4)2HfCl2)、二(環戊二烯)二氯化鉿((C5H5)2HfCl2)、二(乙基環戊二烯)二氯化鉿(EtC5H4)2HfCl2、二(五甲基環戊二烯)二氯化鉿((Me5C5)2HfCl2)、(五甲基環戊二烯)三氯化鉿((Me5C5)HfCl3)、二(異丙基環戊二烯)二氯化鉿((iPrC5H4)2HfCl2)、(異丙基環戊二烯)三氯化鉿((iPrC5H4)HfCl3)、二(第三丁基環戊二烯)二甲基鉿((tBuC5H4)2HfMe2)、四(乙醯丙酮)鉿((acac)4Hf)、四(六氟戊二酮)鉿((hfac)4Hf)、四(三氟戊二酮)鉿((tfac)4Hf)、四(四甲基庚二酮)鉿((thd)4Hf)、四硝酸鉿((NO3)4Hf)、四(第三丁氧基)鉿((tBuO)4Hf)、四(異丙氧基)鉿((iPrO)4Hf)、四(乙氧基)鉿((EtO)4Hf)、四(甲氧基)鉿((MeO)4Hf)、或其衍生物。較佳地,用於此間之沉積製程期間的鉿前驅物包含四氯化鉿、四(二乙胺基)鉿或四(二甲胺基)鉿。
在另一實施例中,可藉由連續脈衝輸送金屬前驅物及 含有得自WVG系統之水蒸氣的氧化氣體來形成各種金屬氧化物或金屬氮氧化物。可藉由以其他金屬前驅物取代該鉿前驅物以形成其他介電材料來改變在此揭示之該ALD製程,例如鋁酸鉿、鋁酸鈦、氮氧化鈦、氧化鋯、氮氧化鋯、鋁酸鋯、氧化鉭、氮氧化鉭、氧化鈦、氧化鋁、氮氧化鋁、氧化鑭、氮氧化鑭、鋁酸鑭、其衍生物或其組合物。在一實施例中,同時執行兩或多種ALD製程以在一層上沉積另一層。例如,一組合製程含有一第一ALD製程以形成一第一介電材料,以及一第二ALD製程以形成一第二介電材料。可用該組合製程來產生各種含鉿材料,例如,矽酸鋁鉿或氮氧化矽鋁鉿。在一範例中,藉由在基材上沉積一第一含鉿材料,並且隨後在其上沉積一第二含鉿材料來形成一介電堆疊材料。該第一及第二含鉿材料的成分可以改變,因此一層可含有氧化鉿,而另一層可含有矽酸鉿。在一態樣中,該下層含有矽。或者,在此間所述之ALD製程期間所用的金屬前驅物包含四氯化鋯、雙(環戊二烯)鋯(Cp2Zr)、四(二甲胺基)鋯((Me2N)4Zr)、四(二乙胺基)鋯((Et2N)4Zr)、五氟化鉭、五氯化鉭、五(第三丁氧基)鉭((tBuO)5Ta)、五(二甲胺基)鉭((Me2N)5Ta)、五(二乙胺基)鉭((Et2N)5Ta)、三(二甲胺基)鉭(第三丁胺基)((Me2N)3Ta(NtBu))、三(二乙胺基)鉭(第三丁胺基)((Et2N)3Ta(NtBu))、四氯化鈦、四碘化鈦、四(異丙氧基)鈦((iPrO)4Ti)、四(二甲胺基)鈦((Me2N)4Ti)、四(二乙胺基)鈦((Et2N)4Ti)、三氯化鋁、三甲基鋁、二甲基鋁烷 (Me2AlH)、((AMD)3La)、三((三甲基矽基)(第三丁基)胺基)鑭(((Me3Si)(tBu)N)3La)、三(二(三甲基矽基)胺基)鑭(((Me3Si)2N)3La)、三(二第三丁胺基)鑭((tBu2N)3La)、三(二異丙胺基)鑭((iPr2N)3La)、其衍生物或其組合物。
該含鉭前驅物可選自,例如,五氯化鉭、五(二乙胺基)鉭(PDEAT)(Ta(Net2)5)、五((乙基)(甲基)胺基)鉭(PEMAT)(Ta(N(Et)(Me))5)、以及五(二甲胺基)鉭(PDMAT)(Ta(Nme2)5),除了其他的之外。該含鈦前驅物可選自,例如,四氯化鈦(TiCl4)、四(二乙胺基)鈦(TDEAT)(Ti(Net2)4)、四((乙基)(甲基)胺基)鈦(TEMAT)(Ti(N(Et)(Me))4)、以及四(二甲胺基)鈦(TDMAT)(Ti(NMe2)4),除了其他的之外。
適合的銠前驅物包含,例如,如下銠化合物:2,4-戊二酮銠(I)二羰基(C5H7Rh(CO)2)、三(2,4-戊二酮)銠,即乙醯丙酮化銠(III)(Rh(C5H7O2)3)、以及三(三氟-2,4-戊二酮)銠。
適合的銥前驅物包含,例如,如下銥化合物:(甲基環戊二烯)(1,5-環辛二烯)銥(I)([(CH3)C5H4](C8H12)Ir)及三丙烯銥((C3H5)3Ir)。
適合的鈀前驅物包含,例如,如下鈀化合物:二四甲基庚二酮鈀(Pd(thd)2)及雙(1,1,1,5,5,5-六氟-2,4-戊二酮)鈀(Pd(CF3COCHCOCF3)2)。
適合的鉑前驅物包含,例如,如下鉑化合物:六氟戊二酮鉑(II)(Pt(CF3COCHCOCF3)2)、(三甲基)甲基環戊二烯 鉑(IV)((CH3)3(CH3C5H4)Pt)、以及丙烯環戊二烯鉑((C3H5)(C5H5)Pt)。
適合的低氧化態氧化鋨前驅物包含,例如,如下鋨化合物:雙(環戊二烯)鋨((C5H5)2Os)、雙(五甲基環戊二烯)鋨([(CH3)5C5]2Os)、以及氧化鋨(VIII)(OsO4)。
適合的釩前驅物包含,例如,四氯化釩、氯化氧釩(VOCl)、六羰基釩(V(CO)6)和三氯化氧釩(VOCl3)。
在一範例中,可在步驟1140之沉積製程期間於處理腔室600內的基材121上沉積介電材料。在一實施例中,可在CVD製程期間將基材121暴露在含有介電材料前驅物和氧前驅物的製程氣體中。該等前驅物通常係從氣體來源159透過面板152提供至內腔室101。
在一實施例中,可在步驟1140藉由進氣通道156同步,如在傳統CVD製程期間或相繼,如在ALD製程期間,將該等前驅物通入該處理腔室或接觸基材121。該ALD製程可將該基材暴露在至少兩種製程氣體中,因此,該基材係相繼暴露在一第一前驅物及一第二前驅物中,例如氧前驅物。雖然示出一進氣通道156,但預期到該第一前驅物和該第二前驅物係以不同的氣線提供至處理腔室600。可控制每一條氣線的溫度。
對於CVD和ALD製程及可調整之設備(例如,併入UV輻射源)的描述和可用來沉積介電材料之化學前驅物在2005年2月22號核准之共同讓渡之標題為「形成閘極介電層之系統及方法」之美國專利第6,858,547號、2002年 9月19號核准之標題為「利用直接氧化法之ALD金屬氧化物沉積製程」之美國專利第7,067,439號、2003年9月16號核准之標題為「三氧化二鋁之原子層沉積(ALD)之製程條件及前驅物」之美國專利第6,620,670號、2003年12月18號公開之標題為「增強高介電常數材料之成核作用的表面預處理」之美國專利申請公開案第2003-0232501號、2003年12月8號公開之標題為「含鉿高K材料之原子層沉積的設備及方法」之美國專利公開案第2005-0271813號、2006年1月26號公開之標題為「含鉿材料之電漿處理」之美國專利公開案第2006-0019033號、2006年3月23號公開之標題為「利用三(二甲基胺)矽烷之矽酸鉿材料的氣相沉積」之美國專利公開案第2006-0062917號中進一步揭示,所有皆在此藉由引用其整體的方式併入本文中。
做為該第一前驅物,例如,鉿前驅物,以及氧前驅物係在該處理腔室內合併,一含鉿材料,例如氧化鉿材料,係經形成在該基材表面上。所沉積的氧化鉿材料展現出良好的薄膜性質,例如折射率和濕蝕刻速率。在一實施例中,可以約10埃/分鐘至約500埃/分鐘範圍內的速率來沉積該氧化鉿材料,並且沉積至約10埃至約1,000埃範圍內的厚度。氧化鉿材料可擁有例如HfxOy之化學式,其中氧:鉿原子比(Y/X)是約2或更低,例如,HfO2。在一實施例中,如在此所述般形成之材料展現出低氫含量,並包含少量的碳摻雜,其增強PMOS元件中的硼保留。
可在步驟1140期間提供載氣,以控制氧前驅物和鉿前 驅物的分壓。單一晶圓處理腔室的總內壓可在約100毫托耳至約740毫托耳範圍內的壓力下,較佳地,約250毫托耳至約100托耳,並且更佳地,約500毫托耳至約50托耳。在一範例中,該處理腔室的內壓係維持在約10托耳或更低的壓力下,較佳地,約5托耳或更低,並且更佳地,約1托耳或更低。在某些實施例中,可提供載氣以將批次處理系統之氧前驅物或鉿前驅物的分壓控制在約100毫托耳至約1托耳範圍內。適合的載氣之範例包含氮氣、氫氣、氬氣、氦氣、形成氣體、或其組合物。
該基材、該鉿前驅物、及/或該氧前驅物可在步驟1140之沉積製程期間暴露在該光激發系統產生的能量束或能量通量中。能量束的使用有利地增加沉積速率,並且改善表面擴散或該氧化鉿材料內的原子遷移率,以為接踵而來的物種產生活化位置。在一實施例中,該能量束擁有約3.0 eV至約9.84 eV範圍內的能量。此外,該能量束可擁有約123奈米至約500奈米範圍內的波長。
在一範例中,燈源790提供一能量束以供應該鉿前驅物或該氧前驅物之至少一種的激發能量。高沉積速率和低沉積溫度產生擁有可調整性質之薄膜,其具有最小的寄生副反應。在一實施例中,該能量束或通量可擁有約4.5 eV至約9.84 eV範圍內的光子能量。該基材表面和該等製程氣體也可由燈源790激發。
在另一實施例中,含有該介電材料(在步驟1140中形成)的基材在步驟1150期間暴露在一後沉積處理製程中。 該後沉積處理製程在沉積之後增加基材表面能量,這有利地除去揮發物及/或其他薄膜污染物(例如藉由降低氫含量)及/或退火該沉積膜。來自該沉積材料之較低的氫含量有利地增加薄膜的張應力。或者可使用至少一個燈源(例如,燈源790)來能量化一能量傳輸氣體,其接觸該基材以在沉積後增加該基材的表面能量,並除去揮發物及/或其他薄膜。
選擇性地,在步驟1150,可提供一能量傳輸氣體至處理腔室600的內腔室101。適合的能量傳輸氣體之範例包含氮氣、氫氣、氦氣、氬氣、及其組合物。範例提供在步驟1150期間,該基材121係以能量束或能量通量來處理。在一範例中,燈源792提供一能量束以在步驟1150期間供應基材121的表面能量。在退火該介電材料的另一範例中,該能量束或通量可擁有約3.53 eV至約9.84 eV範圍內的光子能量。此外,燈源790可產生波長約123奈米至約500奈米範圍內的能量束。一般來說,可能量化燈源790一段約1分鐘至約10分鐘範圍內的時間,以促進利用光激發的後沉積處理。
在一範例中,可藉由將該基材暴露在燈源790產生的擁有約3.2 eV至約4.5 eV範圍內的光子能量之能量束中從沉積膜表面除去揮發性化合物或污染物,該能量束係用來分解處理腔室600內的鉿前驅物和氧前驅物。因此,準分子燈,例如溴化氙*(283奈米/4.41 eV)、溴氣*(289奈米/4.29 eV)、氯化氙*(308奈米/4.03 eV)、碘氣*(342 奈米/3.63 eV)、氟化氙*(351奈米/3.53 eV)可經選擇以從二氧化鉿網絡除去氫。預期到可改變該基材的旋轉速度,例如,藉由增加步驟1150相對於之前的沉積步驟之旋轉速度。
在另一實施例中,可從該處理腔室600移出該基材121,隨後在步驟1160將該處理腔室600暴露在一腔室清潔製程中。可利用一光激發清潔劑來清潔該處理腔室。在一實施例中,該清潔劑包含氟。
可在腔室清潔製程期間清潔處理腔室600,以強化沉積效能。例如,可用該腔室清潔製程來除去包含在處理腔室600表面上的污染物或包含在窗口793上的污染物,藉此最小化通過窗口793的能量束或通量之傳輸損失,並最大化傳輸至該等氣體及表面的能量。可以比處理腔室600高的頻率清潔窗口793,例如,可在處理一些基材之後清潔處理腔室600,但窗口793則在處理每一個基材之後清潔。適合的清潔劑包含,例如,氫氣、HX(其中X=氟、氯、溴、或碘)、NX3(其中X=氟或氯)、鹵間化合物,例如XFn(其中X=氯、溴、碘而n=1、3、5、7),以及其氫化的鹵間化合物,和惰性氣體鹵化物,例如二氟化氙、四氟化氙、六氟化氙、和二氟化氪。
步驟1140期間沉積的介電材料之元素成分可藉由控制該等化學前驅物的濃度或流速來預先決定,也就是該第一前驅物和氧前驅物。可藉由控制該介電材料內該介電前驅物和氧前驅物的相對濃度來為特定應用調整薄膜性質。 在一實施例中,可藉由改變沉積製程期間或之後UV能量的範圍來調整該介電前驅物和氧前驅物的元素濃度。薄膜性質包含濕蝕刻速率、乾蝕刻速率、應力、介電常數、以及諸如此類者。例如,藉由降低氫含量,所沉積的材料可擁有較高的張應力。在另一範例中,藉由降低碳含量,所沉積的材料可擁有較低的電阻。
使用在此所述之製程1100沉積的介電材料因為若干物理性質而可在整個電子特徵/元件上使用。在一實施例中,可在製程1100期間在基材上將介電材料沉積成層以形成電子特徵,例如積體電路(第14圖)。
導電材料
第12圖示出沉積導電材料之製程1200的流程圖,如此間實施例所述者。該基材可設置在處理腔室內(步驟1210),選擇性地暴露在預處理製程(步驟1220)中,並且加熱至一預定溫度(步驟1230)。隨後,可在該基材上沉積一導電材料(步驟1240)。該基材可選擇性地暴露在一後沉積處理製程中(步驟1250),並且該處理腔室可選擇性地暴露在一腔室清潔製程中(步驟1260)。
在步驟1210期間,可將該基材設置在一處理腔室內。該處理腔室可以是單晶圓腔室或含有多個晶圓或基材(例如,25、50、100個或更多)的批次腔室。該基材可保持在一固定位置上,但較佳地,藉由一支撐台座旋轉。選擇性地,可在製程1200的一或多個步驟期間將基材作索引。
可在製程1200期間使用處理腔室600,在第7圖中示出,以如此間範例所述般在基材121上沉積導電材料。在一範例中,可在處理腔室600內之基材支撐台座上以高至約120 rpm(每分鐘轉數)的速率旋轉基材121。或者,可將基材121設置在基材支撐台座上,並且在該沉積製程期間不加以旋轉。
在一實施例中,在步驟1220期間,該基材121係選擇性地暴露在至少一種預處理製程中。該基材表面可能含有原氧化物,其在預處理期間被除去。可利用一直接光激發系統所產生的能量束來預處理該基材121,以在步驟1240沉積導電材料之前從該基材表面上除去該等原氧化物。可在該預處理製程期間使一製程氣體接觸該基材。該製程氣體可含有氬氣、氮氣、氦氣、氫氣、形成氣體、或其組合物。該預處理製程可持續一段約2分鐘至約10分鐘範圍內的時間,以促進光激發製程期間原氧化物的移除。此外,可在步驟1220期間將該基材121加熱至約100℃至約800℃範圍內的溫度,較佳地,約200℃至約600℃,並且更佳地,約300℃至約500℃,以促進製程1200期間原氧化物的移除。
範例提供在步驟1220期間,基材121可暴露在燈源792所產生的能量束中。燈源792可提供擁有約2 eV至約10 eV範圍內的光子能量之能量束,例如約3.0 eV至約9.84 eV。在另一範例中,燈源792提供波長在約123奈米至約500奈米範圍內的UV輻射能量束。可能量化燈源792 一段足以除去氧化物的時間。該能量化時間係基於窗口793的尺寸及形狀和該基材旋轉速度來選擇。在一實施例中,燈源792係經能量化一段約2分鐘至約10分鐘範圍內的時間,以促進光激發製程期間原氧化物的移除。在一範例中,可在步驟1220期間將基材121加熱至約100℃至約800℃範圍內的溫度。在另一範例中,可在步驟1220期間將該基材121加熱至約300℃至約500℃範圍內的溫度,而燈源792可提供擁有約2 eV至約10 eV範圍內的光子能量之能量束一段約2分鐘至約5分鐘範圍內的時間,以促進原氧化物的移除。在一範例中,該能量束擁有約3.2 eV至約4.5eV範圍內的光子能量約3分鐘。
在另一實施例中,可在步驟1220之預處理製程期間,藉由含有能量傳輸氣體之製程氣體的存在之光激發製程來提升原氧化物的移除。該能量傳輸氣體可以是氖氣、氬氣、氪氣、氙氣、溴化氬、氯化氬、溴化氪、氯化氪、氟化氪、氟化氙(例如,二氟化氙)、氯化氙、溴化氙、氟氣、氯氣、溴氣、其準分子、其自由基、其衍生物、或其組合物。在某些實施例中,除了至少一種能量傳輸氣體之外,該製程氣體也可包含氮氣(N2)、氫氣(H2)、形成氣體(例如氮氣/氫氣或氬氣/氫氣)。
在一範例中,可藉由在步驟1220期間提供該製程氣體至處理腔室600的內腔室101來使基材121暴露在含有能量傳輸氣體的製程氣體中。可從氣體來源159通過面板152提供該能量傳輸氣體。與和基材121的距離相比,該製程 氣體和燈源792間的鄰近性能夠輕易激發其中的能量傳輸氣體。當該能量傳輸氣體去激發(de-excite)並移動接近基材121時,該能量係經有效率地傳輸至基材121表面,因此促進原氧化物的移除。
在另一實施例中,可在步驟1220之預處理製程期間,藉由含有有機蒸氣之製程氣體的存在之光激發製程來提升原氧化物的移除。在一範例中,可使該基材暴露在含有環芳碳氫化合物的製程氣體中。該環芳碳氫化合物可在UV輻射存在的環境下。可在預處理製程期間使用的單環芳香碳氫化合物和多環芳香碳氫化合物包含醌(quinone)、羥基醌(dydroxyquinone)(對苯二酚(hydroquinone))、蒽(anthracene)、萘(naphthalene)、菲(phenanthracene)、其衍生物、或其組合物。在另一範例中,可使該基材暴露在含有其他碳氫化合物之製程氣體中,例如不飽和碳氫化合物,包含乙烯、乙炔、丙烯、烷基衍生物、鹵化衍生物、或其組合物。在另一範例中,在步驟1220之預處理製程期間,該有機蒸氣可含有烷類化合物。
在一範例中,在步驟1220期間,可由燈源產生波長在123奈米至約500奈米範圍內之UV輻射。在另一實施例中,多環芳香碳氫化合物可在UV存在下除去原氧化物,藉由與該等原氧化物內之氧原子反應。在另一實施例中,可藉由使基材暴露在醌或羥基醌中同時形成衍生產物來除去原生氧化物。可利用真空幫浦製程將該衍生產物從該處理腔室除去。
在步驟1230,可在預處理製程期間或之後將該基材121加熱至預定溫度。該基材121係在步驟1240沉積該介電材料之前加熱。該基材可利用該基材支撐內的嵌入式加熱元件、該能量束(例如,UV源)、或其組合來加熱。一般來說,該基材係經加熱足夠久以得到預期溫度,例如一段約15秒至約30分鐘範圍內的時間,較佳地,約30秒至約20分鐘,並且更佳地,從約1分鐘至約10分鐘。在一實施例中,可將該基材加熱至約200℃至約1000℃範圍內的溫度,較佳地,約400℃至約850℃,並且更佳地,約550℃至約800℃。在另一實施例中,可將該基材加熱至低於約550℃,較佳地,低於約450℃。
在一範例中,可在處理腔室600內將基材121加熱至該預定溫度。該預定溫度可在約300℃至約500℃範圍內。可藉由從電源供應器施加功率至加熱元件,例如加熱器區塊211,來加熱該基材121。
在一實施例中,在步驟1240之沉積製程期間在該基材上沉積導電材料。可藉由在該沉積製程期間將該基材暴露在至少一種沉積氣體中來形成該導電材料。在一範例中,該沉積製程係擁有沉積氣體的CVD製程,該沉積氣體可包含金屬前驅物,例如,鎢、鈦、或其組合物,以及氮前驅物或含有該金屬前驅物和氮前驅物兩者之前驅物。或者,該沉積製程可以是擁有至少兩種沉積氣體的ALD製程,因此,該基材係相繼暴露在金屬前驅物和氮前驅物中。該沉積製程可以是熱製程、自由基製程、或其組合。例如,該 基材可在藉由直接光激發系統所產生的能量束的存在下暴露在製程氣體中。
在一實施例中,該導電材料包含氮和至少一種金屬,例如鎢、鈦、或其組合物。該導電材料可擁有一組成,其包含含鎢材料,例如氮化鎢(WN),含鈦材料,例如氮化鈦,其衍生物或其組合物。其它導電材料可包含鎢和鋁,除了其他的之外。
在步驟1140形成導電材料之適合氮前驅物的範例包含氨氣(NH3)、聯氨(N2H4)、有機胺、有機聯氨、有機二氮雜苯(diazines)(例如,甲基二氮雜苯((H3C)NNH))、矽烷基疊氮化物(silylazides)、矽烷基聯氨(silyhydrazines)、氫疊氮酸(HN3)、氰化氫(HCN)、原子氮、氮氣(N2)、其衍生物、或其組合物。做為氮前驅物之有機胺包含RxNH3-x,其中R是獨立的烷基或芳烴基(aryl group),並且x是1、2或3。有機胺的範例包含三甲胺((CH3)3N)、二甲胺((CH3)2NH)、甲胺((CH3)NH2)、三乙胺((CH3CH2)3N)、二乙胺((CH3CH2)2NH)、乙胺((CH3CH2)NH2)、第三丁胺(((CH3)3C)NH2)、其衍生物、或其組合物。做為氮前驅物之有機聯氨包含RxN2H4-x,其中每一個R是獨立的烷基或芳烴基,並且x是1、2、3、或4。有機聯氨的範例包含甲基聯氨((CH3)N2H3)、二甲基聯氨((CH3)2N2H2)、乙基聯氨((CH3CH2)N2H3)、二乙基聯氨((CH3CH2)2N2H2)、第三丁基聯氨(((CH3)3C)N2H3)、二第三丁基聯氨(((CH3)3C)2N2H2)、其自由基、其電漿、其衍生物、或其組合物。
例示鎢前驅物係選自六氟化鎢(WF6)和六羰鎢(W(CO)6)。該含鈦前驅物可選自,例如,四氯化鈦(TiCl4)、四(二乙胺基)鈦(TDEAT)(Ti(Net2)4)、四((乙基)(甲基)胺基)鈦(TEMAT)(Ti(N(Et)(Me))4)、以及四(二甲胺基)鈦(TDMAT)(Ti(NMe2)4),除了其他的之外。
適合的還原氣體包含傳統還原劑,例如,氫(例如,氫氣或原子氫)、氨氣(NH3)、矽烷(SiH4)、二矽烷(Si2H6)、三矽烷(Si3H8)、四矽烷(Si4H10)、二甲基矽烷(SiC2H8)、甲基矽烷(SiCH6)、乙基矽烷(SiC2H8)、一氯矽烷(ClSiH3)、二氯矽烷(Cl2SiH2)、六氯二矽烷(Si2Cl6)、硼烷(BH3)、二硼烷(B2H6)、三硼烷、四硼烷、五硼烷、烷基硼烷,例如三乙基硼烷(Et3B)、其衍生物及其組合物。
在一範例中,可在步驟1240之沉積製程期間於處理腔室600內的基材121上沉積導電材料。在一實施例中,可在CVD製程期間將基材121暴露在含有導電材料前驅物,例如鎢前驅物或含鈦前驅物及氮前驅物的製程氣體中。該等前驅物通常係從氣體來源159透過面板152提供至內腔室101。
在一實施例中,可在步驟1240藉由進氣通道156同步,如在傳統CVD製程期間或相繼,如在ALD製程期間,將該等前驅物通入該處理腔室或接觸基材121。該ALD製程可將該基材暴露在至少兩種製程氣體中,因此,該基材係相繼暴露在一第一前驅物,例如含鎢前驅物或含鈦前驅物,及一第二前驅物,例如含氮前驅物中。雖然示出一進 氣通道156,但預期到該第一前驅物和該第二前驅物係以不同的氣線提供至處理腔室600。可控制每一條氣線的溫度。
對於CVD和ALD製程及可調整之設備(例如,併入UV輻射源)的描述和可用來沉積導電材料之化學前驅物在2004年11月2號核准之共同讓渡之標題為「利用催化劑輔助之成長薄膜的方法」之美國專利第6,811,814號、2003年9月16號核准之標題為「做為半導體製程之來源反應物之二價銅B-二酮酸鹽的氮類比物」之美國專利第6,620,956號、2004年5月25號核准之標題為「利用PVD、CVD、或ALD之新穎濺鍍沉積方法的阻障形成」之美國專利第6,740,585號、2004年1月15號公開之標題為「銅薄膜的沉積」之美國專利申請公開案第2004-0009665號、2005年10月6號公開之標題為「銅薄膜沉積用之惰性金屬層形成」之美國專利公開案第2005-0220998號、2004年6月3號公開之標題為「銅薄膜沉積用之釕層形成」之美國專利公開案第2004-0105934號、2004年12月12號公開之標題為「銅薄膜沉積用之釕層形成」之美國專利公開案第2004-0241321號中進一步揭示,所有皆在此藉由引用其整體的方式併入本文中。
做為該第一前驅物,例如,鎢前驅物,以及氮前驅物係在該處理腔室內合併,一含鎢材料,例如氮化鎢材料,係經形成在該基材表面上。所沉積的氮化鎢材料展現出良好的薄膜性質,例如折射率和濕蝕刻速率。在一實施例中, 可以約10埃/分鐘至約500埃/分鐘範圍內的速率來沉積該氮化鎢材料,並且沉積至約10埃至約1,000埃範圍內的厚度。
可在步驟1240期間提供載氣,以控制鎢前驅物和氮前驅物的分壓。單一晶圓處理腔室的總內壓可在約100毫托耳至約740毫托耳範圍內的壓力下,較佳地,約250毫托耳至約100托耳,並且更佳地,約500毫托耳至約50托耳。在一範例中,該處理腔室的內壓係維持在約10托耳或更低的壓力下,較佳地,約5托耳或更低,並且更佳地,約1托耳或更低。在某些實施例中,可提供載氣以將批次處理系統之氮前驅物或鎢前驅物的分壓控制在約100毫托耳至約1托耳範圍內。適合的載氣之範例包含氮氣、氫氣、氬氣、氦氣、形成氣體、或其組合物。
該基材、該鎢前驅物、及/或該氮前驅物可在步驟1240之沉積製程期間暴露在該光激發系統產生的能量束或能量通量中。能量束的使用有利地增加沉積速率,並且改善表面擴散或該氮化鎢材料內的原子遷移率,以為接踵而來的物種產生活化位置。在一實施例中,該能量束擁有約3.0 eV至約9.84 eV範圍內的能量。此外,該能量束可擁有約126奈米至約450奈米範圍內的波長。
在一範例中,燈源790提供一能量束以供應該鎢前驅物或該氮前驅物之至少一種的激發能量。高沉積速率和低沉積溫度產生擁有可調整性質之薄膜,其具有最小的寄生副反應。在一實施例中,該能量束或通量可擁有約4.5 eV 至約9.84 eV範圍內的光子能量。該基材表面和該等製程氣體也可由燈源790激發。
在另一實施例中,含有該導電材料(在步驟1240中形成)的基材在步驟1250期間暴露在一後沉積處理製程中。該後沉積處理製程在沉積之後增加基材表面能量,這有利地除去揮發物及/或其他薄膜污染物(例如藉由降低氫含量)及/或退火該沉積膜。來自該沉積材料之較低的氫含量有利地增加薄膜的張應力。或者可使用至少一個燈源(例如,燈源790)來能量化一能量傳輸氣體,其接觸該基材以在沉積後增加該基材的表面能量,並除去揮發物及/或其他薄膜。
選擇性地,在步驟1250,可提供一能量傳輸氣體至處理腔室600的內腔室101。適合的能量傳輸氣體之範例包含氮氣、氫氣、氦氣、氬氣、及其組合物。範例提供在步驟1250期間,該基材121係以能量束或能量通量來處理。在一範例中,燈源792提供一能量束以在步驟1250期間供應基材121的表面能量。在退火該導電材料的另一範例中,該能量束或通量可擁有約3.53 eV至約9.84 eV範圍內的光子能量。此外,燈源790可產生波長約126奈米至約351奈米範圍內的能量束。一般來說,可能量化燈源790一段約1分鐘至約10分鐘範圍內的時間,以促進利用光激發的後沉積處理。
在一範例中,可藉由將該基材暴露在燈源790產生的擁有約3.2 eV至約4.5 eV範圍內的光子能量之能量束中 從沉積膜表面除去揮發性化合物或污染物,該能量束係用來分解處理腔室600內的鎢或鈦前驅物和氮前驅物。因此,準分子燈,例如溴化氙*(283奈米/4.41 eV)、溴氣*(289奈米/4.29 eV)、氯化氙*(308奈米/4.03 eV)、碘氣*(342奈米/3.63 eV)、氟化氙*(351奈米/3.53 eV)可經選擇以從氮化鈦或氮化鎢網絡除去氫。預期到可改變該基材的旋轉速度,例如,藉由增加步驟1250相對於之前的沉積步驟之旋轉速度。
在另一實施例中,可從該處理腔室600移出該基材121,隨後在步驟1260將該處理腔室600暴露在一腔室清潔製程中。可利用一光激發清潔劑來清潔該處理腔室。在一實施例中,該清潔劑包含氟。
可在腔室清潔製程期間清潔處理腔室600,以強化沉積效能。例如,可用該腔室清潔製程來除去包含在處理腔室600表面上的污染物或包含在窗口793上的污染物,藉此最小化通過窗口793的能量束或通量之傳輸損失,並最大化傳輸至該等氣體及表面的能量。可以比處理腔室600高的頻率清潔窗口793,例如,可在處理一些基材之後清潔處理腔室600,但窗口793則在處理每一個基材之後清潔。適合的清潔劑包含,例如,氫氣、HX(其中X=氟、氯、溴、或碘)、NX3(其中X=氟或氯)、鹵間化合物,例如XFn(其中X=氯、溴、碘而n=1、3、5、7),以及其氫化的鹵間化合物,和惰性氣體鹵化物,例如二氟化氙、四氟化氙、六氟化氙、和二氟化氪。
步驟1240期間沉積的導電材料之元素成分可藉由控制該等化學前驅物的濃度或流速來預先決定,也就是該金屬前驅物和氮前驅物。可藉由控制該導電材料內該金屬前驅物和氮前驅物的相對濃度來為特定應用調整薄膜性質。在一實施例中,可藉由改變沉積製程期間或之後UV能量的範圍來調整該金屬前驅物的元素濃度。薄膜性質包含濕蝕刻速率、乾蝕刻速率、應力、介電常數、以及諸如此類者。
使用在此所述之製程1200沉積的導電材料因為若干物理性質而可在整個電子特徵/元件上使用。在一實施例中,可在製程1200期間在基材上將導電材料沉積成層以形成電子特徵,例如積體電路(第14圖)。
可用來形成導電層和材料的設備及製程在共同讓渡之2003年5月22號提出申請之公開為美國專利公開案第2005-0220998號的美國專利申請案第10/443,648號、2003年8月4號提出申請之公開為美國專利公開案第2004-0105934號的美國專利申請案第10/634,662號、2004年3月26號提出申請之公開為美國專利公開案第2004-0241321號的美國專利申請案第10/811,230號、2005年9月6號提出申請之美國專利申請案第60/714580號,以及在共同讓渡之美國專利第6,936,538號、第6,620,723號、第6,551,929號、第6,855,368號、第6,797,340號、第6,951,804號、第6,939,801號、第6,972,267號、第6,596,643號、第6,849,545號、第6,607,976號、第6,702,027 號、第6,916,398號、第6,878,206號、以及第6,936,906號中進一步描述,其在此藉由引用其整體的方式併入本文中。
種層材料
第13圖示出沉積種層材料之製程1300的流程圖,如此間實施例所述者。該基材可設置在處理腔室內(步驟1310),選擇性地暴露在預處理製程(步驟1320)中,並且加熱至一預定溫度(步驟1330)。隨後,可在該基材上沉積一種層材料(步驟1340)。該基材可選擇性地暴露在一後沉積處理製程中(步驟1350),並且該處理腔室可選擇性地暴露在一腔室清潔製程中(步驟1360)。
在步驟1310期間,可將該基材設置在一處理腔室內。該處理腔室可以是單晶圓腔室或含有多個晶圓或基材(例如,25、50、100個或更多)的批次腔室。該基材可保持在一固定位置上,但較佳地,藉由一支撐台座旋轉。選擇性地,可在製程1300的一或多個步驟期間將基材作索引。
可在製程1300期間使用處理腔室600,在第7圖中示出,以如此間範例所述般在基材121上沉積種層材料。在一範例中,可在處理腔室600內之基材支撐台座上以高至約120 rpm(每分鐘轉數)的速率旋轉基材121。或者,可將基材121設置在基材支撐台座上,並且在該沉積製程期間不加以旋轉。
在一實施例中,在步驟1320期間,該基材121係選擇 性地暴露在至少一種預處理製程中。該基材表面可能含有原氧化物,其在預處理期間被除去。可利用一直接光激發系統所產生的能量束來預處理該基材121,以在步驟1340沉積種層材料之前從該基材表面上除去該等原氧化物。可在該預處理製程期間使一製程氣體接觸該基材。該製程氣體可含有氬氣、氮氣、氦氣、氫氣、形成氣體、或其組合物。該預處理製程可持續一段約2分鐘至約10分鐘範圍內的時間,以促進光激發製程期間原氧化物的移除。此外,可在步驟1320期間將該基材121加熱至約100℃至約800℃範圍內的溫度,較佳地,約200℃至約600℃,並且更佳地,約300℃至約500℃,以促進製程1300期間原氧化物的移除。
範例提供在步驟1320期間,基材121可暴露在燈源792所產生的能量束中。燈源792可提供擁有約2 eV至約10 eV範圍內的光子能量之能量束,例如約3.0 eV至約9.84 eV。在另一範例中,燈源792提供波長在約123奈米至約500奈米範圍內的UV輻射能量束。可能量化燈源792一段足以除去氧化物的時間。在一實施例中,燈源792係經能量化一段約2分鐘至約10分鐘範圍內的時間,以促進光激發製程期間原氧化物的移除。在一範例中,可在步驟1320期間將基材121加熱至約100℃至約800℃範圍內的溫度。在另一範例中,可在步驟1320期間將該基材121加熱至約300℃至約500℃範圍內的溫度,而燈源792可提供擁有約2 eV至約10 eV範圍內的光子能量之能量束一段 約2分鐘至約5分鐘範圍內的時間,以促進原氧化物的移除。在一範例中,該能量束擁有約3.2 eV至約4.5eV範圍內的光子能量約3分鐘。
在另一實施例中,可在步驟1320之預處理製程期間,藉由含有能量傳輸氣體之製程氣體的存在之光激發製程來提升原氧化物的移除。該能量傳輸氣體可以是氖氣、氬氣、氪氣、氙氣、溴化氬、氯化氬、溴化氪、氯化氪、氟化氪、氟化氙(例如,二氟化氙)、氯化氙、溴化氙、氟氣、氯氣、溴氣、其準分子、其自由基、其衍生物、或其組合物。在某些實施例中,除了至少一種能量傳輸氣體之外,該製程氣體也可包含氮氣(N2)、氫氣(H2)、形成氣體(例如氮氣/氫氣或氬氣/氫氣)。
在一範例中,可藉由在步驟1320期間提供該製程氣體至處理腔室600的內腔室101來使基材121暴露在含有能量傳輸氣體的製程氣體中。可從氣體來源159通過面板152提供該能量傳輸氣體。與和基材121的距離相比,該製程氣體和燈源792間的鄰近性能夠輕易激發其中的能量傳輸氣體。當該能量傳輸氣體去激發(de-excite)並移動接近基材121時,該能量係經有效率地傳輸至基材121表面,因此促進原氧化物的移除。
在另一實施例中,可在步驟1320之預處理製程期間,藉由含有有機蒸氣之製程氣體的存在之光激發製程來提升原氧化物的移除。在一範例中,可使該基材暴露在含有環芳碳氫化合物的製程氣體中。該環芳碳氫化合物可在UV 輻射存在的環境下。可在預處理製程期間使用的單環芳香碳氫化合物和多環芳香碳氫化合物包含醌(quinone)、羥基醌(dydroxyquinone)(對苯二酚(hydroquinone))、蒽(anthracene)、萘(naphthalene)、菲(phenanthracene)、其衍生物、或其組合物。在另一範例中,可使該基材暴露在含有其他碳氫化合物之製程氣體中,例如不飽和碳氫化合物,包含乙烯、乙炔、丙烯、烷基衍生物、鹵化衍生物、或其組合物。在另一範例中,在步驟1320之預處理製程期間,該有機蒸氣可含有烷類化合物。
在一範例中,在步驟1320期間,可由燈源產生波長在126奈米至約351奈米範圍內之UV輻射。在另一實施例中,多環芳香碳氫化合物可在UV存在下除去原氧化物,藉由與該等原氧化物內之氧原子反應。在另一實施例中,可藉由使基材暴露在醌或羥基醌中同時形成衍生產物來除去原生氧化物。可利用真空幫浦製程將該衍生產物從該處理腔室除去。
在步驟1330,可在預處理製程期間或之後將該基材121加熱至預定溫度。該基材121係在步驟1340沉積該介電材料之前加熱。該基材可利用該基材支撐內的嵌入式加熱元件、該能量束(例如,UV源)、或其組合來加熱。一般來說,該基材係經加熱足夠久以得到預期溫度,例如一段約15秒至約30分鐘範圍內的時間,較佳地,約30秒至約20分鐘,並且更佳地,從約1分鐘至約10分鐘。在一實施例中,可將該基材加熱至約200℃至約1000℃範圍內的 溫度,較佳地,約400℃至約850℃,並且更佳地,約550℃至約800℃。在另一實施例中,可將該基材加熱至低於約550℃,較佳地,低於約450℃。
在一範例中,可在處理腔室600內將基材121加熱至該預定溫度。該預定溫度可在約300℃至約500℃範圍內。可藉由從電源供應器施加功率至加熱元件,例如加熱器區塊211,來加熱該基材121。
在一實施例中,在步驟1340之沉積製程期間在該基材上沉積種層材料。可藉由在該沉積製程期間將該基材暴露在至少一種沉積氣體中來形成該種層材料。在一範例中,該沉積製程係擁有沉積氣體的CVD製程,該沉積氣體可包含一第一前驅物和一第二前驅物,或含有該第一和第二前驅物兩者之前驅物。或者,該沉積製程可以是擁有至少兩種沉積氣體的ALD製程,因此,該基材係相繼暴露在一第一前驅物和一第二前驅物中。該沉積製程可以是熱製程、自由基製程、或其組合。例如,該基材可在藉由直接光激發系統所產生的能量束的存在下暴露在製程氣體中。
該種層材料包含至少一種金屬,例如釕、銥、鎢、鉭、鉑、銅、或其組合物。該種層材料也可擁有包含含鉭材料之成分,例如氮化鉭(TaN)。
在步驟1340形成種層之適合的含釕前驅物之範例可包含二環戊釕(ruthenocene)化合物及含有至少一開鏈二烯配位基的釕化合物。二環戊釕化合物包含至少一環戊配位基,例如RxC5H5-x,其中x=0-5,而R是獨立的氫或烷基, 並包含雙(環戊二烯)釕化合物、雙(烷基環戊二烯)釕化合物、雙(二烷基環戊二烯)釕化合物及其衍生物,其中該等烷基可以是獨立的甲基、乙基、丙基或丁基。雙(環戊二烯)釕化合物擁有通用化學式(RxC5H5-x)2Ru,其中x=0-5且R是獨立的氫或烷基,例如甲基、乙基、丙基或丁基。
含有至少一開鏈二烯配位基之釕化合物可包含例如CH2CRCHCRCH2的配位基,其中R是獨立的烷基或氫。在某些範例中,該含釕前驅物可擁有兩個開鏈二烯配位基,例如戊二烯或庚二烯,並包含雙(戊二烯)釕化合物、雙(烷基戊二烯)釕化合物及雙(二烷基戊二烯)釕化合物。雙(戊二烯)釕化合物擁有通用化學式(CH2CRCHCRCH2)2Ru,其中R是獨立的烷基或氫。通常,R是獨立的氫、甲基、乙基、丙基或丁基。此外,含釕前驅物可擁有一個開鏈二烯配位基及一環戊二烯配位基兩者。
因此,可在此間所述的沉積製程期間使用之含釕前驅物的範例包含雙(環戊二烯)釕(Cp2Ru)、雙(甲基環戊二烯)釕、雙(乙基環戊二烯)釕、雙(五甲基環戊二烯)釕、雙(2,4-二甲基戊二烯)釕、雙(2,4-二乙基戊二烯)釕、雙(2,4-二異丙基戊二烯)釕、雙(2,4-二第三丁基戊二烯)釕、雙(甲基戊二烯)釕、雙(乙基戊二烯)釕、雙(異丙基戊二烯)釕、雙(第三丁基戊二烯)釕、其衍生物及其組合物。在某些實施例中,其他含釕化合物包含三(2,2,6,6-四甲基-3,5-庚二酮)釕、二羰基戊二烯釕、乙醯丙酮釕(ruthenium acetylacetonate)、(2,4-二甲基戊二烯)釕(環戊二烯)、雙 (2,2,6,6-四甲基-3,5-庚二酮)釕(1,5-環辛二烯)、(2,4-二甲基戊二烯)釕(甲基環戊二烯)、(1,5-環辛二烯)釕(環戊二烯)、(1,5-環辛二烯)釕(甲基環戊二烯)、(2,4-二甲基戊二烯)釕(乙基環戊二烯)、(2,4-二甲基戊二烯)釕(異丙基環戊二烯)、雙(N,N-二甲基-1,3-四甲基二亞胺配位)釕(1,5-環辛二烯)、雙(N,N-二甲基-1,3-二甲基二亞胺配位)釕(1,5-環辛二烯)、雙(丙烯)釕(1,5-環辛二烯)、(η6-苯)釕(1,3-環己二烯)、雙(1,1-二甲基-2-氨乙氧配位)釕(1,5-環辛二烯)、雙(1,1-二甲基-2-氨乙胺配位)釕(1,5-環辛二烯)、其衍生物和其組合物。
可用其他含惰性金屬化合物來做為含釕前驅物之取代物,以沉積其各自的惰性金屬層,例如含鈀、鉑、鈷、鎳和銠的前驅物。含鈀前驅物,例如,雙(丙烯)鈀、雙(2-甲基丙烯)鈀、以及(環戊二烯)(丙烯)鈀、其衍生物和其組合物。適合的含鉑前驅物包含二甲基(環辛二烯)鈀、三甲基(環戊二烯)鈀、三甲基(甲基環戊二烯)鈀、環戊二烯(丙烯)鈀、甲基(羰基)環戊二烯鈀、三甲基(乙醯丙酮基)鈀、雙(乙醯丙酮基)鈀、其衍生物和其組合物。適合的含鈷前驅物包含雙(環戊二烯)鈷、(環戊二烯)(環己二烯)鈷、環戊二烯(1,3-己二烯)鈷、(環丁二烯)(環戊二烯)鈷、雙(甲基環戊二烯)鈷、(環戊二烯)(5-甲基環戊二烯)鈷、雙(乙烯)(五甲基環戊二烯)鈷、其衍生物和其組合物。適合的含鎳前驅物包含雙(甲基環戊二烯)鎳,而適合的含銠前驅物包含雙(羰基)(環戊二烯)銠、雙(羰基)(乙基環戊二烯)銠、雙(羰基)(甲 基環戊二烯)銠、雙(丙烯)銠、其衍生物及其組合物。
適合的還原氣體包含傳統還原劑,例如,氫(例如,氫氣或原子氫)、氨氣(NH3)、矽烷(SiH4)、二矽烷(Si2H6)、三矽烷(Si3H8)、四矽烷(Si4H10)、二甲基矽烷(SiC2H8)、甲基矽烷(SiCH6)、乙基矽烷(SiC2H8)、一氯矽烷(ClSiH3)、二氯矽烷(Cl2SiH2)、六氯二矽烷(Si2Cl6)、硼烷(BH3)、二硼烷(B2H6)、三硼烷、四硼烷、五硼烷、烷基硼烷,例如三乙基硼烷(Et3B)、其衍生物及其組合物。
此外,該還原氣體可包含用來做為還原劑之含氧氣體,例如氧(例如氧氣)、一氧化二氮(N2O)、一氧化氮(NO)、二氧化氮(NO2)、其衍生物和其組合物。此外,傳統的還原劑可與含氧還原劑結合而形成一還原氣體。本發明實施例所用的含氧氣體傳統上在化學技藝中係用來做為氧化劑。但是,含有惰性金屬(例如釕)之有機金屬化合物上的配位基通常比惰性金屬更容易受到含氧還原劑的影響。因此,配位基通常是從該金屬中心氧化,而金屬離子則還原以形成元素金屬。在一範例中,該還原氣體是空氣,含有環境中的氧做為還原劑。可通過過濾器來乾燥該空氣,以減少環境中的水。
適合的含鎢化合物包含六氟化鎢(WF6)、六氯化鎢(WCl6)、六羰鎢(W(CO)6)、雙(環戊二烯)二氯化鎢(Cp2WCl2)以及三甲基苯三羰鎢(C9H12W(CO)3),及其衍生物。適合的還原化合物包含矽烷化合物、硼烷化合物和氫。矽烷化合物包含矽烷、二矽烷、三矽烷、四矽烷、一氯矽烷、二氯 矽烷、四氯矽烷、六氯矽烷、甲基矽烷及其他烷基矽烷和其衍生物,而硼烷化合物包含硼烷、二硼烷、三硼烷、四硼烷、五硼烷、三乙基硼烷及其他烷基硼烷和其衍生物。較佳的還原化合物和浸泡化合物包含矽烷、二矽烷、二硼烷、氫氣和其組合物。
在一範例中,可在步驟1340之沉積製程期間於處理腔室600內的基材121上沉積種層。在一實施例中,可在CVD製程期間將基材121暴露在含有種層前驅物,例如雙(環戊二烯)釕,以及一反應物,例如二硼烷,的製程氣體中。該等前驅物通常係從配氣盤透過流量控制環提供至腔室主體651的內部空間。該等前驅物通常係從氣體來源159透過面板152提供至內腔室101。
在一實施例中,可在步驟1340藉由進氣通道156同步,如在傳統CVD製程期間或相繼,如在ALD製程期間,將該等前驅物通入該處理腔室或接觸基材121。該ALD製程可將該基材暴露在至少兩種製程氣體中,因此,該基材係相繼暴露在一第一前驅物,例如雙(環戊二烯)釕,及一第二前驅物,例如二硼烷中。雖然示出一進氣通道156,但預期到該第一前驅物和該第二前驅物係以不同的氣線提供至處理腔室600。可控制每一條氣線的溫度。
對於CVD和ALD製程及可調整之設備(例如,併入UV輻射源)的描述和可用來沉積種層之化學前驅物在2006年6月15號公開之標題為「用於鎢薄膜沉積之做為底層之釕」之美國專利申請公開案第2006-0128150號中進一步揭 示,其在此藉由引用其整體的方式併入本文中。
做為該第一前驅物,例如,含釕前驅物,例如雙(環戊二烯)釕,以及還原劑,例如二硼烷,係在該處理腔室內合併,釕係形成在該基材表面上。
可在步驟1340期間提供載氣,以控制第一前驅物和第二前驅物的分壓。單一晶圓處理腔室的總內壓可在約100毫托耳至約740毫托耳範圍內的壓力下,較佳地,約250毫托耳至約100托耳,並且更佳地,約500毫托耳至約50托耳。在一範例中,該處理腔室的內壓係維持在約10托耳或更低的壓力下,較佳地,約5托耳或更低,並且更佳地,約1托耳或更低。在某些實施例中,可提供載氣以將批次處理系統之第一前驅物或第二前驅物的分壓控制在約100毫托耳至約1托耳範圍內。適合的載氣之範例包含氮氣、氫氣、氬氣、氦氣、形成氣體、或其組合物。
該基材、該第一前驅物、及/或該第二前驅物可在步驟1340之沉積製程期間暴露在該光激發系統產生的能量束或能量通量中。能量束的使用有利地增加沉積速率,並且改善表面擴散或該釕材料內的原子遷移率,以為接踵而來的物種產生活化位置。在一實施例中,該能量束擁有約3.0 eV至約9.84 eV範圍內的能量。此外,該能量束可擁有約126奈米至約450奈米範圍內的波長。
在一範例中,燈源790提供一能量束以供應該等前驅物之至少一種的激發能量。高沉積速率和低沉積溫度產生擁有可調整性質之種層,其具有最小的寄生副反應。在一 實施例中,該能量束或通量可擁有約4.5 eV至約9.84 eV範圍內的光子能量。該基材表面和該等製程氣體也可由燈源790激發。
在另一實施例中,含有該種層(在步驟1340中形成)的基材在步驟1350期間暴露在一後沉積處理製程中。該後沉積處理製程在沉積之後增加基材表面能量,這有利地除去揮發物及/或其他薄膜污染物(例如藉由降低氫含量)及/或退火該沉積膜。來自該沉積材料之較低的氫含量有利地增加薄膜的張應力。或者可使用至少一個燈源(例如,燈源790)來能量化一能量傳輸氣體,其接觸該基材以在沉積後增加該基材的表面能量,並除去揮發物及/或其他薄膜。
選擇性地,在步驟1350,可提供一能量傳輸氣體至處理腔室600的內腔室101。適合的能量傳輸氣體之範例包含氮氣、氫氣、氦氣、氬氣、及其組合物。範例提供在步驟1350期間,該基材121係以能量束或能量通量來處理。在一範例中,燈源792提供一能量束以在步驟1350期間供應基材121的表面能量。在退火該種層的另一範例中,該能量束或通量可擁有約3.53 eV至約9.84 eV範圍內的光子能量。此外,燈源790可產生波長約126奈米至約351奈米範圍內的能量束。一般來說,可能量化燈源790一段約1分鐘至約10分鐘範圍內的時間,以促進利用光激發的後沉積處理。
在一範例中,可藉由將該基材暴露在燈源790產生的擁有約3.2 eV至約4.5 eV範圍內的光子能量之能量束中 從沉積膜表面除去揮發性化合物或污染物,該能量束係用來分解處理腔室600內的鎢或鈦前驅物和氮前驅物。因此,準分子燈,例如溴化氙*(283奈米/4.41 eV)、溴氣*(289奈米/4.29 eV)、氯化氙*(308奈米/4.03 eV)、碘氣*(342奈米/3.63 eV)、氟化氙*(351奈米/3.53 eV)可經選擇以從該種層除去氫。預期到可改變該基材的旋轉速度,例如,藉由增加步驟1350相對於之前的沉積步驟之旋轉速度。
在另一實施例中,可從該處理腔室600移出該基材121,隨後在步驟1360將該處理腔室600暴露在一腔室清潔製程中。可利用一光激發清潔劑來清潔該處理腔室。在一實施例中,該清潔劑包含氟。
可在腔室清潔製程期間清潔處理腔室600,以強化沉積效能。例如,可用該腔室清潔製程來除去包含在處理腔室600表面上的污染物或包含在窗口793上的污染物,藉此最小化通過窗口793的能量束或通量之傳輸損失,並最大化傳輸至該等氣體及表面的能量。可以比製程腔室600高的頻率清潔窗口793,例如,可在處理一些基材之後清潔處理腔室600,但窗口793則在處理每一個基材之後清潔。
使用在此所述之製程1300沉積的種層因為若干物理性質而可在整個電子特徵/元件上使用。在一實施例中,可在製程1300期間在基材上將種層沉積成層以形成電子特徵,例如積體電路(第14圖)。
在ALD沉積之情況中,可連同前述製程執行有或沒有反應物氣體的UV退火處理。此UV退火處理通常是在30℃和1000℃之間的溫度範圍內執行,使用123奈米和500奈米之間的UV能量。此退火處理可在清潔循環期間、完成每一次循環之後、交替循環之後、完成要求的厚度之所有循環之後、以及完成製程運轉之後執行。當與氧氣和臭氧並用時,此製程增加該薄膜內的氧含量,幫助維持每一層的高K氧化物、氮化物、及氮氧化物之化學計量,除去碳及其他不純物,密實化該薄膜,並減少漏電流。
第14A-14D圖示出積體電路生產程序之簡要剖面圖。第14A示出擁有金屬接觸層1404和介電層1402形成在其上的基材1400之剖面圖。基材1400可包含半導體材料,例如矽、鍺、或砷化鎵。介電層1402可包含絕緣材料,例如二氧化矽、氮化矽、絕緣層上矽、氮氧化矽及/或摻雜碳的氧化矽,例如SiOxCy,例如,BLACK DIAMONDTM低k介電材料,可由加州聖塔克拉拉之應用材料公司取得。金屬接觸層1404包含導電材料,例如,鎢、銅、鋁及其合金。可在該介電層1402中界定出一介層洞或孔洞1403,以在金屬接觸層1404上提供開口。可利用習知微影和蝕刻技術在介電層1402內界定出孔洞1403。
可在介電層1402以及孔洞1403上形成阻障層1406。阻障層1406可包含一或多種阻障材料,例如鉭、氮化鉭、氮化矽鉭、鈦、氮化鈦、氮化矽鈦、氮化鎢、氮化矽、氮化釕、其衍生物、其合金和其組合物。可利用適合的沉積 製程來形成阻障層1406,例如ALD、CVD、PVD或無電鍍沉積。例如,可利用CVD製程或ALD製程沉積氮化鉭,其中含鉭化合物或鉭前驅物(例如PDMAT)及含氮化合物或氮前驅物(例如氨水)發生反應。在一實施例中,鉭及/或氮化鉭係利用ALD製程沉積為阻障層1406,如在共同讓渡之2002年10月25號提出申請之美國專利申請案第10/281,079號中描述者,並且在此藉由引用的方式併入本文中。在一範例中,可將鉭/氮化鉭雙層沉積為阻障層1406,其中該鉭層和該氮化鉭層係獨立利用ALD、CVD及/或PVD製程沉積。
一層1408,例如一釕層,可利用ALD、CVD或PVD製程沉積在阻障層1406上,較佳地,利用ALD製程。一成核層1410,例如一鎢成核層,可形成在該層1408上,如第14C圖所示者。該成核層1410係利用習知沉積技術沉積,例如ALD、CVD或PVD。較佳地,成核層1410係利用ALD製程沉積,例如輪流吸收一含鎢前驅物和一還原化合物。一主體層1412,例如一鎢主體層,可形成在該成核層1410上。
雖然上述係針對本發明之實施例,但可在不背離其基本範圍下設計出本發明之其他及進一步實施例,並且其範圍係由如下申請專利範圍決定。
100、200、300、400、500、600、700、800‧‧‧批次處理腔室
101、201‧‧‧內腔室
103、203‧‧‧排出氣室
104、204‧‧‧注入氣室
113、213‧‧‧外腔室
117、217‧‧‧處理區域
120、220‧‧‧基材舟
121、1400‧‧‧基材
150、250、350、550、650、750、850‧‧‧注入組件
152、252、852‧‧‧面板
153、253‧‧‧開口
154、174、354、374‧‧‧密封件
155、255、555、755、855、955‧‧‧垂直通道
156、256‧‧‧進氣通道
158、178‧‧‧閥門
159‧‧‧氣體來源
160、260‧‧‧分散器
170、270、370‧‧‧排出組件
173、273‧‧‧狹縫
175、275‧‧‧垂直腔室
176、276‧‧‧排出埠
179‧‧‧真空幫浦
180、280、380、480、580、680、880、980‧‧‧電源供應器
211‧‧‧加熱器區塊
212‧‧‧絕熱體
261‧‧‧導電篩
470、652‧‧‧電極
553‧‧‧桿狀物
559、659、859‧‧‧絕緣部件
651、851‧‧‧主體
790‧‧‧UV光源
792‧‧‧燈源
793‧‧‧窗口
854、954‧‧‧尖端
950‧‧‧導電元件
1000、1100、1200、1300‧‧‧製程
1010、1020、1030、1040、1050、1060、1110、1120、1130、1140、1150、1160、1210、1220、1230、1240、1250、1260、1310、1320、1330、1340、1350、1360‧‧‧步驟
1402‧‧‧介電層
1403‧‧‧孔洞
1404‧‧‧金屬接觸層
1406‧‧‧阻障層
1408‧‧‧層
1410‧‧‧成核層
1412‧‧‧主體層
因此可以詳細瞭解上述本發明特徵的方式,即對本發明更明確的描述,其已簡短地在前面概述過,可以藉由參 考實施例來得到,其中某些在附圖中示出。但是需注意的是,附圖僅示出本發明之一般實施例,因此不應被認定為係對其範圍之限制,因為本發明可允許其他等效實施例。
第1圖示出本發明之一例示批次處理腔室之剖面側視圖,其包含用來激發該等製程氣體物種之組件;第2圖示出本發明之批次處理腔室之另一實施例的剖面上視圖,其包含用來激發該等製程氣體物種之組件;第3圖示出本發明之批次處理腔室之一實施例的剖面側視圖,其包含用來在一處理區域內激發該等製程氣體物種之組件;第4圖示出本發明之批次處理腔室之另一實施例的剖面側視圖,其包含用來在一處理區域內激發該等製程氣體物種之組件;第5圖示出本發明之一例示批次處理腔室之剖面側視圖,其包含用來在一注射組件內激發該等製程氣體物種之組件;第6圖示出本發明之例示批次處理腔室之另一實施例的剖面側視圖,其包含用來在一注射組件內激發該等製程氣體物種之組件;第7圖示出本發明之例示批次處理腔室之又一實施例的剖面側視圖,其包含用來在一注射組件內激發該等製程氣體物種之組件;第8圖示出本發明之例示批次處理腔室之另一實施例的剖面側視圖,其包含用來在一注射組件內激發該等製程 氣體物種之組件;第9圖示出本發明之批次處理腔室之注射組件之另一實施例的剖面側視圖,其包含用來在一注射組件內激發該等製程氣體物種之組件;第10圖係如此間實施例所述之沉積阻障材料之製程的流程圖;第11圖係如此間實施例所述之沉積介電材料之製程的流程圖;第12圖係如此間實施例所述之沉積導電材料之製程的流程圖;第13圖係如此間實施例所述之沉積種層之製程的流程圖;以及第14A-14D圖示出積體電路生產程序之簡要剖面圖。
100‧‧‧批次處理腔室
101‧‧‧內腔室
103‧‧‧排出氣室
104‧‧‧注入氣室
113‧‧‧外腔室
117‧‧‧處理區域
120‧‧‧基材舟
121‧‧‧基材
150‧‧‧注入組件
152‧‧‧面板
153‧‧‧開口
154、174‧‧‧密封件
155‧‧‧垂直通道
156‧‧‧進氣通道
158、178‧‧‧閥門
159‧‧‧氣體來源
160‧‧‧分散器
170‧‧‧排出組件
173‧‧‧狹縫
175‧‧‧垂直腔室
176‧‧‧排出埠
179‧‧‧真空幫浦
180‧‧‧電源供應器

Claims (5)

  1. 一種在一基材上形成一金屬氮化物的方法,其至少包含:將一基材設置在一處理腔室內;使該基材暴露在一沉積氣體中,其包含一含金屬前驅物以及一含氮前驅物;在該處理腔室內使該沉積氣體暴露在從一UV來源衍生出的能量束中;以及沉積一金屬氮化物在該基材上。
  2. 一種在一基材上形成一金屬氧化物的方法,其至少包含:將一基材設置在一處理腔室內;使該基材暴露在一沉積氣體中,其包含一含金屬前驅物以及一含氧前驅物;在該處理腔室內使該沉積氣體暴露在一從UV來源衍生出的能量束中;以及沉積一金屬氧化物在該基材上。
  3. 一種在一基材上形成一金屬層的方法,其至少包含:將一基材設置在一處理腔室內;使該基材暴露在一沉積氣體中,其包含一含金屬前驅物以及一還原氣體; 在該處理腔室內使該沉積氣體暴露在從一UV來源衍生出的能量束中;以及沉積一金屬層在該基材上。
  4. 一種用於處理複數個基材之批次腔室,其至少包含:一腔室外罩,含有一處理區;一基材舟,位於該處理區中,用以固持一批垂直堆疊之基材;以及一激發組件,用以激發通入該處理區內的製程氣體物種,該激發組件設置在該腔室外罩內,其中該激發組件包含一陽極單元和一陰極單元,並且該陽極單元或該陰極單元沿著該基材舟的垂直方向延伸。
  5. 一種用於處理複數個基材之批次腔室,其至少包含:一腔室外罩,含有一處理區;一注入組件,位於該腔室外罩內,用以將一製程氣體注入該處理區,並擁有一進氣通道和一面板;一基材舟,位於該處理區中,用以固持一批基材;以及一激發組件,用以激發該製程氣體物種,並且設置在該注入組件內。
TW102100477A 2006-05-05 2007-05-04 光激發可用於原子層沉積之介電層的化學物之方法與設備 TW201315836A (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US11/381,970 US7798096B2 (en) 2006-05-05 2006-05-05 Plasma, UV and ion/neutral assisted ALD or CVD in a batch tool
US11/464,121 US20070259111A1 (en) 2006-05-05 2006-08-11 Method and apparatus for photo-excitation of chemicals for atomic layer deposition of dielectric film

Publications (1)

Publication Number Publication Date
TW201315836A true TW201315836A (zh) 2013-04-16

Family

ID=38668512

Family Applications (2)

Application Number Title Priority Date Filing Date
TW096115995A TWI404816B (zh) 2006-05-05 2007-05-04 光激發可用於原子層沈積之介電層的化學物之方法與設備
TW102100477A TW201315836A (zh) 2006-05-05 2007-05-04 光激發可用於原子層沉積之介電層的化學物之方法與設備

Family Applications Before (1)

Application Number Title Priority Date Filing Date
TW096115995A TWI404816B (zh) 2006-05-05 2007-05-04 光激發可用於原子層沈積之介電層的化學物之方法與設備

Country Status (7)

Country Link
US (1) US20070259111A1 (zh)
EP (1) EP2022084A2 (zh)
JP (2) JP5301430B2 (zh)
KR (1) KR101046071B1 (zh)
CN (2) CN101438391B (zh)
TW (2) TWI404816B (zh)
WO (1) WO2007131040A2 (zh)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI588867B (zh) * 2014-12-16 2017-06-21 卡爾蔡司Smt有限公司 離子化裝置及包含離子化裝置的質譜儀
TWI688039B (zh) * 2017-11-21 2020-03-11 美商瓦特洛威電子製造公司 具有原子保護層之陶瓷台座

Families Citing this family (68)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7819981B2 (en) * 2004-10-26 2010-10-26 Advanced Technology Materials, Inc. Methods for cleaning ion implanter components
US20070119371A1 (en) * 2005-11-04 2007-05-31 Paul Ma Apparatus and process for plasma-enhanced atomic layer deposition
US8603252B2 (en) 2006-04-26 2013-12-10 Advanced Technology Materials, Inc. Cleaning of semiconductor processing systems
US7482289B2 (en) * 2006-08-25 2009-01-27 Battelle Memorial Institute Methods and apparatus for depositing tantalum metal films to surfaces and substrates
US7678422B2 (en) * 2006-12-13 2010-03-16 Air Products And Chemicals, Inc. Cyclic chemical vapor deposition of metal-silicon containing films
KR20110005683A (ko) 2008-02-11 2011-01-18 어드밴스드 테크놀러지 머티리얼즈, 인코포레이티드 반도체 가공 시스템에서의 이온 공급원 세정법
US20100062149A1 (en) 2008-09-08 2010-03-11 Applied Materials, Inc. Method for tuning a deposition rate during an atomic layer deposition process
US8491967B2 (en) * 2008-09-08 2013-07-23 Applied Materials, Inc. In-situ chamber treatment and deposition process
WO2010052672A2 (en) * 2008-11-07 2010-05-14 L'air Liquide-Societe Anonyme Pour L'etude Et L'exploitation Des Procedes Georges Claude Allyl-containing precursors for the deposition of metal-containing films
US20100183825A1 (en) * 2008-12-31 2010-07-22 Cambridge Nanotech Inc. Plasma atomic layer deposition system and method
US8889565B2 (en) * 2009-02-13 2014-11-18 Asm International N.V. Selective removal of oxygen from metal-containing materials
US7829457B2 (en) * 2009-02-20 2010-11-09 Asm International N.V. Protection of conductors from oxidation in deposition chambers
US20110020546A1 (en) * 2009-05-15 2011-01-27 Asm International N.V. Low Temperature ALD of Noble Metals
US9159551B2 (en) * 2009-07-02 2015-10-13 Micron Technology, Inc. Methods of forming capacitors
KR101044913B1 (ko) * 2009-07-14 2011-06-28 신웅철 배치형 원자층 증착 장치
US8617668B2 (en) * 2009-09-23 2013-12-31 Fei Company Method of using nitrogen based compounds to reduce contamination in beam-induced thin film deposition
US8765220B2 (en) 2009-11-09 2014-07-01 American Air Liquide, Inc. Methods of making and deposition methods using hafnium- or zirconium-containing compounds
US8507388B2 (en) 2010-04-26 2013-08-13 Asm International N.V. Prevention of oxidation of substrate surfaces in process chambers
JP5618063B2 (ja) * 2010-07-28 2014-11-05 独立行政法人産業技術総合研究所 半導体装置及びその製造方法
WO2012018086A1 (ja) * 2010-08-06 2012-02-09 宇部興産株式会社 マグネシウムビス(ジアルキルアミド)化合物、及び当該マグネシウム化合物を用いるマグネシウム含有薄膜の製造方法
US20130143402A1 (en) * 2010-08-20 2013-06-06 Nanmat Technology Co., Ltd. Method of forming Cu thin film
CN101935826A (zh) * 2010-09-13 2011-01-05 宁波升日太阳能电源有限公司 一种等离子体增强化学气相沉积炉
US8822350B2 (en) 2010-11-19 2014-09-02 Hitachi Kokusai Electric Inc. Method of manufacturing semiconductor device, substrate processing method and substrate processing apparatus
JP5603433B2 (ja) * 2010-12-28 2014-10-08 キヤノンアネルバ株式会社 カーボン膜の製造方法及びプラズマcvd方法
JP6041464B2 (ja) * 2011-03-03 2016-12-07 大陽日酸株式会社 金属薄膜の製膜方法、および金属薄膜の製膜装置
CN103147069A (zh) * 2011-12-07 2013-06-12 周义才 金属有机物磊晶薄膜的制造方法
CN103160799A (zh) * 2011-12-19 2013-06-19 同方威视技术股份有限公司 中子敏感镀膜及其形成方法
US8853046B2 (en) * 2012-02-16 2014-10-07 Intermolecular, Inc. Using TiON as electrodes and switching layers in ReRAM devices
DE102012221080A1 (de) * 2012-11-19 2014-03-06 Osram Opto Semiconductors Gmbh Verfahren zur Herstellung einer Schicht auf einem Oberflächenbereich eines elektronischen Bauelements
JP6134191B2 (ja) * 2013-04-07 2017-05-24 村川 惠美 回転型セミバッチald装置
US9343749B2 (en) 2013-05-29 2016-05-17 Ford Global Technologies, Llc Ultrathin platinum films
US8940646B1 (en) 2013-07-12 2015-01-27 Lam Research Corporation Sequential precursor dosing in an ALD multi-station/batch reactor
KR101502816B1 (ko) * 2013-11-05 2015-03-16 주식회사 엔씨디 대면적 기판용 수평형 원자층 증착장치
WO2015112328A1 (en) * 2014-01-27 2015-07-30 Applied Materials, Inc. High speed epi system and chamber concepts
CN104409393B (zh) * 2014-11-17 2017-12-08 上海华力微电子有限公司 晶圆净化装置、刻蚀机台及大马士革刻蚀方法
US20160138161A1 (en) * 2014-11-19 2016-05-19 Applied Materials, Inc. Radical assisted cure of dielectric films
FI126794B (en) * 2014-12-22 2017-05-31 Picosun Oy Photo-assisted coating process
KR101698021B1 (ko) * 2014-12-31 2017-01-19 주식회사 엔씨디 대면적 원자층 증착장치
JP6419982B2 (ja) 2015-09-30 2018-11-07 株式会社Kokusai Electric 半導体装置の製造方法、基板処理装置および記録媒体
CN107785488A (zh) * 2016-08-25 2018-03-09 杭州纤纳光电科技有限公司 钙钛矿薄膜的低压化学沉积的设备及其使用方法和应用
JP6667797B2 (ja) * 2016-11-16 2020-03-18 日本電気硝子株式会社 ガラス基板の製造方法
US11293093B2 (en) 2017-01-06 2022-04-05 Applied Materials Inc. Water assisted highly pure ruthenium thin film deposition
US10276411B2 (en) 2017-08-18 2019-04-30 Applied Materials, Inc. High pressure and high temperature anneal chamber
CN107385416B (zh) * 2017-09-01 2023-11-03 常州比太科技有限公司 一种镀膜进气结构
WO2019055510A1 (en) 2017-09-12 2019-03-21 Applied Materials, Inc. LOW TEMPERATURE DEPOSITION OF IRIDIUM-CONTAINING FILM
JP6959440B2 (ja) * 2017-09-26 2021-11-02 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated より良好なバイオセンサ性能のための自然酸化物除去及び誘電体酸化物の再成長の方法、材料及びプロセス
SG11202005303XA (en) * 2017-12-14 2020-07-29 Applied Materials Inc Methods of etching metal oxides with less etch residue
WO2019182967A1 (en) 2018-03-19 2019-09-26 Applied Materials, Inc. Methods for depositing coatings on aerospace components
CN111868897A (zh) * 2018-03-28 2020-10-30 株式会社国际电气 基板处理装置、气体喷嘴及半导体装置的制造方法
US11230766B2 (en) * 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11015252B2 (en) 2018-04-27 2021-05-25 Applied Materials, Inc. Protection of components from corrosion
US11009339B2 (en) 2018-08-23 2021-05-18 Applied Materials, Inc. Measurement of thickness of thermal barrier coatings using 3D imaging and surface subtraction methods for objects with complex geometries
WO2020068618A1 (en) * 2018-09-28 2020-04-02 Applied Materials, Inc. Methods of forming nickel-containing films
WO2020086175A1 (en) 2018-10-25 2020-04-30 Applied Materials, Inc. Methods for depositing metallic iridium and iridium silicide
TW202028504A (zh) * 2018-12-03 2020-08-01 德商馬克專利公司 高度選擇性沉積金屬膜之方法
CN109686682B (zh) * 2018-12-14 2020-11-03 中国科学院微电子研究所 一种平衡晶圆间热预算的方法
WO2020219332A1 (en) 2019-04-26 2020-10-29 Applied Materials, Inc. Methods of protecting aerospace components against corrosion and oxidation
US11794382B2 (en) 2019-05-16 2023-10-24 Applied Materials, Inc. Methods for depositing anti-coking protective coatings on aerospace components
US11697879B2 (en) 2019-06-14 2023-07-11 Applied Materials, Inc. Methods for depositing sacrificial coatings on aerospace components
KR20220024443A (ko) * 2019-06-21 2022-03-03 가부시키가이샤 아데카 루테늄 화합물, 박막 형성용 원료 및 박막의 제조 방법
US11466364B2 (en) 2019-09-06 2022-10-11 Applied Materials, Inc. Methods for forming protective coatings containing crystallized aluminum oxide
CN112575312B (zh) * 2019-09-30 2023-08-29 长鑫存储技术有限公司 薄膜制备设备以及薄膜制备方法
CN110724932A (zh) * 2019-10-18 2020-01-24 长江存储科技有限责任公司 膜层及其沉积方法、半导体结构及其形成方法
FI129557B (en) * 2019-11-28 2022-04-29 Picosun Oy Substrate processing apparatus and process
US11519066B2 (en) 2020-05-21 2022-12-06 Applied Materials, Inc. Nitride protective coatings on aerospace components and methods for making the same
US11739429B2 (en) 2020-07-03 2023-08-29 Applied Materials, Inc. Methods for refurbishing aerospace components
WO2022031406A1 (en) 2020-08-03 2022-02-10 Applied Materials, Inc. Batch thermal process chamber
CN113275589B (zh) * 2021-05-20 2024-01-23 亚芯半导体材料(江苏)有限公司 高纯度钛粉、钨钛合金溅射靶材的制备方法及系统

Family Cites Families (111)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3427514A (en) * 1966-10-13 1969-02-11 Rca Corp Mos tetrode
US4310380A (en) * 1980-04-07 1982-01-12 Bell Telephone Laboratories, Incorporated Plasma etching of silicon
JPS5861763A (ja) * 1981-10-09 1983-04-12 武笠 均 触感知器消化装置
US4563367A (en) * 1984-05-29 1986-01-07 Applied Materials, Inc. Apparatus and method for high rate deposition and etching
US4725560A (en) * 1986-09-08 1988-02-16 International Business Machines Corp. Silicon oxynitride storage node dielectric
US4837113A (en) * 1987-07-16 1989-06-06 Texas Instruments Incorporated Method for depositing compound from group II-VI
DE3743938C2 (de) * 1987-12-23 1995-08-31 Cs Halbleiter Solartech Verfahren zum Atomschicht-Epitaxie-Aufwachsen einer III/V-Verbindungshalbleiter-Dünnschicht
JPH0211327U (zh) * 1988-07-04 1990-01-24
US5874766A (en) * 1988-12-20 1999-02-23 Matsushita Electric Industrial Co., Ltd. Semiconductor device having an oxynitride film
US5225366A (en) * 1990-06-22 1993-07-06 The United States Of America As Represented By The Secretary Of The Navy Apparatus for and a method of growing thin films of elemental semiconductors
US5483919A (en) * 1990-08-31 1996-01-16 Nippon Telegraph And Telephone Corporation Atomic layer epitaxy method and apparatus
US5178681A (en) * 1991-01-29 1993-01-12 Applied Materials, Inc. Suspension system for semiconductor reactors
JP3115015B2 (ja) * 1991-02-19 2000-12-04 東京エレクトロン株式会社 縦型バッチ処理装置
JPH05343328A (ja) * 1991-04-30 1993-12-24 Iwasaki Electric Co Ltd Cvd装置
JPH0551952U (ja) * 1991-12-09 1993-07-09 日新電機株式会社 プラズマ処理装置
US5480818A (en) * 1992-02-10 1996-01-02 Fujitsu Limited Method for forming a film and method for manufacturing a thin film transistor
US5391510A (en) * 1992-02-28 1995-02-21 International Business Machines Corporation Formation of self-aligned metal gate FETs using a benignant removable gate material during high temperature steps
JPH06232046A (ja) * 1992-11-30 1994-08-19 Univ Colorado State 光化学蒸着方法
US5865896A (en) * 1993-08-27 1999-02-02 Applied Materials, Inc. High density plasma CVD reactor with combined inductive and capacitive coupling
US5851602A (en) * 1993-12-09 1998-12-22 Applied Materials, Inc. Deposition of high quality conformal silicon oxide thin films for the manufacture of thin film transistors
JPH08130210A (ja) * 1994-10-31 1996-05-21 M C Electron Kk 縦型プラズマリアクター
FI100409B (fi) * 1994-11-28 1997-11-28 Asm Int Menetelmä ja laitteisto ohutkalvojen valmistamiseksi
FI97730C (fi) * 1994-11-28 1997-02-10 Mikrokemia Oy Laitteisto ohutkalvojen valmistamiseksi
FI97731C (fi) * 1994-11-28 1997-02-10 Mikrokemia Oy Menetelmä ja laite ohutkalvojen valmistamiseksi
US6313035B1 (en) * 1996-05-31 2001-11-06 Micron Technology, Inc. Chemical vapor deposition using organometallic precursors
US6342277B1 (en) * 1996-08-16 2002-01-29 Licensee For Microelectronics: Asm America, Inc. Sequential chemical vapor deposition
US6190513B1 (en) * 1997-05-14 2001-02-20 Applied Materials, Inc. Darkspace shield for improved RF transmission in inductively coupled plasma sources for sputter deposition
JPH10173490A (ja) * 1996-12-10 1998-06-26 Sony Corp シンセサイザ受信機
US6174377B1 (en) * 1997-03-03 2001-01-16 Genus, Inc. Processing chamber for atomic layer deposition processes
US6841439B1 (en) * 1997-07-24 2005-01-11 Texas Instruments Incorporated High permittivity silicate gate dielectric
US6020243A (en) * 1997-07-24 2000-02-01 Texas Instruments Incorporated Zirconium and/or hafnium silicon-oxynitride gate dielectric
KR100385946B1 (ko) * 1999-12-08 2003-06-02 삼성전자주식회사 원자층 증착법을 이용한 금속층 형성방법 및 그 금속층을장벽금속층, 커패시터의 상부전극, 또는 하부전극으로구비한 반도체 소자
US6020024A (en) * 1997-08-04 2000-02-01 Motorola, Inc. Method for forming high dielectric constant metal oxides
KR100269328B1 (ko) * 1997-12-31 2000-10-16 윤종용 원자층 증착 공정을 이용하는 도전층 형성방법
KR100275727B1 (ko) * 1998-01-06 2001-01-15 윤종용 반도체 장치의 커패시터 형성방법
US6015917A (en) * 1998-01-23 2000-01-18 Advanced Technology Materials, Inc. Tantalum amide precursors for deposition of tantalum nitride on a substrate
US6506287B1 (en) * 1998-03-16 2003-01-14 Applied Materials, Inc. Overlap design of one-turn coil
KR100267885B1 (ko) * 1998-05-18 2000-11-01 서성기 반도체 박막증착장치
US6027961A (en) * 1998-06-30 2000-02-22 Motorola, Inc. CMOS semiconductor devices and method of formation
KR100304699B1 (ko) * 1999-01-05 2001-09-26 윤종용 탄탈륨 산화막을 갖춘 커패시터 제조방법
US6171900B1 (en) * 1999-04-15 2001-01-09 Taiwan Semiconductor Manufacturing Company CVD Ta2O5/oxynitride stacked gate insulator with TiN gate electrode for sub-quarter micron MOSFET
US6171910B1 (en) * 1999-07-21 2001-01-09 Motorola Inc. Method for forming a semiconductor device
US6184114B1 (en) * 1999-08-17 2001-02-06 Advanced Micro Devices, Inc. MOS transistor formation
US6984415B2 (en) * 1999-08-20 2006-01-10 International Business Machines Corporation Delivery systems for gases for gases via the sublimation of solid precursors
US6511539B1 (en) * 1999-09-08 2003-01-28 Asm America, Inc. Apparatus and method for growth of a thin film
US6753556B2 (en) * 1999-10-06 2004-06-22 International Business Machines Corporation Silicate gate dielectric
AU1924101A (en) * 1999-11-22 2001-06-04 Human Genome Sciences, Inc. Kunitz-type protease inhibitor polynucleotides, polypeptides, and antibodies
US6558509B2 (en) * 1999-11-30 2003-05-06 Applied Materials, Inc. Dual wafer load lock
US6344419B1 (en) * 1999-12-03 2002-02-05 Applied Materials, Inc. Pulsed-mode RF bias for sidewall coverage improvement
US6319766B1 (en) * 2000-02-22 2001-11-20 Applied Materials, Inc. Method of tantalum nitride deposition by tantalum oxide densification
JP4592867B2 (ja) * 2000-03-27 2010-12-08 株式会社半導体エネルギー研究所 平行平板形プラズマcvd装置及びドライクリーニングの方法
US6184072B1 (en) * 2000-05-17 2001-02-06 Motorola, Inc. Process for forming a high-K gate dielectric
EP2293322A1 (en) * 2000-06-08 2011-03-09 Genitech, Inc. Method for forming a metal nitride layer
KR100332314B1 (ko) * 2000-06-24 2002-04-12 서성기 박막증착용 반응용기
US6620723B1 (en) * 2000-06-27 2003-09-16 Applied Materials, Inc. Formation of boride barrier layers using chemisorption techniques
KR100545706B1 (ko) * 2000-06-28 2006-01-24 주식회사 하이닉스반도체 반도체 소자 제조방법
US6936538B2 (en) * 2001-07-16 2005-08-30 Applied Materials, Inc. Method and apparatus for depositing tungsten after surface treatment to improve film characteristics
KR100444149B1 (ko) * 2000-07-22 2004-08-09 주식회사 아이피에스 Ald 박막증착설비용 클리닝방법
US6641673B2 (en) * 2000-12-20 2003-11-04 General Electric Company Fluid injector for and method of prolonged delivery and distribution of reagents into plasma
KR100387259B1 (ko) * 2000-12-29 2003-06-12 주식회사 하이닉스반도체 반도체 소자의 제조 방법
JP3979849B2 (ja) * 2001-01-11 2007-09-19 株式会社日立国際電気 プラズマ処理装置および半導体装置の製造方法
US6878206B2 (en) * 2001-07-16 2005-04-12 Applied Materials, Inc. Lid assembly for a processing system to facilitate sequential deposition techniques
US6596643B2 (en) * 2001-05-07 2003-07-22 Applied Materials, Inc. CVD TiSiN barrier for copper integration
US6828218B2 (en) * 2001-05-31 2004-12-07 Samsung Electronics Co., Ltd. Method of forming a thin film using atomic layer deposition
US6861334B2 (en) * 2001-06-21 2005-03-01 Asm International, N.V. Method of fabricating trench isolation structures for integrated circuits using atomic layer deposition
JP4680429B2 (ja) * 2001-06-26 2011-05-11 Okiセミコンダクタ株式会社 テキスト音声変換装置における高速読上げ制御方法
US20030017697A1 (en) * 2001-07-19 2003-01-23 Kyung-In Choi Methods of forming metal layers using metallic precursors
US6677254B2 (en) * 2001-07-23 2004-01-13 Applied Materials, Inc. Processes for making a barrier between a dielectric and a conductor and products produced therefrom
US20030029715A1 (en) * 2001-07-25 2003-02-13 Applied Materials, Inc. An Apparatus For Annealing Substrates In Physical Vapor Deposition Systems
US6916398B2 (en) * 2001-10-26 2005-07-12 Applied Materials, Inc. Gas delivery apparatus and method for atomic layer deposition
US6674138B1 (en) * 2001-12-31 2004-01-06 Advanced Micro Devices, Inc. Use of high-k dielectric materials in modified ONO structure for semiconductor devices
US6677247B2 (en) * 2002-01-07 2004-01-13 Applied Materials Inc. Method of increasing the etch selectivity of a contact sidewall to a preclean etchant
US20030164143A1 (en) * 2002-01-10 2003-09-04 Hitachi Kokusai Electric Inc. Batch-type remote plasma processing apparatus
US6504214B1 (en) * 2002-01-11 2003-01-07 Advanced Micro Devices, Inc. MOSFET device having high-K dielectric layer
US6972267B2 (en) * 2002-03-04 2005-12-06 Applied Materials, Inc. Sequential deposition of tantalum nitride using a tantalum-containing precursor and a nitrogen-containing precursor
JP3957549B2 (ja) * 2002-04-05 2007-08-15 株式会社日立国際電気 基板処埋装置
KR100829327B1 (ko) * 2002-04-05 2008-05-13 가부시키가이샤 히다치 고쿠사이 덴키 기판 처리 장치 및 반응 용기
US6846516B2 (en) * 2002-04-08 2005-01-25 Applied Materials, Inc. Multiple precursor cyclical deposition system
US6682973B1 (en) * 2002-05-16 2004-01-27 Advanced Micro Devices, Inc. Formation of well-controlled thin SiO, SiN, SiON layer for multilayer high-K dielectric applications
US6858547B2 (en) * 2002-06-14 2005-02-22 Applied Materials, Inc. System and method for forming a gate dielectric
KR100476926B1 (ko) * 2002-07-02 2005-03-17 삼성전자주식회사 반도체 소자의 듀얼 게이트 형성방법
US6838125B2 (en) * 2002-07-10 2005-01-04 Applied Materials, Inc. Method of film deposition using activated precursor gases
US20040009336A1 (en) * 2002-07-11 2004-01-15 Applied Materials, Inc. Titanium silicon nitride (TISIN) barrier layer for copper diffusion
US7105891B2 (en) * 2002-07-15 2006-09-12 Texas Instruments Incorporated Gate structure and method
US6723658B2 (en) * 2002-07-15 2004-04-20 Texas Instruments Incorporated Gate structure and method
US20040013803A1 (en) * 2002-07-16 2004-01-22 Applied Materials, Inc. Formation of titanium nitride films using a cyclical deposition process
US7186385B2 (en) * 2002-07-17 2007-03-06 Applied Materials, Inc. Apparatus for providing gas to a processing chamber
US6955211B2 (en) * 2002-07-17 2005-10-18 Applied Materials, Inc. Method and apparatus for gas temperature control in a semiconductor processing system
US7066194B2 (en) * 2002-07-19 2006-06-27 Applied Materials, Inc. Valve design and configuration for fast delivery system
KR100468852B1 (ko) * 2002-07-20 2005-01-29 삼성전자주식회사 캐패시터 구조체 형성 방법
US6772072B2 (en) * 2002-07-22 2004-08-03 Applied Materials, Inc. Method and apparatus for monitoring solid precursor delivery
US20040018738A1 (en) * 2002-07-22 2004-01-29 Wei Liu Method for fabricating a notch gate structure of a field effect transistor
US7300038B2 (en) * 2002-07-23 2007-11-27 Advanced Technology Materials, Inc. Method and apparatus to help promote contact of gas with vaporized material
US6921062B2 (en) * 2002-07-23 2005-07-26 Advanced Technology Materials, Inc. Vaporizer delivery ampoule
US7449385B2 (en) * 2002-07-26 2008-11-11 Texas Instruments Incorporated Gate dielectric and method
JP4020306B2 (ja) * 2002-10-07 2007-12-12 株式会社日立国際電気 基板処埋装置
US6982230B2 (en) * 2002-11-08 2006-01-03 International Business Machines Corporation Deposition of hafnium oxide and/or zirconium oxide and fabrication of passivated electronic structures
US7553686B2 (en) * 2002-12-17 2009-06-30 The Regents Of The University Of Colorado, A Body Corporate Al2O3 atomic layer deposition to enhance the deposition of hydrophobic or hydrophilic coatings on micro-electromechanical devices
US20050016956A1 (en) * 2003-03-14 2005-01-27 Xinye Liu Methods and apparatus for cycle time improvements for atomic layer deposition
WO2004113585A2 (en) * 2003-06-18 2004-12-29 Applied Materials, Inc. Atomic layer deposition of barrier materials
US7368392B2 (en) * 2003-07-10 2008-05-06 Applied Materials, Inc. Method of fabricating a gate structure of a field effect transistor having a metal-containing gate electrode
US8536492B2 (en) * 2003-10-27 2013-09-17 Applied Materials, Inc. Processing multilayer semiconductors with multiple heat sources
US6983892B2 (en) * 2004-02-05 2006-01-10 Applied Materials, Inc. Gas distribution showerhead for semiconductor processing
US20050217560A1 (en) * 2004-03-31 2005-10-06 Tolchinsky Peter G Semiconductor wafers with non-standard crystal orientations and methods of manufacturing the same
US20060019033A1 (en) * 2004-05-21 2006-01-26 Applied Materials, Inc. Plasma treatment of hafnium-containing materials
CN101570856B (zh) * 2004-06-28 2011-01-26 东京毅力科创株式会社 成膜装置
US7241686B2 (en) * 2004-07-20 2007-07-10 Applied Materials, Inc. Atomic layer deposition of tantalum-containing materials using the tantalum precursor TAIMATA
US20060019032A1 (en) * 2004-07-23 2006-01-26 Yaxin Wang Low thermal budget silicon nitride formation for advance transistor fabrication
JP2006066884A (ja) * 2004-07-27 2006-03-09 Tokyo Electron Ltd 成膜方法、成膜装置及び記憶媒体
US20070020890A1 (en) * 2005-07-19 2007-01-25 Applied Materials, Inc. Method and apparatus for semiconductor processing
US7317229B2 (en) * 2005-07-20 2008-01-08 Applied Materials, Inc. Gate electrode structures and methods of manufacture

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI588867B (zh) * 2014-12-16 2017-06-21 卡爾蔡司Smt有限公司 離子化裝置及包含離子化裝置的質譜儀
US10236169B2 (en) 2014-12-16 2019-03-19 Carl Zeiss Smt Gmbh Ionization device with mass spectrometer therewith
TWI688039B (zh) * 2017-11-21 2020-03-11 美商瓦特洛威電子製造公司 具有原子保護層之陶瓷台座
US11018048B2 (en) 2017-11-21 2021-05-25 Watlow Electric Manufacturing Company Ceramic pedestal having atomic protective layer

Also Published As

Publication number Publication date
JP5301430B2 (ja) 2013-09-25
WO2007131040A3 (en) 2008-01-10
JP2009536267A (ja) 2009-10-08
EP2022084A2 (en) 2009-02-11
WO2007131040A2 (en) 2007-11-15
KR101046071B1 (ko) 2011-07-01
US20070259111A1 (en) 2007-11-08
CN101438391A (zh) 2009-05-20
CN103215570A (zh) 2013-07-24
TW200801228A (en) 2008-01-01
KR20090007486A (ko) 2009-01-16
CN101438391B (zh) 2013-04-10
JP2013241678A (ja) 2013-12-05
TWI404816B (zh) 2013-08-11

Similar Documents

Publication Publication Date Title
TWI404816B (zh) 光激發可用於原子層沈積之介電層的化學物之方法與設備
KR102367720B1 (ko) 이중 선택적 퇴적
JP6813983B2 (ja) アルミニウム及び窒素を含む材料の選択的堆積
US8168269B2 (en) Plasma, UV and ion/neutral assisted ALD or CVD in a batch tool
EP0863227B1 (en) Method and apparatus for forming laminated thin films or layers
US8435905B2 (en) Manufacturing method of semiconductor device, and substrate processing apparatus
TWI383449B (zh) 半導體裝置之製造方法、基板處理裝置及基板處理方法
KR20200038425A (ko) 선택적 패시베이션 및 선택적 증착
TWI565829B (zh) A semiconductor device manufacturing method, a substrate processing apparatus, a substrate processing system, and a recording medium
TW201936970A (zh) 用於氮化矽薄膜的處理
US9121098B2 (en) NanoLayer Deposition process for composite films
JP4579157B2 (ja) 処理装置及び切り替え機構
US20040026371A1 (en) Two-compartment chamber for sequential processing method
KR20100132779A (ko) 박막 형성 방법 및 이의 제조 장치
KR20090085654A (ko) 금속 카바이드 막의 기상 증착
JP2005314713A (ja) ルテニウム膜またはルテニウム酸化物膜の製造方法
TWI643971B (zh) 使用空間原子層沉積或脈衝化學氣相沉積之薄膜沉積
JP2006257551A (ja) Aldによる貴金属の促進された堆積
JP6681398B2 (ja) ジルコニウム含有膜を蒸着するためのジルコニウム含有膜形成組成物
KR100985363B1 (ko) 반도체 장치의 제조방법 및 기판처리 장치
TWI515803B (zh) 矽化鉭內的摻雜鋁
KR102597990B1 (ko) 알루미늄 및 질소를 포함하는 물질의 선택적 증착 방법
TW202333302A (zh) 用於形成低電阻率鎢特徵的方法