TW201104013A - Film deposition apparatus, film deposition method, and computer readable storage medium - Google Patents

Film deposition apparatus, film deposition method, and computer readable storage medium Download PDF

Info

Publication number
TW201104013A
TW201104013A TW099106055A TW99106055A TW201104013A TW 201104013 A TW201104013 A TW 201104013A TW 099106055 A TW099106055 A TW 099106055A TW 99106055 A TW99106055 A TW 99106055A TW 201104013 A TW201104013 A TW 201104013A
Authority
TW
Taiwan
Prior art keywords
turntable
gas
film
film thickness
separation
Prior art date
Application number
TW099106055A
Other languages
English (en)
Other versions
TWI486483B (zh
Inventor
Hitoshi Kato
Manabu Honma
Original Assignee
Tokyo Electron Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Tokyo Electron Ltd filed Critical Tokyo Electron Ltd
Publication of TW201104013A publication Critical patent/TW201104013A/zh
Application granted granted Critical
Publication of TWI486483B publication Critical patent/TWI486483B/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67253Process monitoring, e.g. flow or thickness monitoring
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/401Oxides containing silicon
    • C23C16/402Silicon dioxide
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45544Atomic layer deposition [ALD] characterized by the apparatus
    • C23C16/45548Atomic layer deposition [ALD] characterized by the apparatus having arrangements for gas injection at different locations of the reactor for each ALD half-reaction
    • C23C16/45551Atomic layer deposition [ALD] characterized by the apparatus having arrangements for gas injection at different locations of the reactor for each ALD half-reaction for relative movement of the substrate and the gas injectors or half-reaction reactor compartments
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/52Controlling or regulating the coating process
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/54Apparatus specially adapted for continuous coating
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01BMEASURING LENGTH, THICKNESS OR SIMILAR LINEAR DIMENSIONS; MEASURING ANGLES; MEASURING AREAS; MEASURING IRREGULARITIES OF SURFACES OR CONTOURS
    • G01B11/00Measuring arrangements characterised by the use of optical techniques
    • G01B11/02Measuring arrangements characterised by the use of optical techniques for measuring length, width or thickness
    • G01B11/06Measuring arrangements characterised by the use of optical techniques for measuring length, width or thickness for measuring thickness ; e.g. of sheet material
    • G01B11/0616Measuring arrangements characterised by the use of optical techniques for measuring length, width or thickness for measuring thickness ; e.g. of sheet material of coating
    • G01B11/0683Measuring arrangements characterised by the use of optical techniques for measuring length, width or thickness for measuring thickness ; e.g. of sheet material of coating measurement during deposition or removal of the layer
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N21/00Investigating or analysing materials by the use of optical means, i.e. using sub-millimetre waves, infrared, visible or ultraviolet light
    • G01N21/17Systems in which incident light is modified in accordance with the properties of the material investigated
    • G01N21/21Polarisation-affecting properties
    • G01N21/211Ellipsometry
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67196Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the transfer chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67739Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber
    • H01L21/67742Mechanical parts of transfer devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67739Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber
    • H01L21/67748Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber horizontal transfer of a single workpiece
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68764Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by a movable susceptor, stage or support, others than those only rotating on their own vertical axis, e.g. susceptors on a rotating caroussel
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68771Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by supporting more than one semiconductor substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/10Measuring as part of the manufacturing process
    • H01L22/12Measuring as part of the manufacturing process for structural parameters, e.g. thickness, line width, refractive index, temperature, warp, bond strength, defects, optical inspection, electrical measurement of structural dimensions, metallurgic measurement of diffusions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/20Sequence of activities consisting of a plurality of measurements, corrections, marking or sorting steps
    • H01L22/26Acting in response to an ongoing measurement without interruption of processing, e.g. endpoint detection, in-situ thickness measurement
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/0001Technical content checked by a classifier
    • H01L2924/0002Not covered by any one of groups H01L24/00, H01L24/00 and H01L2224/00

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • General Physics & Mathematics (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Computer Hardware Design (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Mechanical Engineering (AREA)
  • Materials Engineering (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Inorganic Chemistry (AREA)
  • Health & Medical Sciences (AREA)
  • Robotics (AREA)
  • Life Sciences & Earth Sciences (AREA)
  • Analytical Chemistry (AREA)
  • Biochemistry (AREA)
  • General Health & Medical Sciences (AREA)
  • Immunology (AREA)
  • Pathology (AREA)
  • Chemical Vapour Deposition (AREA)

Description

201104013 τ、發明說明: 【發明所屬之技術領域】 本發明係關於一種可監測成膜中的膜厚之成膜裝 置、成膜方法及電腦可讀式記憶媒體。 【先前技術】 半導體積體電路之製造中,為了在基板上形成各種 薄膜係進行各種成膜步驟。而隨著因高集積化而使得電 路圖案更加微細化或薄膜更加薄層化,更加要求對成膜 步驟中基板面内之膜厚均句性與膜厚控制性的改善。為 了能夠對應於此種要求,原子層成膜法(亦稱為分子層 成膜法)便受到矚目(例如專利文獻1)。 適用於原子層成膜法的薄膜成膜裝置當中,有利用 能夠平放2片至6片左右的晶圓之載置台。此種薄膜成 膜裝置一般來說係設置有可迴轉之載置台、於載置台的 上方朝載置台的半徑方向延伸之一種原料化合物氣體 用氣體喷嘴、吹淨氣體用氣體噴嘴、其他原料氣體用氣 體喷嘴及吹淨氣體用氣體喷嘴。該等氣體供給部係依照 上述順序所配置,當一邊從該等氣體供給部供給相對應 之氣體一邊迴轉載置台時,則針對載置台上所載置之基 板,會依序地進行一種原料化合物氣體的分子的吸附、 一種原料化合物氣體的吹淨、其他原料化合物氣體的分 子的吸附及其他原料化合物氣體的吹淨。如此地當迴轉 載置台1次時,便可使一種原料化合物氣體的分子與其 4 201104013 他原料化合物氣體的分子1層分子層〗層分子層地吸附 在基板上,藉由兩者的反應,則可在基板上形成丨層分 子層的反應生成物。 刀 、 #你>土丄 -..1 -〜火奶〜π只g條膜厚 台 以該物質每1層分子層的厚度,則可求得所需之载置 的迴轉數,而利用該迴轉數來達成目標膜厚。 專利文獻1 .美國專利公報第6,646,235 efl 書(圖2,圖3) 以兄月 專利文獻2 :日本特開2003-224108號公報 然而,本發明之發明者們檢討後的結果,發現因 述各種理由,會有?、依靠迴賴仍無法 情況。例如所欲成膜之物質每i戶分子骐居的 膜,皿度核Μ條件的不同而相異之#況。又, ,晶或非晶狀時’會與單晶時的情況不同,二多二 母1層分子層的厚度(原子間距 者’所欲成膜之物質為化合物時,亦有二=:二; 1層分子層的厚度產生變化的情況。、、且成而使付母 壓或二广氣斷同,因其蒸氣 子層以上时基板的分子變成二分 載置台的迴轉速度、原料氣體的供給量 小的)溫度分佈等,亦會有韻在基^^的(= 子層以上的情況。 汉耵刀子變成一分 1層分子層的. 因上述情事’ g卩使以目標膜厚除以每 201104013 :度來:知所品迴轉數,未必〆定能夠利用該迴轉數來 達f目標膜厚。因此,-般係在特定的成膜條件下進行 所谓的、條件測試,來求得載置台的所需趣轉數。由於條 件測試必_合所欲成膜之膜雜類或所欲製造之元 件,種類來進行’故會赵製減本增加Μ置因條件 測試而造成製造相關的運轉次數減少之問題。 另一方面,用於製造半導體裝置之蝕刻裝置中,已 知有-種即使在製造過程中仍可以檢測出處理终點的 方法(例如專利文獻2) ’但依本發明者們所知,尚未有 充分地進行具有優異的膜厚控制性之原子層成膜法的 評估。但由於將來膜厚的控制性及膜厚的均勻性會被要 求有更好的改善,故期望原子層成膜法中亦能夠測量成 膜中的膜厚。 【發明内容】 為達成上述目的,本發明之第丨樣態係供一種成膜 裝置,係藉由於容器内實行將至少2種會互相反應之反 應氣體依序供給至基板的循環以於該基板上生成反應 生成物的層而形成薄膜。該成膜裝置具有: 迴轉台,係可迴轉地設置於該容器内,並於一面具 有載置該基板之載置區域; 窗部,係對向於該容器的該迴轉台而氣密地設置於 該容器; ' 膜厚測量部,係通過該窗部來光學地測量成膜於兮 201104013 迴轉台所載置之該基板的薄膜膜厚; 第1反應氣體供給部,係將第1反應氣體供給至該 一面所構成; 第2反應氣體供給部,係沿該迴轉台的迴轉方向而 與該第1反應氣體供給部相隔有距離,並將第2反應氣 體供給至該一面所構成; 分離區域,係沿該迴轉方向位於供給有該第1反應 氣體之第1處理區域與供給有該第2反應氣體之第2處 理區域之間,以將該第1處理區域與該第2處理區域加 以分離; 中央區域,係位於該容器的中央部,並具有沿該一 面噴出第1分離氣體之喷出孔,以將該第1處理區域與 該第2處理區域加以分離;以及 排氣口,係設置於該容器以將該容器内排氣; 其中該分離區域包含有:分離氣體供給部,係供給 第2分離氣體;以及頂面,係相對於該迴轉台的該一面 形成有可使該第2分離氣體相對於該迴轉方向而從該 分離區域流至該處理區域側的狹窄空間。 本發明之第2樣態係提供一種成膜方法,係於容器 内實行將至少2種會互相反應之反應氣體依序供給至 基板的循環以於該基板上生成反應生成物的層而形成 薄膜。該成膜方法包含有以下步驟: 將該基板載置在可迴轉地設置於該容器内之迴轉 台的一面所區劃來載置該基板的載置區域之步驟; 7 201104013 迴轉載置有該基板的該迴轉台之步驟; 從第1反應氣體供給部向該迴轉台供給第1反應氣 體之步驟; 從沿該迴轉台的迴轉方向而與該第1反應氣體供 給部相隔有距離之第2反應氣體供給部向該迴轉台供 給第2反應氣體之步驟; 從位於從該第1反應氣體供給部供給有該第1反應 氣體之第1處理區域與從該第2反應氣體供給部供給有 該第2反應氣體之第2處理區域之間的分離區域所設置 之分離氣體供給部來供給第1分離氣體,並於該分離區 域的頂面與該迴轉台之間所形成的狹窄空間,使該第1 分離氣體相對於該迴轉方向而從該分離區域流至該處 理區域側之步驟; 從位於該容器的中央部之中央部區域所形成的喷 出孔來供給第2分離氣體之步驟; 將該容器排氣之步驟;以及 光學地測量藉由迴轉步驟而迴轉之該迴轉台上的 該基板所成膜之薄膜膜厚之步驟。 本發明之第3種樣態係提供一種電腦可讀式記憶 媒體,係於第1樣態之成膜裝置收納有實施第2樣態的 成膜方法之程式。 【實施方式】 本發明之實施形態係提供一種可即時監測成膜中 201104013 的膜厚之成膜裝置、成膜方法及電腦可讀式記憶媒體。 以下,針對本發明實施形態之成膜裝置,參照添附圖式 加以說明。 本發明實施形態之成膜裝置200如圖1(沿圖3的 B-B線之剖面圖)、圖2及圖3所示,係具備:扁平狀 真空容器1,其平面形狀略呈圓形;迴轉台2,係設置 於該真空容器1内,且其迴轉中心係位於該真空容器1 的中心處。真空容器1係能自容器本體12處將頂板11 分離的結構。頂板11係透過例如Ο型環等密封組件13 而裝設於容器本體12,以維持真空容器1的氣密狀態。 另一方面,欲使頂板11自容器本體12處分離時,則藉 由圖中未顯示的驅動機構來將頂板11朝上方抬起。 又,頂板11設置有具有階段部之開口,利用該階 段部並透過〇型環等密封組件(未圖示)而裝設有透過窗 201。藉以將透過窗201氣密地裝設於真空容器1。透 過窗201係由例如石英玻璃所製作,係用於利用膜厚測 量系統101來測量成膜在晶圓W上的薄膜膜厚。又, 透過窗201的寬度大致與後述載置台所載置之晶圓W 的直徑相等,並沿著真空容器1的直徑方向所設置。藉 此,可沿著晶圓W的直徑方向測量複數個點的膜厚。 膜厚測量系統101於本實施形態中為利用橢圓偏光法 之膜厚測量系統。 迴轉台2在本實施形態中係利用厚度約20mm的碳 板所製作,而形成為直徑約960mm的圓板形狀。又, 201104013 迴轉台2上面、内面及側面亦可以SiC塗佈。但迴轉台 2於其他實施形態中,亦可由石英等其他的材料來形 成。參照圖1,迴轉台2於中央處具有圓形開口部,而 在開口部的周圍藉由圓筒形核心部21將迴轉台2從上 下挾持並持定。核心部21係固定於朝鉛直方向延伸之 迴轉轴22上端。迴轉軸22係貫穿容器本體12的底面 部14,其下端係裝設於使該迴轉軸22繞鉛直軸周圍迴 轉之驅動部23。藉由該結構,可使迴轉台2以其中心 為軸而朝例如圖2所示之迴轉方向RD迴轉。又,迴轉 轴22及驅動部23係收納於上面具有開口之筒狀殼體 20内。該殼體20係透過設置於其上面之凸緣部20a而 氣密地裝設於真空容器1的底面部14下面,藉以將殼 體20的内部氣氛自外部氣氛隔離。 如圖2及圖3所示,迴轉台2的上面處分別形成有 用以載置晶圓W之複數個(圖式之範例中為5個)圓形凹 部狀載置部24。但圖3中只顯示1片晶圓W。載置部 24相互之間係以約72°的角度間隔設置於迴轉台2上。 參照圖4(a),圖4(a)係顯示載置部24與被載置於 載置部24之晶圓W的剖面。如該圖所示,載置部24 之直徑僅較晶圓W之直徑稍大(例如大4mm),又其深 度係與晶圓W之厚度相等。因此將晶圓W置入載置部 24時,晶圓W的表面會與除了載置部24以外的迴轉台 2區域表面齊高。假設,當晶圓W與該區域之間具有較 大段差時,該段差會導致氣體的流動產生亂流,而使得 10 201104013 晶圓w上的膜厚均勻性受到影響。因此,雨表面為相 同高度。「相同高度」在此處係指高度差約為5mm以了 的意思,但可在加工精確度容許的範圍内而僂可能接近 於零。 又,載置部24的底部形成有3個貫穿孔(未圖示)’ 而透過該等3個貫穿孔來將3個昇降銷(參照圖9)昇 降。昇降銷係支撐晶圓W的内面以將晶圓W昇降° 如圖2、圖3及圖9所示,容器本體丨2的側壁處 形成有搬送口 15。晶圓W係經由搬送口 15益藉由搬送 臂10而朝真空容器1中,或從真空容器1朝外被搬送° 該搬送口 15設置有閘閥(未圖示),而藉以開閉搬送口 15。當一個载置部24對齊於搬送口 15,而閘閥打開時’ 則晶圓W會藉由搬送臂1〇而被搬送至真空容器1内’ 並從搬送臂10被放置在載置部24。為了從搬送臂10 將晶圓W卸下至載置部24或從載置部24提升’而設 置有昇降銷16(圖9),昇降銷係藉由昇降機構(未圖示) 而透過形成於迴轉台2的載置部24之貫穿扎被昇降。 依上述方式來將晶圓W載置在載置部24。 再次參照圖1。透過窗201的上方設ΐ有膜厚測量 系統101。膜厚測量系統1〇1係具有:設置於透過窗201 的上面之3個光學單元l〇2a〜l〇2c、對應於光學單元 102a〜102c而光學地連接之光纖線i〇4a〜104c、該等光 纖線104a〜104c所光學地連接之測量單元1〇6、以及為 了控制測量單元106而與測量單元106電連接之控制單 11 201104013 元108。控制單元i〇8可為例如電腦,係與進行成膜裝 置200整體的控制之控制部100電連接,而在兩者之間 來進行訊號的傳送/接收。藉此,成膜裝置2〇〇與膜厚 測量系統101可協力地動作。 圖5係顯示光學單元102a與測量單元1〇6的結構
之概略11。如圖所示,絲單元1G2a具有光放射部LE 與受光部D1。又,測量單元则具有包含核氣燈等 之光源106a、分光器106b及分別接受來自分光器1〇沾 的光之又光器106(^再者,光纖線1〇4a係具有2根光 纖 OF1、OF2。 此外 圃5中雖省略了光學單元i〇2b 及102c ,但 該等係與光學單元黯具有相同的結構,又,測量單 元⑽係、具有對應於光學單纟102b及账之分光界 106b與受光器1〇6c。 學單^1G2a之光放射部le#藉由光 0F1,而與測量單元106的光請^ 而:引導 此’來自光源106“勺光會通過光纖OF1 =:LEr射部LE,並從光放射㈣射出。又, 向晶圓°_=^戶_之光以光束Βι朝 統。該光學片(未圖示)等之光學系 線地偏光4==向;圓/射*之光束-直 以特定為了使光束 系統的角度Μ度難部(未圖示)/、有用以調整光學 12 201104013 另一方面’光學單元102a之受光部D1係藉由光纖 線l〇4a的光纖OF2而與測量單元1〇6的分光器1〇汕 光學地連接。受光部Dl係以能接受從光放射部對 曰曰圓W以特定的角度射出之光束Bi在晶圓w的表面 反射之反射光束Br之方式設置。例如,光放射部LE 與受光部D1係以相對於晶圓w的法線以等角度傾斜, 且光束Bi、反射光束Br及法線形成—平面之方式設 置又’党光部D1為了使依上述方式党光之反射光束 Br入射至光纖0F2,而具有特定的光學系統。該光學 系統包含有使反射光束Br圓形地偏光之光彈性調變器 PEM與偏光子P。如此地,光學單元l〇2a〜l〇2c便包含 有相位調變型橢圓偏光儀所需之光學組件。 藉由受光部D1所受光之反射光束Br會通過光纖 OF2而被引導至分光器i〇6b ’並在分光器1〇6b中分光 為反射光束Br(白色光),分光光線會入射至受光界 l〇6c。受光器i〇6c係包含有例如光二極體或光電子增 倍管等,而將對應於入射至受光器106c之分光光線的 強度之輸出訊號輸出至控制單元108。又,控制單元1〇8 係將控制訊號輪出至分光器l06b,以驅動分光器 106b。因此,控制單元108可取得分光器1〇6b所分光 之光線的波長(光子能量)與其光強度的關係。控制單元 108根據該關係並依照特定的計算方式,便可求得晶圓 W上所成膜之薄膜膜厚。 又,控制單元108可控制用以將電功率供給至測量 13 201104013 單元106的光源106a之電源(未圖示),並可透過將控制 訊號輸出至電源來控制光源l〇6a。又,光源106a與光 纖OF1之間設置有用以將來自光源的光線入射至光纖 OF1之光學系統(未圖示)。又,光源106a與光纖OF1 之間設置有藉由控制單元108的控制而開閉之快門(未 圖示),藉以在特定的時間點對晶圓W照射光束Bi,並 在特定的時間點測量晶圓W上所成膜之薄膜膜厚。 再次參照圖2及圖3,迴轉台2上方處設置有反應 氣體喷嘴3卜反應氣體喷嘴32及分離氣體噴嘴41、42, 該等喷嘴係以特定的角度間隔朝半徑方向延伸。迴轉台 2之載置部24可通過喷嘴31、32、41及42的下方。 圖式之例中,反應氣體喷嘴32、分離氣體喷嘴41、反 應氣體喷嘴31及分離氣體喷嘴42係以該順序而順時針 方向地設置。該等氣體喷嘴31、32、41、42係貫穿容 器本體12的周壁部,並藉由將端部(氣體導入槔31a、 32a、41a、42a)裝設於容器本體12的外周壁而被加以支 撐。氣體喷嘴31、32、41、42在圖式之例中,係從真 空容器1的周壁部被導入至真空容器1内,但亦可從環 狀突出部5(將敘述於後)來導入。該情況下,可在突出 部5的外周面與頂板11的外表面設置具有開口之L形 導管,並於真空容器1内將氣體喷嘴31(32、41、42) 連接至L形導管的一側開口,而於真空容器1的外部將 氣體導入埠31a(32a,41a,42a)連接至L形導管的另一 側開口。 14 201104013 雖未加以圖示,但反應氣體喷嘴31係連接至二(特 丁胺基)矽烷(BTBAS;第1反應氣體)的氣體供給源,反 應氣體噴嘴32係連接至臭氧(〇3 ;第2反應氣體)的氣 體供給源。 反應氣體喷嘴31、32係於噴嘴的長度方向間隔地 排列設置有用以朝下方側喷出反應氣體之喷出孔33。 本實施形態中,喷出孔33的口徑約為0.5mm,而沿著 反應氣體喷嘴31、32的長度方向以約10mm的間隔排 列設置。又,亦有將反應氣體喷嘴31的下方區域稱為 用以使BTBAS氣體吸附在晶圓的處理區域P1,並將反 應氣體喷嘴32的下方區域稱為用以使吸附在晶圓之 BTBAS氣體被03氣體氧化的處理區域P2之情況。 另一方面,分離氣體喷嘴41、42係連接至分離氣 體的氣體供給源(未圖示)。分離氣體可為氮(N2)氣或He 氣或Ar氣等非活性氣體,又,只要是對成膜不會造成 影響的氣體,對分離氣體的種類並未特別限定。本實施 形態中,分離氣體係使用N2氣體。分離氣體喷嘴41、 42具有用以朝下方側喷出分離氣體之噴出孔40。喷出 孔40係在長度方向以特定的間隔排列設置。本實施樣 態中,喷出孔40的口徑約為0.5mm,並沿著分離氣體 噴嘴41、42的長度方向以約10mm的間隔排列設置。 分離氣體喷嘴4卜42係設置於以將處理區域P1與 處理區域P2分離之方式所構成的分離區域D。各分離 區域D中,如圖2、圖3、圖4(a)及圖4(b)所示,真空 15 201104013 今器1的頂板11係設置有凸狀部4。凸狀部4的上面形 狀為扇形’其頂部係位於真空容器1的中心,而圓弧則 位於沿著容器本體12的内周鶴近。又,凸狀部4具 有如同將凸狀部4劃分為二而朝半徑方向延伸的溝部 溝部43收納有分離氣體嘴41(42)。分離氣體喷 嘴41(42)的中心轴與扇形β狀部4其中—邊之間的距離 係與分離氣體噴嘴41(42)的中心|績扇形凸狀部4另一 邊之間的距離幾乎相同。此外,在本實施例中雖係以將 凸狀部4齡為二#分之方絲形成溝部43,但在其 他的實施例中,亦可以例如凸狀部4中之迴轉台2的迴 轉方向上游側較為寬廣之方式來形成溝部Μ。 依上述結構,如圖4(a)所示,分離氣體噴嘴41(42) 兩側具有平坦的低頂面44(第!頂面),低頂面私兩側 則具有高頂面45(第2頂面凸狀部4(頂面44)形成有 用以阻止第1及第2反應氣體侵人凸狀部4與迴轉台2 之間並阻止該等反應氣體發生混合的狹窄空間办 間)。 參照圖4(b),沿著迴轉台2的迴轉方向從反應氣體 噴嘴32流向凸狀部4的〇3氣體會被卩且止 * 又,沿著迴轉台2迴轉方向的相反方向而從又反應:體喷 嘴3】流向凸狀部4的BTBAS氣體會被阻止侵入該空 間。所謂「阻止氣體侵入」係指分離氣體噴嘴41所噴 出之分離氣體(N2氣體)會在頂面44與迴轉台2的表面 之間擴散,本例中係向鄰接於該頂面44之頂面45的下 16 201104013 側空間噴出,藉以使來自頂面45下側空間的氣體無法 侵入的意思。然後,所謂「氣體無法侵入」並不僅指完 全無法從頂面45的下側空間進入至凸狀部4的下側空 間的情況,而亦指即使有一部分反應氣體侵入,但該反 應氣體卻無法朝向分離氣體噴嘴41再更加前進,故不 會發生相互混合的意思。亦即,只要能得到這種的作 用,分離區域D便可發揮分離處理區域P1及處理區域 P2的功用。又,已吸附在晶圓之氣體當然可通過分離 區域D内。因此,所指的阻止氣體侵入係指氣相中的氣 體。 參照圖1、圖2及圖3,頂板11下面設置有内周圍 係面向核心部21的外周面之方式所設置的環狀突出部 5。突出部5在較核心部21要外側的區域係對向於迴轉 台2。又,突出部5與凸狀部4為一體成型,且凸狀部 4的下面與突出部5的下面形成一平面。亦即,突出部 5下面自迴轉台2起的高度係與凸狀部4下面(頂面44) 的高度相同。該高度將於後文以高度h加以敘述。但突 出部5與凸狀部4可為非一體成型,而亦可為分別的個 體。此外,圖2及圖3係顯示凸狀部4仍留在容器1内 而將頂板11取下時的真空容器1内部結構。 本實施形態中,分離區域D係藉由於成為凸狀部4 之扇形板處形成有溝部43,並將分離氣體喷嘴41(42) 設置於溝部43而加以形成。但是亦可將兩片扇形板設 置在分離氣體喷嘴41(42)的兩側,而以螺絲來將該等兩 17 201104013 片扇形板組裝在頂板η的下面β 本實施形態中,於真空容器i内處理直徑約300mm 的晶圓W時,凸狀部4之沿著自迴轉台之迴轉中心相 距14〇mm的内側圓弧η(圖3)之圓周方向長度為例如 140mm,而沿著對應於迴轉台2的載置部24最外部之 外側圓弧1〇(圖3)的圓周方向長度為例如502mm。又’ 沿著外側圓弧1〇從凸狀部4的一邊側壁至溝部43附近 的側壁之圓周方向長度約為246mm。 又,凸狀部4的下面,即頂面44自迴轉台2表面 所測得的高度h(圖4(a))可為例如約〇.5ππη至約 1 Omm ’車父佳地約為4mm。又,迴轉台2的迴轉數k 0又 定為例如1 rpm〜500i.pm。為了確保分離區域D的分離功 能,可配合處理容器1内的壓力或迴轉台2的迴轉數 等,而例如經由實驗等來設定凸狀部4的大小成凸狀部 4的下面(第1頂面44)與迴轉台2表面的高度h° 圖6係顯示沿著圖3之A-A線的半剖面圖’此處 顯示凸狀部4以及與凸狀部4 一體成型之突出部5。參 照圖6,凸狀部4係具有於其外緣處彎曲呈L形之壽曲 部46。由於凸狀部4係裝設於頂板n,龙彳速同頂板 11 一起自容器本體12分離,因此雖然彎曲部粕與迴轉 台2之間及彎曲部46與容器本體12之間存在有極微小 的間隙,但彎曲部46大致填補了迴轉台2與容器本體 12之間的空間,故可防止來自反應氣體喷嘴3ia的第1 反應氣體(BTBAS)與來自反應氣體喷嘴32a的第2反應 201104013 氣體(臭氧)通過該間隙而發生混合。彎曲部46與容器本 體12之間的間隙及彎曲部46與迴轉台2之間的微小間 隙,係與上述迴轉台至凸狀部4的頂面44之高度h為 幾乎相同的大小。圖式之例中,彎曲部46面向迴轉台 2外周面之側壁則構成了分離區域D的内周壁。 再參照沿著圖3所示之B-B線之剖面圖(圖1),容 器本體12在對向於迴轉台2外周面之容器本體12的内 周部具有凹部。於後文中將該凹部稱為排氣區域6。排 氣區域6下方設置有排氣口 61(其他的排氣口 62則參照 圖3),該等排氣口係透過其他的排氣口 62亦可使用之 排氣管63而連接至真空幫浦64。又,排氣管63設置 有壓力調整器65。亦可將複數個壓力調整器65設置在 相對應的排氣口 61、62。 再參照圖3,從上方所見,排氣口 61係設置於反 應氣體喷嘴31與相對於反應氣體喷嘴31而位於迴轉台 2的順時針迴轉方向下游處之凸狀部4之間。藉由該結 構,排氣口 61可實質地將來自反應氣體喷嘴31的 BTBAS氣體專門排氣。另一方面,從上方觀之,排氣 口 62係設置於反應氣體噴嘴32與相對於反應氣體噴嘴 32而位於迴轉台2的順時針迴轉方向下游之凸狀部4 之間。藉由該結構,排氣口 62可實質地將來自反應氣 體喷嘴32的03氣體專門排氣。因此,依上述方式構成 的排氣口 61、62可幫助分離區域D防止BTBAS氣體 與〇3氣體發生混合。 19 201104013 本實施形態係於容器本體12設置2侗从 1固排氣口,但 其他實施形態亦可設置3個排氣口。例如,‘ ^口,亦可於反廊 氣體喷嘴32與相對於反應氣體噴嘴32而位於、回、 順時針迴轉方向上游處的分離區域D夕^、"^轉台2 又間择兮;Τ· j非翁 口。又,亦可適當地再增設排氣口。圖式之 :2 ;; 排氣口 61、62設置於較迴轉台2要低的:係措由將 空容器1内周壁與迴轉台2周緣之間的間隙 尸^ 亦可設置於容器本體12的側壁。又,將拼氣口排乱’但 設置於容器本體12的側壁處時,可將排氣二 61 62 置在較迴轉台2要高的位置。此時,氣體係沿H: 2的表面流動,而流入位於較迴轉台2的主 ° 、J療·面要高位_晉 之排氣口 61、62。因此,真空容器1內 > 1的微粒不會被 吹起’ 34—點與將排氣口設置於例如頂板I〗, 比則較為有利。 1的情況相 如圖卜圖2及圖7所示,迴轉台2與 的底部14之間的空間設置有作為加熱部之環^加1哭 單元7’藉以透過迴轉台2來將迴轉台2 μ ^ 熱至製程配方所決定的溫度。X,覆蓋㈣牛71係於迴 轉台2下方之迴轉台2外圍附近以圍繞加熱器單元;之 方式設置,故加熱器單元7所設置之空間便從加熱器單 元7的外側區域被加以區劃。覆蓋組件71於上端具有 凸緣部71a,凸緣部71a係為了防止氣體流入覆蓋組件 71内,而於迴轉台2下面與凸緣部之間以維持有微小 間隙之方式設置。 201104013 參照圖6,底部14於環狀加熱器單元7的内側具 有隆起部R。隆起部R上面係接近迴轉台2與核心部 21,且隆起部R上面與迴轉台2之間及隆起部R上面 與核心部21内面之間殘留有微小間隙。又,底部14係 具有貫穿有迴轉軸22之中心孔。該中心孔内徑只稍微 較迴轉軸22的直徑要大,且透過凸緣部20a殘留有與 殼體20連通之間隙。吹淨氣體供給管72係連接至凸緣 部20a的上部。又,由於係將收納有加熱器單元7的空 間(加熱器單元收納空間)加以吹淨,因此複數個吹淨氣 體供給管73係以特定的角度間隔而連接於加熱器單元 7下方的區域。 藉由此種結構,N2<淨氣體會通過迴轉軸22與底 部14之中心孔之間的間隙、核心部21與底部14之隆 起部R之間的間隙及底部14之隆起部R與迴轉台2内 面之間的間隙,而從吹淨氣體供給管72流向加熱器單 元收納空間。又,N2氣體會從吹淨氣體供給管73流向 加熱器單元收納空間。然後,該等N2吹淨氣體會通過 覆蓋組件71之凸緣部71a與迴轉台2内面之間的間隙 流入至排氣口 61。在圖8中以箭頭來顯示此種N2氣體 的流動。沁氣體係具有防止BTBAS氣體(03氣體)在迴 轉台2的下方空間回流,並防止與03氣體(BTBAS氣 體)發生混合之分離氣體的功用。 參照圖8,分離氣體供給管51係連接於真空容器1 之頂板11的中心部,藉以將分離氣體(N2氣體)供給至 21 201104013 頂板11與核心部21之間的空間52。被供給至該空間 52的分離氣體係通過突出部5與迴轉台2的狹窄間隙 50,並沿著迴轉台2表面流動,而到達排氣區域6。由 於該空間52與間隙50充滿了分離氣體,因此BTBAS 氣體及〇3氣體不會經由迴轉台2的中心部而發生混 合。亦即,本實施形態之成膜裝置200係藉由迴轉台2 之迴轉中心部與真空容器1而被加以區劃以分離處理 區域P1與處理區域P2,並設置有以具有朝迴轉台2上 面喷出分離氣體之喷出口的方式所構成之中心區域 C。此外,圖式之例中,喷出口係相當於突出部5與迴 轉台2的狹窄間隙50。 又,該實施形態之成膜裝置200係設置有用以進行 裝置整體作動的控制之控制部100。該控制部1〇〇具有 例如電腦所構成的製程控制器100a、使用者界面部100b 與記憶體裝置100c。使用者界面部100b具有顯示成膜 裝置200的作動狀況之顯示器或成膜裝置之操作者用 來選擇製程配方、製程管理者用來變更製程配方的參數 之鍵盤或觸控式螢幕(未圖示)等。 記憶體裝置100c記憶有使製程控制器100a實施各 種製程的控制程式、製程配方及各種製程參數等。又, 該等程式係具有用以實施如後述作動(成膜方法(含有膜 厚測量))之步驟群。該等控制程式或製程配方係依據來 自使用者界面部l〇〇b的指示,而從製程控制器100a被 讀取並實行。又,該等程式亦可收納於電腦可讀式記憶 22 201104013 媒體ι_,並透過與該等相對應之輪出入裝置(未圖示) 而安裝至域«置隐。電料料聽媒體画 可為硬碟、CD、CD-R/RW、DVD-R/RW、軟碟、半導 體記憶體等。又,亦可透過通鱗路來將料下載至記 憶體裝置100c。 ° 接下來,詳細說明本實施形態之成膜震置2〇〇的作 動(成膜方法:)。 (晶圓搬入步驟) 首先,針對將晶圓W載置於迴轉台2上的步驟, 再次參照目前已參照過的圖式加以說明。首先,迴轉迴 轉台2以使載置部24對齊於搬送口 15並打開 圖示)。接下來,如圖9所示,藉由搬送臂1〇並透過搬 送口 15來將晶圓W搬入至真空容器丨内,以將晶圓w 保持於載置部24的上方。接下來,上升昇降銷16並從 搬送臂ίο來收取晶圓w,且搬送臂10自真空容器1
退出後,關閉閘閥(未圖示)’將升降銷16下降來將晶圓 W載置於迴轉台2之載置部24。 S 此一連串的作動在重複進行一條生產線所處理之 晶圓片數的次數後結束搬入晶園。 (成膜步驟) 晶圓搬入後,利用真空幫浦64(圖1)來將真空容器 1内排氣至預先設定的壓力。接下來,從上方觀之,^ e* 23 201104013 轉台2係順時針方向地開始迴轉(公轉)。迴轉台2係藉 由加熱器單元7而被預先加熱至特定的溫度(例如 300°C),由於晶圓W係被載置於載置部24,因此晶圓 W亦會被加熱。將晶圓W加熱並維持在特定溫度後, 從分離氣體喷嘴41、42供給N2氣體,BTBAS氣體係 經由反應氣體喷嘴31而被供給至處理區域PI,03.氣體 係經由反應氣體喷嘴32而被供給至處理區域P2。 當晶圓W通過反應氣體噴嘴31下方之處理區域P1 時,BTBAS分子會吸附在晶圓W表面,當通過反應氣 體喷嘴32下方之處理區域P2時,03分子會吸附在晶 圓W表面,而使得BTBAS分子被03氧化。因此,晶 圓W係藉由迴轉台2的迴轉,當通過區域P卜P2兩者 一次,則晶圓W表面便會形成氧化石夕之1層分子層。 (膜厚測量) 依照上述方式,在成膜期間進行以下的膜厚測量。 首先,配合迴轉台2的迴轉速度來決定測量的時間 點。測量時間點可藉由在用以迴轉迴轉台2之迴轉軸 22外周的特定位置處(例如與迴轉台2之載置部24相對 應之位置),將例如磁石裝設在迴轉軸22並與迴轉軸22 一起迴轉,並以特定的磁頭測量磁氣變化來掌握。 接下來,控制單元1〇8(圖1及圖5)係控制光源106a 的電源來將光源106a開啟,並根據所掌握的時間點來 進行快門(未圖示)的開閉,以將來自光源l〇6a的光線脈 24 201104013 衝狀地入射至光纖OF1。藉此可將光線照射在測量對象 之晶圓W。亦即,來自光源l〇6a的光線係通過光纖OF1 而到達光放射部LE,並從光放射部LE以光束Bi射出, 而選擇性地照射在迴轉中之迴轉台2上的測量對象(晶 圓W)。然後,在該晶圓w反射的反射光束Br會入射 至受光部D1 ’並通過光纖〇F2而到達分光器l〇6b。此 時’分光器106b係藉由控制單元1〇8被加以控制,而 在來自晶圓W的反射光束Br從光纖OF2射出之期間進 行例如約248mn至約827nm(以光子能量換算約為 1.5eV至5eV)的波長掃描(分光)。具體而言,控制單元 108會與用以控制快門的開閉之訊號同步地將控制訊號 傳送至分光器106,則分光器106b便可根據該控制訊 號來進行波長掃描。依上述方式,於光束Bi脈衝狀地 照射在晶圓W期間進行分光測量,來取得反射光束出 之分光強度的波長(光子能量)相關性數據。 之後,控制單元1〇8會根據上述分光光強度的波長 (曰光子能量)相祕數據·用特定的計算方式來計算出 目薄膜膜厚。然後,將所計算之膜厚 二較。目標臈厚可藉由參照下載至 例如控制部100之製避斬方而卢— #配方而在母一次比較時取得,抑 或預先伙控制部100傳送至批 ^ ^ 1寻迗主徑制早兀108並加以記憶。 i,二目=,為所計算之膜厚與目標膜厚相 制部_,來: 由將通知訊號輸出至控 汰制部100通知應停止成膜-事。當控 25 201104013 制部100收到通知訊號時,則會停止BTBAS氣體、03 氣體及N2氣體,並中止迴轉台2的迴轉,而開始接下 來的晶圓搬出步驟。 此外,上述膜厚測量可在對應於光學單元 102a〜102c之位置處同時測量。此時,雖測量晶圓W上 3點的膜厚,但可在3點皆為目標膜厚以上時停止成 膜,抑或只有1點或2點為目標膜厚以上時中止成膜。 又,可只針對迴轉台2上特定的載置部24所載置之一 片晶圓W進行膜厚測量,抑或對迴轉台2上所有的晶 圓W進行膜厚測量。 又,脈衝狀地照射在晶圓W之光束Bi的持續時間 (duration)可配合例如迴轉台2的迴轉速度來決定。具體 而言,光束Bi的持續時間(快門打開的時間)可為10ms 至100ms的期間。又,不需針對迴轉台2每一次的迴轉 測量膜厚,例如迴轉台2每迴轉5至20次再測量即可。 (晶圓搬出步驟) 成膜步驟結束後,將真空容器1内吹淨。接下來, 以和搬入動作相反的動作,利用搬送臂10依序將晶圓 W從真空容器1搬出。亦即,使載置部24對齊於搬送 口 15並打開閘閥後,上升昇降銷16以將晶圓W保持 於迴轉台2的上方。接下來,搬送臂10會進入至晶圓 W的下方,下降昇降銷16,並藉由搬送臂10來收取晶 圓W。之後,搬送臂10會從真空容器1退出,以將晶 26 201104013 圓w從真空容器1搬出。依上述方式,則結束一片晶 圓W的搬出。接著,重複上述動作,將迴轉台2上的 所有晶圓W搬出。 以下,針對利用本發明實施形態之成膜裝置的成膜 步驟之優點加以說明。 圖10係概略顯示氣體從氣體喷嘴31、32、41、42 被供給至真空容器1内的流動樣態之示意圖。如圖所 示,反應氣體噴嘴32所喷出之03氣體的一部分會碰撞 到迴轉台2表面(及晶圓W表面),而沿著其表面向迴轉 台2迴轉方向的反方向流動。接下來,該03氣體會被 從迴轉台2迴轉方向上游側流來的N2氣體推回,而朝 迴轉台2周緣與真空容器1内周壁的方向改變方向。最 後,〇3氣體會流入排氣區域6,並通過排氣口 62而從 真空容器1被排氣。 反應氣體喷嘴3 2所喷出之Ο 3氣體的其他部分會碰 撞到迴轉台2表面(及晶圓W表面),而沿著其表面流向 與迴轉台2迴轉方向相同的方向。該部分的03氣體主 要係藉由透過從中心區域C流來之N2氣體與排氣口 62 的吸引力,而朝排氣區域6流動。另一方面,該部分之 少部分的03氣體,會相對於反應氣體噴嘴32而朝位於 迴轉台2迴轉方向下游側之分離區域D流動,而有進入 頂面44與迴轉台2間的間隙之可能性。然而,由於該 間隙的高度h係特意設定成可在成膜條件下阻止流入 該間隙程度的高度,故可阻止〇3氣體進入該間隙。即 27 201104013 使有少量〇3氣體流入該間隙,該〇3氣體並不會流至分 離區域D的深處。流入間隙的少量03氣體會被分離氣 體噴嘴41所喷出之分離氣體推回。因此,如圖10所示, 在迴轉台2上面沿著迴轉方向流動之所有的03氣體會 實質地流向排氣區域6而藉由排氣口 62被排氣。 同樣地,從反應氣體喷嘴31被喷出並沿著迴轉台 2表面向迴轉台2迴轉方向的反方向流動之一部份的 BTBAS氣體,會被防止流入相對於反應氣體喷嘴31而 位於迴轉方向上游側之凸狀部4的頂面44與迴轉台2 之間的間隙。即使有少量的BTBAS氣體流入仍會被分 離氣體喷嘴41所噴出之N 2氣體推回。被推回之B T B A S 氣體會連同來自分離氣體喷嘴41之>12氣體與從中心區 域C所喷出之N2氣體,一起朝迴轉台2外周緣與真空 容器1内周壁流動,並透過排氣區域6而通過排氣口 61被排氣。 從反應氣體噴嘴31向下側喷出,並沿著迴轉台2 表面(及晶圓W表面)朝向迴轉台2迴轉方向的相同方向 流動之其他部分的BTBAS氣體,會無法流入相對於反 應氣體喷嘴31而位於迴轉方向下游側之凸狀部4的頂 面44與迴轉台2之間。即使有少量的BTBAS氣體流 入,仍會被分離氣體喷嘴42所喷出之乂氣體推回。被 推回之BTBAS氣體係連同來自分離區域D之分離氣體 喷嘴42的N2氣體與從中心區域C所喷出之N2氣體, 一起流向排氣區域6而藉由排氣口 61被排氣。 28 201104013 如上所述,分離區域D可防止BTBAS氣體或03 氣體流入至分離區域D、大量地減少流入至分離區域D 之BTBAS氣體或03氣體的量,或將BTBAS氣體或 〇3氣體推回。已吸附於晶圓W之BTBAS分子與03分 子可被容許通過分離區域D,而有助於薄膜的堆積。 又,如圖8及圖10所示,分離氣體係從中心區域 C朝迴轉台2的外周緣被喷出,因此處理區域P1的 BTBAS氣體(處理區域P2的03氣體)便無法流入中心區 域C。即使有處理區域P1的少量BTBAS(處理區域P2 的〇3氣體)流入中心區域C,該BTBAS氣體(03氣體) 仍會被N2氣體推回,故可阻止處理區域P1的BTBAS 氣體(處理區域P2的〇3氣體)通過中心區域C而流入處 理區域P2(處理區域P1)。 又,處理區域P1的BTBAS氣體(處理區域P2的 〇3氣體)亦會被阻止通過迴轉台2與容器本體12内周壁 之間的空間而流入處理區域P2(處理區域P1)。由於此 係因為彎曲部46係從凸狀部4朝下方形成,且彎曲部 46與迴轉台2的間隙,及彎曲部46與容器本體12内 周壁之間的間隙係與凸狀部4的頂面44自迴轉台2起 的高度h差不多同樣地小,故可實質地避免2個處理區 域之間相連通。因此,BTBAS氣體會從排氣口 61被排 氣,〇3氣體會從排氣口 62被排氣,故該等2種反應氣 體便不會發生混合。又,迴轉台2下方的空間(加熱器 單元收納空間)係利用吹淨氣體供給管72、73所供給的 29 201104013 N2氣體來吹淨。因此,BTBAS氣體無法通過迴轉台2 下方而流入處理區域P2。 又’上述之成膜步驟中,分離氣體供給管51亦供 給分離氣體(N2氣體)’藉此從中心區域C,亦即,從突 出部5與迴轉台2之間的間隙5〇沿著迴轉台2表面喷 出N2氣體。該實施形態中’設置有反應氣體噴嘴31(32) 的空間(頂面45下方空間)的壓力係較中心區域C及頂 面44與迴轉台2之間的狹窄空間要低。此係因為鄰接 於頂面45下方的空間设置有排亂區域6,而其空間則 透過排氣區域6直接被排氣的緣故。又,另一因素為因 狹窄空間係藉由高度h來維持反應氣體喷嘴31(32)所設 置的空間與狹窄空間之間的壓力差。 如上所述’本實施形態之成膜裝置2〇〇中,由於可 盡量抑制2種原料氣體(BTBAS氣體,臭氧氣體)在真空 容器1内發生混合,故能實現接近理想的原子層成膜, 並提供優異的膜厚控制性。再者,由於成膜裝置2〇〇設 置有臈厚測量系統1〇1,故能提供更優異的膜厚控= 性。亦即’利用膜厚測量系統101即可於成膜中即時地 監,膜厚,並在到達目標膜厚的時間點停止成膜,故能 確實地達成目標膜厚。因此,若將本實施形態之成膜裝 ,_利用於半導體元件的製it,即可確f地發揮該^ 導體元件的性能,並提高製造良率。 、又,通常在製造過程中,為了達成目標膜厚並確保 成膜條件會先進行試作’但若湘具有膜厚測量系統 201104013 的成膜裝置200 ’則不需進行試作,從而可減少、 作所需的費用及製造成本。又,可在進行試作的時 行製造,故可處理更多的製造批次。再者,可減少二作 部分的製造次數’故維修保養的間隔會拉長。 又’本實施形態之膜厚測量系統1〇1係由贿圓偏光 儀所構成,故如上所述,可在10ms至100ms極短的期 間内測量膜厚。因此,即使晶圓W在迴轉中,仍可測 量晶圓W面内極小部位(某個點)的膜厚。再者,亦可藉 由一個光學單元l〇2a來測量晶圓w面内數處的膜厚: 亦可以3個光學單元l〇2a〜l〇2c來測量晶圓w面(^數 處的膜厚’以求得晶圓W面内的膜厚分佈。 再者’本實施形態之膜厚測量系統101係由概圓偏 光儀所構成,故可針對層積有複數物質的層積膜測量各 層的膜厚》因此,藉由本實施形態之成膜裝置2〇〇,即 便是連續形成例如氧化膜-氮化膜_氧化膜(〇N〇膜)的情 況,亦可測量各膜的膜厚。又,即使是例如欲以氧化鈦 (ΤιΟ)膜與氧化锶(sr〇)膜來形成鈦酸鳃(SrTi〇)膜的層 積膜時’亦可測量TiO膜與SrO膜各別的膜厚。 又,如上所述,由於可有效地防止2種原料氣體在 真空谷器1内發生混合,故成膜會被限制於在晶圓w 上及迴轉台2上。因此,在透過窗201幾乎不會形成有 薄膜,從而可極度地降低透過窗2〇1的維修保養頻率。 亦即’幾乎不會因膜厚測量系統1〇1而引起成膜裝置 200的停機時間增加。 31 201104013 以下揭示本實施形態之成膜裝置200中,利用 BTBAS氣體與〇3氣體來形成Si〇2膜之較佳製程參數。 •迴轉台2的迴轉速度:1〜500rpm(晶圓w的直徑 為300mm時) •真空容器1的壓力:l〇67Pa(8Torr)
•晶圓溫度:350°C •BTBAS氣體的流量:i〇〇sccm •〇3氣體的流量:lOOOOsccm •來自分離氣體喷嘴41、42的N2氣體流量: 20000sccm •來自分離氣體供給管51的n2氣體流量:5〇〇〇sccm •迴轉台2的迴轉數:6〇〇轉(配合所需膜厚) 依本實施形態之成膜裝置200,由於成膜裝置200 係在供給有BTBAS氣體的處理區域ρι與供給有〜氣 胆的處理區域1>2之間,具有包含有低頂面44之分離區 域D ’因此可防止BTBAS氣體(〇3氣體)流人處理區域 P2(處理區域P1) ’並防止與〇3氣體(BTBAS 氣體)混合。 因此’耩由迴轉载置有晶圓w之迴轉台2,並使晶圓w 5處、分離區域0、處理區域p2及分離區 更確實地切^之分子層成膜。又,為了 Ρ2(處理區域Pl)而血π讀(〇3氣體)與流入處理區域 分離區域D可更進—3㈣⑽鐵氣體)發生混合, 體噴嘴4卜42。再去,含有喷出&氣體之分離氣 考’本實施形態成骐裝置200的真 32 201104013 空谷器1由於係具備具有喷出氣體之喷出孔的中心 區域c ’因此可防止BTBAS氣體(〇3氣體)通過中心區 域C /瓜入處理區域P2(處理區域P1)而與〇3氣體(BTBAS 氣體)發生混合。又再者,由於BTBAS氣體與〇3氣體 不會發生混合,因此迴轉台2上幾乎不會形成氧化矽 膜,故可減少微塵粒子的問題。 此外,本實施形態成犋裝置200的迴轉台2係具有 5個載置部24,而可一次處理對應之5個載置部24所 載置的5片晶圓W,但亦可將i片晶圓w載置在5個 載置部24中的其中-個,或只在迴轉台2形成一個載 置部24。 再者,不限於氧化矽膜的分子層成膜,而亦可藉由 成膜裝置200來進行氮化矽膜的分子層成膜。用以進行 氮化矽膜的分子層成膜之氮化氣體可利用氨氣(ΝΗ^或 聯胺(Ν2Η2)等。 又,用以進行氧化矽膜或氮化矽膜的分子層成膜之 原料氣體不限於BTBAS,可利用二氯石浅(DCS)、六氣 一矽曱烷(HCD)、三(二甲胺基)矽烷(3DMAS)、四乙氧 基矽烷(TEOS)等。 又再者,本發明實施形態之成膜裝置及成膜方法不 限於氧化矽膜或氮化矽臈,而亦可進行使用三曱基鋁 (TMA)與〇3或氧電漿之氧化銘(ai2o3)的分子層成膜、 利用四(乙基甲基胺基酸)锆(TEMAZr)與03或氧電漿之 氡化錯㈣2)的分子層成膜、利用四(乙基曱基胺基酸) 33 201104013 給(TEMAHf)與〇3或氧電漿之氧化铪(Hf〇2)的分子層成 膜、利用二(四甲基庚二酮酸)錄(Sr(THD)2)與03或氧電 聚之氧化錯(SrO)的分子層成膜、或利用(曱基戊二酉同 酸)(雙四曱基庚二酮酸)鈦(Ti(MpD)(THD))與〇3或氧電 漿之氧化鈦(TiO)的分子層成膜等。 距迴轉台2外周緣愈近則離心力的作用愈強,因 此,例如在靠近迴轉台2外周緣的部分,則BTBAS氣 體會以很快的速度朝向分離區域C^因此,在靠近迴轉 台2外周緣的部分,BTBAS氣體流入頂面料與迴轉台 2間之間隙的可能性很高。因此,若使凸狀部4的寬度 愈向外周緣(沿著迴轉方向的長度)則愈寬,便可使 fBAS氣體較難以進入該間隙。從上述觀點來看,本 貫施形態如以上所述地,凸狀部4的俯視方向較佳地為 扇形。 以下,再次例示凸狀部4(或頂面44)的尺寸。參照 ,^1(a)及11(b) ’於分離氣體喷嘴41(42)兩側形成有狹 窄空間之頂面44對應於晶圓中心WO通過路徑之圓弧 長度L可為晶圓W直徑的約1/1〇〜約ιη的長度,較佳 1為,’勺1/6以上。具體來說,當晶圓w直徑為3⑼爪爪 τ忒長度L較佳地為約5〇mm以上。該長度L較短時, 為了有效地防止反應氣體流人狭窄空間,因此必須使頂 2 44與迴轉台2間之狹窄空間的高度匕較低。然而, 當長度L過短、高度h極端地低時’迴轉台2會衝撞到 頂面44 ’而有微粒產生而污染到晶圓或晶圓破損的可 34 201104013 能性。因此,為避免迴轉台2衝撞頂面44,而必須要 有抑制迴轉台2的振動,或穩定地迴轉迴轉台2的對 策。另一方面,縮短長度L但使狹窄空間的高度h仍維 持較大時,為了防止反應氣體流入頂面44與迴轉台2 間的狹窄空間,因此必需降低迴轉台2的迴轉速度,但 在產能這一點上反而較為不利。從該等考量來看,沿著 對應於晶圓中心WO通過路徑之圓弧的頂面44之長度 L較佳地為約50mm以上。然而,凸狀部4或頂面44 的尺寸可不限定於上述尺寸,而可配合所使用的製程參 數或晶圓尺寸來調整。又,只要狹窄空間的高度為分離 氣體能自分離區域D流向處理區域P1(P2)左右的高 度,從上述說明即可明瞭,狹窄空間的高度h亦可配合 所使用之製程參數或晶圓尺寸加上例如頂面44的面積 來調整。 又,上述實施形態中,設置於凸狀部4之溝部43 係設置有分離氣體噴嘴41(42),且分離氣體喷嘴41(42) 兩側設置有低頂面44。然而,在其其他實施形態中, 亦可取代分離氣體噴嘴41,而如圖12所示地在凸狀部 4内部形成朝迴轉台2的直徑方向延伸之流道47,沿著 該流道47的長度方向形成複數個氣體喷出孔40,並從 該等氣體喷出孔40將分離氣體(N2氣體)喷出。 分離區域D的頂面44可不限於平坦面,而可如圖 13(a)所示地彎曲呈凹面狀、如圖13(b)所示地為凸面形 狀、又亦可如圖13(c)所示地為波浪狀。 35 201104013 又,凸狀部4可為中空’而亦可為將分離氣體導入 中空内之結構。此時,亦可將複數個氣體喷出孔33如 圖14(a)至圖14(匀所示般地排列。 參照圖14(a) ’複數個氣體噴出孔33係分別為傾斜 槽縫的形狀。該等傾斜槽縫(複數氣體喷出孔3 3)係沿著 迴轉台2半徑方向而與鄰接的槽縫部分地重疊。圖14(b) 中’複數個氣體喷出孔33係分別為圓形。該等圓形孔(複 數氣體噴出孔33)係沿著整體上沿著迴轉台2的半徑方 向延伸之蛇行線而設置。圖14(c)中,複數個氣體喷出 孔33係分別為圓弧狀槽縫的形狀。該等圓弧狀槽缝(複 數氣體噴出孔33)係以特定的間隔設置於迴轉台2的半 徑方向。 又’本實施形態之凸狀部4的上面形狀為接近扇 形’但其他實施形態中,亦可為圖l5(a)所示之上面形 狀為長方形或正方形。又,如圖l5(b)所示,凸狀部4 亦可為其上面整體地為扇形但側面4Sc係凹狀地彎 曲°另外’如圖15(c)所示,凸狀部4亦可為其上面整 體地為扇形但侧面4Sv係凸狀地彎曲。再者,如圖15(d) 所示’凸狀部4之迴轉台2(圖1)迴轉方向上游側部分的 側面4Sc為凹狀’但凸狀部4之迴轉台2(圖1)迴轉方向 下游側部分的側面4Sf為平面狀亦可。此外,圖i5(a) 至圖15(d)中的虛線係表示形成於凸狀部4之溝部43(圖 4(a)、圖4(b))。該等情況下,收納於溝部43之分離氣 體喷嘴41(42)(圖2)係自真空容器1的中央部,例如突 36 201104013 出部5(圖1)開始延伸。 用以加熱晶圓之加熱器單元7亦可取代電阻發熱 體而為加熱燈。又,加熱器單元7可非設置在迴轉台2 下侧而是設置在迴轉台2上側,或上下兩側皆設置亦 可。 在其他實施形態中,亦可將處理區域PI、P2及分 離區域D如圖16所示般地設置。參照圖16,供給例如 〇3氣體之反應氣體喷嘴32係設置於較搬送口 15要更接 近迴轉台2迴轉方向的上游側,且為搬送口 15與分離 氣體喷嘴42之間。即使是此種設置,從各噴嘴及中心 區域C所喷出之氣體仍會大致地如同圖中以箭頭表示 般地流動,而防止了兩反應氣體的混合。因此,此種設 置亦可實現適當的分子層成膜。 又,如以上所述,亦可以於頂板11下面以螺絲將2 片扇形扳裝設於分離氣體喷嘴41(42)兩側之方式來構 成分離區域D。圖17為顯示此種結構之平面圖。此時, 為了有效地發揮分離區域D的分離作用,而可在考量分 離氣體或反應氣體的噴出率後再決定凸狀部4與分離 氣體喷嘴41(42)之間的距離或凸狀部4的尺寸。 上述實施形態中,處理區域P1及處理區域P2係相 當於具有較分離區域D的頂面44要高之頂面45的區 域。然而,處理區域P1及處理區域P2中的至少其中一 者亦可具有於反應氣體喷嘴31(32)兩側處對向於迴轉 台2,且較頂面45要低之其他的頂面。其係為了防止 37 201104013 氣體流入該頂面與迴轉台2之間的間隙。該頂面可較頂 面45要低’或亦可與分離區域d的頂面44差不多低。 圖18彳尔顯示此種結構的一例。如圖所示,扇狀凸狀部 30係設置於供給有〇3氣體之處理區域p2,反應氣體噴 嘴32係設置於凸狀部30所形成之溝部(未圖示)。換言 之,該處理區域P2雖係被用於用以使氣體喷嘴供給反 應氣體,但與分離區域D為相同的結構。此外,凸狀部 30亦可與顯示於圖14(a)至圖14(c)中的一例之中空凸狀 部為相同的結構。 又,為了在分離氣體喷嘴41(42)的兩側形成狹窄空 間而设置有低頂面(第1頂面)44,但在其他實施形態 中,係在反應氣體喷嘴31、32兩者皆設置上述頂面, 亦即,較頂面45要低,且與分離區域D的頂面44差不 多低的頂面,並延伸至頂面44為止。換言之,如圖19 所不,亦可在頂板11的下面裝設其他的凸狀部4〇〇來 取代凸狀部4。參照圖19,凸狀部4⑻的形狀近似圓盤 狀,大致與迴轉台2的上面整體呈對向,並具有分別收 納氣體喷嘴3卜32、4卜42而朝半徑方向㈣之4個 槽孔400a,且在凸狀部彻下殘留有作為迴轉台2之狹 窄空^。舰窄空間的高度可為與上述高度h為大致相 同的咼度。當使用凸狀部400時,從反應氣體喷嘴31(32) 所喷出之反應氣體會在凸狀部下(或狹窄空間 反應氣體喷嘴31(32)的兩側擴散,而從分離氣體喷嘴 41(42)所噴出之分離氣㈣會在凸狀部_下(或狹窄 38 201104013 空間中)向分離氣體噴嘴41(42)㈣㈣散。該反應氣 體與分離氣體會在狹窄空間中匯流,並經由排氣口 61(62)被排氣。即便在此情況下,從反應氣嘴 所噴出之反應氣體仍不會與從反應氣體喷嘴&所喷出 之反應氣體混合,從而可實現適當的分子層成膜。 此外,亦可不使用氣體喷嘴31、32、、34及槽 孔400a,而是藉由將凸狀部4〇〇以圖14⑷至圖14(c) «Η壬-者所不之中空凸狀部4相組合的結構,來使反應 氣體及分離氣體從相對應之中空凸狀部4的噴出孔33 分別將氣體喷出。 ' 上述κ施开久4中,用以迴轉迴轉台2之迴轉軸22 係位於真空谷态1的中央部。又,核心部21與頂板u 之間的空間52’為了防止反應氣體經由中央部而混合, 而利用分離氣體加以吹淨。然而,真空容器丨在其他實 施形態中,亦可如圖20所示之結構。參照圖2〇,容器 本體12的底部14係具有中央開口,此處氣密地裝設有 收納殼80。又,頂板11具有中央凹部8〇^支柱81係 载置於收納殼80的底面,支柱81的上端部係延伸至中 央凹部80a的底面。支柱81可防止從反應氣體噴嘴31 所噴出之BTBAS氣體與從反應氣體噴嘴32所噴出之 〇3氣體經由真空容器1的中央部相互混合。 又,頂板11的開口處透過〇型環等密封組件(未圖 示)氣密地裝設有例如石英玻璃製的透過窗2〇b又,透 過窗201的寬度大致與迴轉台2所載置之晶圓w的直 39 201104013 徑相等,並沿著頂板11的直徑方向所設置。藉此,可 沿著晶圓W的直徑方向測量複數個點的膜厚。 圖20所示之成膜裝置200中,亦設置有經由透過 窗201來測量晶圓W上所成膜之薄膜膜厚的上述膜厚 測量系統101。因此,利用該成膜裝置200即可在成膜 中測量膜厚,從而能在達到目標膜厚的時間點停止成 膜。因此,該成膜裝置200亦可達到上述的效果。 又,迴轉套筒82係同轴地圍繞支柱81而加以設 置。迴轉套筒82係藉由裝設於支柱81外面之軸承部 86、88與裝設於收納殼80内侧面之軸承部87而被加 以支承。再者,迴轉套筒82的外面裝設有齒輪部85。 又,環狀迴轉台2的内周面係裝設於迴轉套筒82的外 面。驅動部83係收納於收納殼80,並於從驅動部83 延伸之軸上裝設有齒輪84。齒輪84係與齒輪部85相 D齒合。藉由此種結構,迴轉套筒82及迴轉台2可藉由 驅動部83而迴轉。
吹淨氣體供給管74係連接於收納殼80的底部,並 向收納殼80供給吹淨氣體。藉此,可將收納殼80内部 空間的壓力維持為較真空容器1内部空間要高的壓 力,以防止反應氣體流入收納殼80内。因此,收納殼 80内便不會發生成膜作用,並可減少維修保養的頻率。 又,吹淨氣體供給管75係分別連接於從真空容器1上 方的外面連通至凹部80a内壁之導管75a,以向迴轉套 筒82的上端部供給吹淨氣體《由於該吹淨氣體,BTBAS 201104013 氣體與〇3氣體便無法通過凹部80a内壁與迴轉套筒82 外面之間的空間而發生混合。圖20中雖顯示了 2個吹 淨氣體供給管75與導管75a,但供給管75與導管75a 的數量只要能確實地防止BTBAS氣體與〇3氣體在凹部 80a内壁與迴轉套筒82外面之間的空間附近發生混合 來加以決定即可。 如圖20之實施形態中,凹部80a側面與迴轉套筒 82上端部之間的空間係相當於用以喷出分離氣體之喷 出孔,然後藉由該分離氣體喷出孔、迴轉套筒82及支 柱81而構成位於真空容器1的中心部位之中心區域。 本發明實施形態之成膜裝置200(圖1、圖20等)不 限於利用2種反應氣體,而亦適用於將3種以上的反應 氣體依序供給至基板上的情況。該情況下,亦可以例如 第1反應氣體喷嘴、分離氣體喷嘴、第2反應氣體喷嘴、 分離氣體喷嘴、第3反應氣體喷嘴及分離氣體喷嘴的順 序來將各氣體喷嘴設置在真空容器1的圓周方向,並如 以上所述之實施形態來構成對應於分離氣體噴嘴的分 離區域。 本發明實施形態之成膜裝置200(圖1、圖20等)可 組合至基板處理裝置,於圖21中概略地顯示其中一 例。基板處理裝置係包含設置有搬送臂1〇3之大氣搬送 室102、可將氣氛在真空與大氣壓間切換之裝載室(準備 至)105、δ又置有2個搬送臂1〇7a、1〇7b之搬送室1〇61、 以及本發明實施形態之成膜裝置1〇9、11〇。又,該處理 41 201104013 裝置係包含載置有例如F0Up等晶圓匣盒F的晶圓匣盒 站台(未圖不)。晶圓匣盒F係被運送至其中一個晶圓匣 盒站台,並連接至晶圓匣盒站台與大氣搬送室1〇2之間 的搬入出埠。接下來,藉由開閉機構(未圊示)來打開晶 圓E盒F(FOUP)的蓋子,並利用搬送臂1〇3來將晶圓從 晶圓E盒F取出。接下來,將晶圓搬送至裝載室 104(105) °裝載室i〇4(1〇5)被排氣後利用搬送臂 107a(107b)將裝载室i〇4(1G5)内的晶圓通過真空搬送室 1061來搬送至成膜裝置1〇9、11〇。於成膜裝置 中’則利用上述方法在晶圓上堆積薄膜。由於基板處理 裝置具有與上述成膜裝置2〇〇相同的成膜裝置1 〇9、 ⑽,故能達成與成膜裝置所可達成效果之相同效 果。又’由於具備了可同時處理5片晶圓之2個成膜裝 置109' 110’因此可高產能地進行分子層成膜。 本發明實施形態之成膜裝置200(圖1、圖20等)可 被組合至其他的基板處理裝置,於圖22中概略地顯示 其中一例。 圖22係本發明其他實施形態之基板處理裝置700 的概略俯視圖。如圖所示,基板處理裝置7〇〇具有2個 真空容态111、分別裝設於真空裝置U1側壁的搬送口 之搬送通道270a、裝設於搬送通道270a之閘閥270G、 藉由閘閥270G而可連通地設置之搬送模組27〇、透過 閘閥272G而分別連接於搬送模組27〇之加载互鎖室 272a、272b。 42 201104013 2個真空谷益111皆真空容器1具有相同結構,頂 板設置有透過窗201 ’透過窗201上設置有光學單元 102a〜102c。光學單元i〇2a〜i〇2c連接有相對應之光纖 線104a~ 104c,光纖線1 〇4a〜104c係連接至測量單元 106,而測量單元106係連接至控制單元1〇8。又,控 制單元108係連接至未圖示之控制部(控制部藉 由此種結構,可進行上述膜厚測量,並達成上述效果。 搬送模組270係於内部具有2個搬送臂i〇a、i〇b。 該等搬送臂10a、l〇b可自由伸縮,並可以基部為中心 轉動’且可向2個真空容器1U及加載互鎖室272a、272b 進行晶圓的收取。藉此,如圖22所示之搬送臂i〇a,閘 閥270G打開時’可將晶圓w搬入真空容器111内,或 從搬出真空容器111。又,打開閘閥272G時,可將晶 圓W相對於加載互鎖室272a、272b搬出或搬入。 如沿圖22的II-II線之剖面圖(圖23)所示,加載互 鎖室272b(272a)係具有可藉由未圖示之驅動部而昇降 之例如5段的晶圓載置部272c,各晶圓載置部272c載 置有晶圓W。又,加载互鎖室272a、272b的一側可作 為暫時收納晶圓W之暫存室而發揮功能,而另一側則 可作為用以從外部(在成膜步驟之前的步驟)將晶圓w 搬入至基板處理裝置700之接口(interface)室而發揮功 此外,搬送模組270及加載互鎖室272a、272b處 分別連接有未圖示之真空系統。該等真空系統可依需要 43 201104013 包含有例如旋轉幫浦與渦輪分子幫浦。 結構可發揮與上述成膜裝置200相同的效 果,並高產能地進行分子層成膜。 另此外,上述實施形態之成膜裝置200(包含基板處理 裝置所具有之組件)中,使反應氣體喷嘴31(32)的結構 為於晶圓W的直徑方向具有長度相異的3根有孔管 路,則根據例如光學單元l〇2a〜102c的各測量結果,藉 由調整各有孔管路(的孔)所供給之原料氣體的流量,亦 可提高膜厚均勻性。 又,以上的說明中,係在膜厚測量系統1〇1的控制 單元108中比較膜厚測量系統1〇丨所測量之膜厚與目標 膜厚,但亦可將顯示有測量膜厚的資訊從控制單元丄〇8 傳送至控制部100’而在控制部1〇〇中進行比較及判定。 又,上述實施形態中’膜厚測量系統1〇1係例示相 位調變型橢圓偏光儀,但不限於此,亦可為消光型、迴 轉偏光子型、迴轉檢光子型、迴轉補償子型中任一者。 又,光源106a不限於氙燈,而亦可使用鹵素燈或氚燈 等。 再者,亦可在頂板11形成另一開口,並於該另一 開口氣密地裝設其他的透過窗。此時,亦可不利用光學 單元102a〜102c(的箱體),而於一個透過窗201設置光 放射部LE,而於其他的透過窗設置受光部D1,以使來 自光放射部LE之光束Bi(圖5)的反射光束Br入射至受 光部D1。藉此,可容易使來自光放射部LE的光束Bi 44 201104013 相對於晶圓w表面的入射角接近於偏光角的角度,並 提高量測精確度。 又,光學單元l〇2a等的數量不限於3個,亦可為4 個以上。光學單元的數量可配合晶圓W的尺寸等來適 當地決定。 再者,膜厚測量系統101可非根據橢圓偏光法來進 行膜厚測量,而亦可為利用晶圓W上所成膜之膜的表 面與該膜及下層膜或晶圓W間的界面之間所產生之多 重反射來測量膜厚之結構。 以上已參照實施形態加以說明本發明,唯本發明並 不限於所揭示之實施形態,可在申請專利範圍所記載之 要旨内做各種變化或改良。 本發明係根據2009年3月4向日本專利局所申請 之特願2009-051257號而主張優先權,並援用其所有内 容於此。 【圖式簡單說明】 圖1係概略顯示本發明實施形態的成膜裝置之圖 式。 圖2係顯示圖1之成膜裝置的容器本體内部之立體 圖。 圖3係顯示圖1之成膜裝置的容器本體内之俯視 圖。 圖4(a)、圖4(b)係顯示圖1之成膜裝置的容器本體 45 201104013 内部之剖面圖。 ^ 圖5係概略顯示圖1之成膜裝置所設置的膜厚測量 系統之圖式。 圖6為圖1之成膜裝置的部分剖面圖。 圖7為圖1之成膜裝置的部分剖面立體圖。 圖8係顯示圖丨之成膜裝置的吹淨氣體流動之部分 剖面圖。 圖9係顯示向圖丨之成膜裝置的容器本體内進行存 取之搬送臂的立體圖。 斤圖10係顯示於圖1之成膜裝置的容器本體内流動 之氣體的流動樣態之俯視圖。 圖11(a)、® 11⑻係用以說明® r成膜裝置内的 突出部形狀之圖式。 圖12係顯示圖1之成膜裝置的氣體喷嘴變形例之 圖式。 圖13(a)〜@ 13(c)係顯示圖i之成膜農置内的凸狀 部變形例之圖式。 圖14⑷〜圖14⑻係顯示圖丨之成膜農置内的凸狀 部與氣體喷嘴的變形例之圖式。 圖15(a)〜圖15(d)係顯示圖丨之成 ^ 心战犋裝置内的JL他 凸狀部變形例之圖式。 圖16係顯示圖i之成膜裝置的 變形例之圖式。 隨貧爲叹置位置 變形 圖17係顯示圖】之成膜裝置内的另一凸狀部 46 201104013 例之圖式。 圖18係顯示於圖1之成膜裝置内,針對反應氣體 喷嘴設置有凸狀部的範例之圖式。 圖19係顯示圖1之成膜裝置内的再一凸狀部變形 例之圖式。 圖20係概略顯示本發明其他實施形態的成膜裝置 之圖式。 圖21係概略顯示包含有圖1或圖23之成膜裝置的 基板處理裝置之圖式。 圖22係概略顯示包含有圖1或圖23之成膜裝置的 其他基板處理裝置之圖式。 圖23係沿圖22的II-II線之剖面圖。 【主要元件符號說明】 h 南度 C 中心區域 Bi 光束 Br 反射光束 D 分離區域 D1 受光部 F 晶圓匣盒 L 長度 LE 光放射部 OF1 、OF2 光纖 47 201104013 PI ' P2 處理區超 P 偏光子 PEM 光彈性調變器 R 隆起部 RD 迴轉方向 W 晶圓 WO 晶圓中心 1 真空容器 2 迴轉台 4 凸狀部 4Sc、 4Sv、4Sf 側 5 突出部 6 排氣區域 7 加熱器單元 10 搬送臂 10a、 10b 搬送臂 11 頂板 12 容器本體 13 密封組件 14 底面部 15 搬送口 16 升降銷 20 殼體 20a 凸緣部 201104013 21 核心部 22 迴轉轴 23 驅動部 24 載置部 30 凸狀部 31a、32a、41a、42a氣體導入埠 31 第1反應氣體供給喷嘴 32 第2反應氣體供給喷嘴 33、40 喷出孔 41、42 分離氣體供給噴嘴 43 溝部 44 頂面 45 頂面 46 彎曲部 47 流道 50 間隙 51 分離氣體供給管 52 空間 61、62 排氣口 63 排氤管 64 真空幫浦 65 壓力調整器 71 覆蓋組件 71a 凸緣部 49 201104013 72、73、74、75 吹淨氣體供給管 75a 導管 80 收納殼 80a 凹部 81 支柱 82 迴轉套筒 83 驅動部 84 齒輪 85 齒輪部 86、87、88 軸承部 100 控制部 100a製程控制器 l〇〇b使用者界面部 100c記憶體裝置 100d電腦可讀取記憶媒體 101 膜厚測量系統 102 大氣搬送室 102a〜102c 光學單元 103 搬送臂 104、105 裝載室 104a〜104c 光纖線 106 測量單元 1061搬送室 106a光源 50 201104013 106b 分光器 106c 受光器 107a 、107b搬送臂 108 控制單元 109、 110 成膜裝置 111 真空容器 200 成膜裝置 201 透過窗 270 搬送模組 270a 搬送通道 270G 、272G 閘閥 272a ' 272b 加載互鎖室 272c 晶圓載置部 400 凸狀部 400a 槽孔 700 基板處理裝置 51

Claims (1)

  1. 201104013 七、申請專利範圍: 1. 一種成膜裝置,係藉由於容器内實行將至少2種會 互相反應之反應氣體依序供給至基板的循環以於 該基板上生成反應生成物的層而形成薄膜,其具 有: 迴轉台,係可迴轉地設置於該容器内,並於一 面具有載置該基板之載置區域, 窗部,係對向於該容器的該迴轉台而氣密地設 置於該容器; 膜厚測量部,係通過該窗部來光學地測量成膜 於該迴轉台所載置之該基板的薄膜膜厚; 第1反應氣體供給部,係將第1反應氣體供給 至該一面所構成; 第2反應氣體供給部,係沿該迴轉台的迴轉方 向而與該第1反應氣體供給部相隔有距離,並將第 2反應氣體供給至該一面所構成; 分離區域,係沿該迴轉方向位於供給有該第1 反應氣體之第1處理區域與供給有該第2反應氣體 之第2處理區域之間,以將該第1處理區域與該第 2處理區域加以分離; 中央區域,係位於該容器的中央部,並具有沿 該一面喷出第1分離氣體之喷出孔,以將該第1處 理區域與該第2處理區域加以分離;以及 排氣口,係設置於該容器以將該容器内排氣; 52 201104013 其中該分離區域包含有:分離氣體供給部,係 供給第2分離氣體;以及頂面,係相對於該迴轉台 的該一面形成有可使該第2分離氣體相對於該迴 轉方向而從該分離區域流至該處理區域側的狭窄 空間。 2. 如申請專利範圍第1項之成膜裝置,其中該膜厚測 量部係包含有:複數個光放射部,係對該基板的複 數個點分別放射光線;以及 複數個受光部,係分別接受從該複數個光放射 部放射至該複數個點之光線的反射光。 3. 如申請專利範圍第1項之成膜裝置,其中更進一步 地具有將利用該膜厚測量部來對成膜於該基板的 薄膜進行測量之測量膜厚與該薄膜的目標膜厚相 比較,當該比較結果係判斷該測量膜厚為該目標膜 厚以上時則停止成膜所構成之控制部。 4. 如申請專利範圍第1項之成膜裝置,其中該膜厚測 量部包含有橢圓偏光計。 5. 一種成膜方法,係於容器内實行將至少2種會互相 反應之反應氣體依序供給至基板的循環以於該基 板上生成反應生成物的層而形成薄膜,其包含有以 下步驟: 將該基板載置在可迴轉地設置於該容器内之 迴轉台的一面所區劃來載置該基板的載置區域之 步驟; 53 201104013 迴轉載置有該基板的該迴轉台之步驟; 從第1反應氣體供給部向該迴轉台供給第1反 應氣體之步驟; 從沿該迴轉台的迴轉方向而與該第1反應氣 體供給部相隔有距離之第2反應氣體供給部向該 迴轉台供給第2反應氣體之步驟; 從位於從該第1反應氣體供給部供給有該第1 反應氣體之第1處理區域與從該第2反應氣體供給 部供給有該第2反應氣體之第2處理區域之間的分 離區域所設置之分離氣體供給部來供給第1分離 氣體,並於該分離區域的頂面與該迴轉台之間所形 成的狹窄空間,使該第1分離氣體相對於該迴轉方 向而從該分離區域流至該處理區域側之步驟; 從位於該容器的中央部之中央部區域所形成 的喷出孔來供給第2分離氣體之步驟; 將該容器排氣之步驟;以及 光學地測量藉由迴轉步驟而迴轉之該迴轉台 上的該基板所成膜之薄膜膜厚之步驟。 6. 如申請專利範圍第5項之成膜方法,其中該膜厚測 量步驟係包含有: 照射步驟,係將光線照射在藉由該迴轉步驟而 迴轉之該迴轉台上的該基板; 受光步驟,係接受藉由上述光線照射步驟而照 射在該基板之光線的反射光;以及 54 201104013 膜厚計算步驟,係利用上述受光步驟所受光之 該反射光的分光強度來計算該基板上所成膜的薄 膜膜厚。 7. 如申請專利範圍第6項之成膜方法,其中該照射步 驟中,係對該基板照射複數個光束,並使對應於該 複數個光束之複數個反射光束分別受光; 該膜厚計算步驟中,係利用各個該複數個反射 光束的分光強度來計算該薄膜的膜厚。 8. 如申請專利範圍第6項之成膜方法,其中更進一步 地包含有將該膜厚計算步驟中所計算之膜厚與該 膜的目標膜厚相比較之步驟。 9. 如申請專利範圍第8項之成膜方法,其中更進一步 地包含有當上述比較步驟中的比較結果係判斷該 所計算之膜厚為該目標膜厚以上時,則停止供給該 第1反應氣體與該第2反應氣體之步驟。 10. 如申請專利範圍第6項之成膜方法,其中該膜厚計 算步驟中係利用橢圓偏光法來計算該膜厚。 11. 一種電腦可讀式記憶媒體/係於申請專利範圍第1 項之成膜裝置收納有實施包含有以下步驟的成膜 方法之程式; 將該基板載置在可迴轉地設置於該容器内之 迴轉台的一面所區劃來載置該基板的載置區域之 步驟; 迴轉載置有該基板的該迴轉台之步驟; 55 201104013 從第1反應氣體供給部向該迴轉台供給第1反 應氣體之步驟; 從沿該迴轉台的迴轉方向而與該第1反應氣 體供給部相隔有距離之第2反應氣體供給部向該 迴轉台供給第2反應氣體之步驟; 從位於從該第1反應氣體供給部供給有該第1 反應氣體之第1處理區域與從該第2反應氣體供給 部供給有該第2反應氣體之第2處理區域之間的分 離區域所設置之分離氣體供給部來供給第1分離 氣體,並於該分離區域的頂面與該迴轉台之間所形 成的狹窄空間,使該第1分離氣體相對於該迴轉方 向而從該分離區域流至該處理區域側之步驟; 從位於該容器的中央部之中央部區域所形成 的喷出孔來供給第2分離氣體之步驟; 將該容器排氣之步驟;以及 測量藉由迴轉步驟而迴轉之該迴轉台上的該 基板所成膜之薄膜膜厚之步驟。 56
TW099106055A 2009-03-04 2010-03-03 成膜裝置、成膜方法及電腦可讀式記憶媒體 TWI486483B (zh)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP2009051257A JP5107285B2 (ja) 2009-03-04 2009-03-04 成膜装置、成膜方法、プログラム、およびコンピュータ可読記憶媒体

Publications (2)

Publication Number Publication Date
TW201104013A true TW201104013A (en) 2011-02-01
TWI486483B TWI486483B (zh) 2015-06-01

Family

ID=42678498

Family Applications (1)

Application Number Title Priority Date Filing Date
TW099106055A TWI486483B (zh) 2009-03-04 2010-03-03 成膜裝置、成膜方法及電腦可讀式記憶媒體

Country Status (5)

Country Link
US (1) US20100227046A1 (zh)
JP (1) JP5107285B2 (zh)
KR (1) KR101572698B1 (zh)
CN (1) CN101826447B (zh)
TW (1) TWI486483B (zh)

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI612582B (zh) * 2012-06-02 2018-01-21 東京威力科創股份有限公司 膜形成方法與設備
TWI612603B (zh) * 2014-10-31 2018-01-21 東京威力科創股份有限公司 成膜裝置及成膜方法
TWI721578B (zh) * 2019-09-27 2021-03-11 聚昌科技股份有限公司 快速更換產線之模組化電漿反應腔室結構

Families Citing this family (45)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9416448B2 (en) * 2008-08-29 2016-08-16 Tokyo Electron Limited Film deposition apparatus, substrate processing apparatus, film deposition method, and computer-readable storage medium for film deposition method
JP5423205B2 (ja) * 2008-08-29 2014-02-19 東京エレクトロン株式会社 成膜装置
JP5107185B2 (ja) 2008-09-04 2012-12-26 東京エレクトロン株式会社 成膜装置、基板処理装置、成膜方法及びこの成膜方法を実行させるためのプログラムを記録した記録媒体
JP5445044B2 (ja) * 2008-11-14 2014-03-19 東京エレクトロン株式会社 成膜装置
US9297072B2 (en) 2008-12-01 2016-03-29 Tokyo Electron Limited Film deposition apparatus
JP5088335B2 (ja) * 2009-02-04 2012-12-05 東京エレクトロン株式会社 基板搬送装置及び基板処理システム
JP5131240B2 (ja) * 2009-04-09 2013-01-30 東京エレクトロン株式会社 成膜装置、成膜方法及び記憶媒体
JP5257328B2 (ja) * 2009-11-04 2013-08-07 東京エレクトロン株式会社 基板処理装置、基板処理方法及び記憶媒体
JP5310512B2 (ja) * 2009-12-02 2013-10-09 東京エレクトロン株式会社 基板処理装置
JP5553588B2 (ja) * 2009-12-10 2014-07-16 東京エレクトロン株式会社 成膜装置
US20110256692A1 (en) * 2010-04-14 2011-10-20 Applied Materials, Inc. Multiple precursor concentric delivery showerhead
FR2979748B1 (fr) * 2011-09-07 2014-05-02 Soitec Silicon On Insulator Systemes de depot ayant des portes d'acces a des emplacements souhaitables, et procedes relatifs
US9644285B2 (en) 2011-08-22 2017-05-09 Soitec Direct liquid injection for halide vapor phase epitaxy systems and methods
TWI586830B (zh) * 2011-08-22 2017-06-11 索泰克公司 在所需位置具有進出閘門之沈積系統及相關製作方法
CN103031546B (zh) * 2011-09-29 2016-01-20 中国科学院微电子研究所 一种原子层沉积设备及其使用方法
US8982362B2 (en) * 2011-10-04 2015-03-17 First Solar, Inc. System and method for measuring layer thickness and depositing semiconductor layers
US20130243971A1 (en) * 2012-03-14 2013-09-19 Applied Materials, Inc. Apparatus and Process for Atomic Layer Deposition with Horizontal Laser
US9401271B2 (en) * 2012-04-19 2016-07-26 Sunedison Semiconductor Limited (Uen201334164H) Susceptor assemblies for supporting wafers in a reactor apparatus
US9388494B2 (en) 2012-06-25 2016-07-12 Novellus Systems, Inc. Suppression of parasitic deposition in a substrate processing system by suppressing precursor flow and plasma outside of substrate region
JP5883154B2 (ja) * 2012-10-11 2016-03-09 東京エレクトロン株式会社 成膜装置
JP5956972B2 (ja) * 2012-12-21 2016-07-27 東京エレクトロン株式会社 成膜方法
JP6101083B2 (ja) * 2013-01-16 2017-03-22 東京エレクトロン株式会社 成膜方法及び成膜装置
JP6029250B2 (ja) * 2013-03-28 2016-11-24 株式会社日立国際電気 基板処理装置、半導体装置の製造方法及びプログラム
JP2014210946A (ja) * 2013-04-17 2014-11-13 三井造船株式会社 原子層堆積装置
SG11201508512PA (en) * 2013-05-23 2015-12-30 Applied Materials Inc A coated liner assembly for a semiconductor processing chamber
KR102270900B1 (ko) 2013-10-03 2021-07-02 인피콘, 인크. 박막 증착 모니터링
JP6172672B2 (ja) * 2013-10-11 2017-08-02 大陽日酸株式会社 気相成長装置の膜厚測定方法
JP6262115B2 (ja) 2014-02-10 2018-01-17 東京エレクトロン株式会社 基板処理方法及び基板処理装置
JP6035279B2 (ja) * 2014-05-08 2016-11-30 東京エレクトロン株式会社 膜厚測定装置、膜厚測定方法、プログラム及びコンピュータ記憶媒体
US10196741B2 (en) * 2014-06-27 2019-02-05 Applied Materials, Inc. Wafer placement and gap control optimization through in situ feedback
US9508547B1 (en) * 2015-08-17 2016-11-29 Lam Research Corporation Composition-matched curtain gas mixtures for edge uniformity modulation in large-volume ALD reactors
JP6600214B2 (ja) * 2015-09-28 2019-10-30 株式会社Screenホールディングス 成膜装置
JP6680040B2 (ja) * 2016-03-30 2020-04-15 東京エレクトロン株式会社 基板処理装置、液処理方法、及び記憶媒体
JP6756853B2 (ja) * 2016-06-03 2020-09-16 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated チャンバ内部の流れを拡散させることによる低い粒子数及びより良好なウエハ品質のための効果的で新しい設計
US9738977B1 (en) * 2016-06-17 2017-08-22 Lam Research Corporation Showerhead curtain gas method and system for film profile modulation
JP6733516B2 (ja) 2016-11-21 2020-08-05 東京エレクトロン株式会社 半導体装置の製造方法
KR20190034475A (ko) 2017-09-23 2019-04-02 김양창 마음을 전하는 목걸이
CN110473769A (zh) * 2018-05-11 2019-11-19 圆益Ips股份有限公司 薄膜形成方法
US10811290B2 (en) * 2018-05-23 2020-10-20 Taiwan Semiconductor Manufacturing Co., Ltd. Systems and methods for inspection stations
JP7113670B2 (ja) * 2018-06-08 2022-08-05 東京エレクトロン株式会社 Ald成膜方法およびald成膜装置
JP7038618B2 (ja) * 2018-07-12 2022-03-18 東京エレクトロン株式会社 クリーニング方法及び基板処理装置
US10886155B2 (en) 2019-01-16 2021-01-05 Applied Materials, Inc. Optical stack deposition and on-board metrology
JP7246247B2 (ja) * 2019-05-15 2023-03-27 東京エレクトロン株式会社 基板処理装置及び監視方法
CN111446383B (zh) * 2020-04-08 2022-12-09 京东方科技集团股份有限公司 膜厚补偿方法、系统及存储介质
JP7223047B2 (ja) * 2021-03-03 2023-02-15 株式会社Kokusai Electric 基板処理装置、半導体装置の製造方法およびプログラム

Family Cites Families (24)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS61272937A (ja) * 1985-05-29 1986-12-03 Hitachi Electronics Eng Co Ltd 気相成長式化学蒸着の制御方法
JPH047852A (ja) * 1990-04-25 1992-01-13 Fujitsu Ltd 膜厚測定方法
JPH0414826A (ja) * 1990-05-09 1992-01-20 Kawasaki Steel Corp 半導体製造装置
JPH0817743A (ja) * 1994-06-29 1996-01-19 Sony Corp Cvd装置およびこれを用いた成膜方法
JPH1114312A (ja) * 1997-06-24 1999-01-22 Toshiba Corp 成膜装置及びエッチング装置
JPH11121444A (ja) * 1997-10-08 1999-04-30 Oki Electric Ind Co Ltd 絶縁膜形成装置および絶縁膜形成方法
US6395563B1 (en) * 1998-12-28 2002-05-28 Matsushita Electric Industrial Co., Ltd. Device for manufacturing semiconductor device and method of manufacturing the same
US6349270B1 (en) * 1999-05-27 2002-02-19 Emcore Corporation Method and apparatus for measuring the temperature of objects on a fast moving holder
US6576062B2 (en) * 2000-01-06 2003-06-10 Tokyo Electron Limited Film forming apparatus and film forming method
KR100458982B1 (ko) * 2000-08-09 2004-12-03 주성엔지니어링(주) 회전형 가스분사기를 가지는 반도체소자 제조장치 및 이를이용한 박막증착방법
JP2002212729A (ja) * 2001-01-17 2002-07-31 Hitachi Kokusai Electric Inc 基板処理装置および半導体装置の製造方法
US6563578B2 (en) * 2001-04-02 2003-05-13 Advanced Micro Devices, Inc. In-situ thickness measurement for use in semiconductor processing
US6869641B2 (en) * 2002-07-03 2005-03-22 Unaxis Balzers Ltd. Method and apparatus for ALD on a rotary susceptor
US7153542B2 (en) * 2002-08-06 2006-12-26 Tegal Corporation Assembly line processing method
KR100497748B1 (ko) * 2002-09-17 2005-06-29 주식회사 무한 반도체소자 제조용 원자층 증착 장치 및 원자층 증착 방법
TWI299758B (en) * 2004-03-03 2008-08-11 Sanyo Electric Co Method and apparatus for measuring the thickness of deposited film, method and apparatus for forming material layer
DE102004056170A1 (de) * 2004-08-06 2006-03-16 Aixtron Ag Vorrichtung und Verfahren zur chemischen Gasphasenabscheidung mit hohem Durchsatz
US20060073276A1 (en) * 2004-10-04 2006-04-06 Eric Antonissen Multi-zone atomic layer deposition apparatus and method
ATE552918T1 (de) * 2005-08-24 2012-04-15 Brother Ind Ltd Vorrichtung und verfahren zur erzeugung von schichten und herstellungsverfahren für einen piezoelektrischen aktuator
US20070218702A1 (en) * 2006-03-15 2007-09-20 Asm Japan K.K. Semiconductor-processing apparatus with rotating susceptor
US20070215036A1 (en) * 2006-03-15 2007-09-20 Hyung-Sang Park Method and apparatus of time and space co-divided atomic layer deposition
US8187679B2 (en) * 2006-07-29 2012-05-29 Lotus Applied Technology, Llc Radical-enhanced atomic layer deposition system and method
JP4980672B2 (ja) * 2006-08-23 2012-07-18 大陽日酸株式会社 気相成長装置
CN101755073B (zh) * 2007-07-30 2011-10-12 Ips股份有限公司 在晶圆上沉积薄膜的反应器

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI612582B (zh) * 2012-06-02 2018-01-21 東京威力科創股份有限公司 膜形成方法與設備
TWI612603B (zh) * 2014-10-31 2018-01-21 東京威力科創股份有限公司 成膜裝置及成膜方法
TWI721578B (zh) * 2019-09-27 2021-03-11 聚昌科技股份有限公司 快速更換產線之模組化電漿反應腔室結構

Also Published As

Publication number Publication date
CN101826447B (zh) 2014-02-26
KR101572698B1 (ko) 2015-11-27
TWI486483B (zh) 2015-06-01
JP2010206026A (ja) 2010-09-16
KR20100100633A (ko) 2010-09-15
CN101826447A (zh) 2010-09-08
US20100227046A1 (en) 2010-09-09
JP5107285B2 (ja) 2012-12-26

Similar Documents

Publication Publication Date Title
TW201104013A (en) Film deposition apparatus, film deposition method, and computer readable storage medium
TWI512138B (zh) 成膜裝置、成膜方法及記憶有以該成膜裝置來實施該成膜方法之程式的電腦可讀取之記憶媒體
TWI486478B (zh) 成膜裝置、基板處理裝置、成膜方法及電腦可讀式記憶媒體
TWI418650B (zh) 成膜裝置
TWI513850B (zh) 成膜裝置及成膜方法
KR101434709B1 (ko) 성막 장치, 성막 방법 및 기억 매체
KR101576302B1 (ko) 성막 장치, 성막 방법 및 컴퓨터 판독 가능 기억 매체
KR101407112B1 (ko) 반도체 처리용 성막 장치
JP5276387B2 (ja) 成膜装置、基板処理装置、成膜方法及びこの成膜方法を実行させるためのプログラムを記録した記録媒体
TWI506159B (zh) 成膜裝置
JP5068780B2 (ja) 成膜装置、成膜方法、プログラム、およびコンピュータ可読記憶媒体
JP5173684B2 (ja) 成膜装置、成膜方法、並びにこの成膜方法を成膜装置に実施させるプログラム及びこれを記憶するコンピュータ可読記憶媒体
TW201026883A (en) Film deposition apparatus, substrate processing apparatus, film deposition method and storage medium
TW201028497A (en) Film deposition apparatus, film deposition method, and computer readable storage medium
KR20100028497A (ko) 성막 장치, 기판 처리 장치, 성막 방법 및 컴퓨터 판독 가능한 기록 매체
JP2010153769A (ja) 基板位置検出装置、基板位置検出方法、成膜装置、成膜方法、プログラム及びコンピュータ可読記憶媒体
JP6547650B2 (ja) 基板処理装置、基板処理方法及び記憶媒体
TW201137163A (en) Film deposition apparatus
TW201025481A (en) Film deposition apparatus and substrate process apparatus
TW201029089A (en) Activated gas injector, film deposition apparatus, and film deposition method
TW201221688A (en) Film deposition apparatus, film deposition method, and computer readable storage medium
JP5173685B2 (ja) 成膜装置、成膜方法、並びにこの成膜方法を成膜装置に実施させるプログラムおよびこれを記憶するコンピュータ可読記憶媒体